WO2017144385A1 - Block copolymers with linear surface-active junction groups, compositions and processes thereof - Google Patents

Block copolymers with linear surface-active junction groups, compositions and processes thereof Download PDF

Info

Publication number
WO2017144385A1
WO2017144385A1 PCT/EP2017/053727 EP2017053727W WO2017144385A1 WO 2017144385 A1 WO2017144385 A1 WO 2017144385A1 EP 2017053727 W EP2017053727 W EP 2017053727W WO 2017144385 A1 WO2017144385 A1 WO 2017144385A1
Authority
WO
WIPO (PCT)
Prior art keywords
block copolymer
block
group
pattern
domains
Prior art date
Application number
PCT/EP2017/053727
Other languages
French (fr)
Inventor
Eri HIRAHARA
Orest Polishchuk
Original Assignee
AZ Electronic Materials (Luxembourg) S.à.r.l.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials (Luxembourg) S.à.r.l. filed Critical AZ Electronic Materials (Luxembourg) S.à.r.l.
Publication of WO2017144385A1 publication Critical patent/WO2017144385A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/06Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from hydroxycarboxylic acids
    • C08G63/08Lactones or lactides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/68Polyesters containing atoms other than carbon, hydrogen and oxygen
    • C08G63/682Polyesters containing atoms other than carbon, hydrogen and oxygen containing halogens
    • C08G63/6822Polyesters containing atoms other than carbon, hydrogen and oxygen containing halogens derived from hydroxy carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G64/00Macromolecular compounds obtained by reactions forming a carbonic ester link in the main chain of the macromolecule
    • C08G64/18Block or graft polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/01Atom Transfer Radical Polymerization [ATRP] or reverse ATRP

Definitions

  • the invention relates to a novel block copolymer comprising a junction group between two blocks, wherein the junction group has a low surface energy, novel compositions comprising the novel block copolymer and processes using the novel composition for producing perpendicular domains (e.g. lamellae, cylinders etc.) formed by self-assembly (SA) or directed self-assembly (DSA) of the novel block copolymers (BCPs).
  • SA self-assembly
  • DSA directed self-assembly
  • BCPs novel block copolymers
  • UV radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate.
  • Positive or negative photoresists are useful and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing.
  • IC integrated circuit
  • UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing.
  • UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing.
  • An integrated circuit feature such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed.
  • the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions are difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. Directed self-assembly is a promising approach which has been of interest in in overcoming some of the drawback of conventional lithography as outlined above.
  • directed self-assembly of block copolymers is a method useful for generating very small patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features usually on the order of nano scale ranging in feature size from 10 nm to 50 nm can be achieved. Achieving feature sizes below 10 nm using conventional approaches for directed self-assembly of block copolymers is challenging. Directed self-assembly methods such as those based on graphoepitaxy and chemical epitaxy of block copolymers are desirable for extending the resolution capabilities of lithographic technology.
  • the directed self-assembly block copolymer comprises a block of etch resistant polymeric unit and a block of highly etchable polymeric unit, which when coated, aligned and etched on a substrate give regions of high resolution patterns.
  • block copolymers suitable for directed self-assembly are ones capable of microphase separation and comprising a block rich in carbon (such as styrene or containing some other element like Si, Ge, and Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, which can provide a high resolution pattern definition.
  • highly etchable blocks can comprise monomers which are rich in oxygen and which do not contain refractory elements, and are capable of forming blocks which are highly etchable, such as methyl methacrylate.
  • the plasma etch gases used in the etching process of defining the self-assembly pattern typically are those used in processes to make integrated circuits (IC). In this manner very fine patterns can be created on typical IC substrates compared to conventional lithographic techniques, thus achieving pattern multiplication.
  • the block copolymers self-organizes on a substrate that is pre-patterned with conventional lithography (Ultraviolet, Deep UV, and e- beam, Extreme UV (EUV) exposure source) to form topographical features such as a line/space (L/S) or contact hole (CH) pattern.
  • L/S directed self-assembly array the block copolymer can form self-aligned lamellar regions with a sub-lithographic pitch in the trenches between sidewalls of pre-pattern, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns.
  • features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed self-assembly within an array of pre-patterned holes or pre-patterned posts defined by conventional lithography, thus forming a denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes.
  • block copolymers can form a single and smaller etchable domain at the center of prepattern hole with proper dimension and provide potential shrink and rectification of the hole in prepattern. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication.
  • the self-assembly of the block copolymer occurs on a surface that has regions of differing chemical affinity but no or very slight topography to guide the self-assembly process.
  • the chemical prepattern could be fabricated using lithography (UV, Deep UV, e-beam, EUV) and nanofabrication process to create surfaces of different chemical affinity in a line and space (L/S) pattern. These areas may present little to no topographical difference, but do present a surface chemical pattern to direct self-assembly of block copolymer domains. This technique allows precise placement of these block copolymer domains of higher spatial frequency than the spatial frequency of the prepattern.
  • the aligned block copolymer domains can be subsequently pattern transferred into an underlying substrate after plasma or wet etch processing.
  • Chemical epitaxy has the advantage that the block copolymer self-assembly can rectify variations in the surface chemistry, dimensions, and roughness of the underlying chemical pattern to yield improved line-edge roughness and CD control in the final self-assembled block copolymer domain pattern.
  • Other types of patterns such as contact holes (CH) arrays could also be generated or rectified using chemoepitaxy.
  • PS-b-PMMA poly(styrene-block-methyl methacrylate) is the most promising candidate for directed self-assembly (DSA) applications.
  • DSA directed self-assembly
  • interaction parameter
  • PS-b-PMMA poly(styrene-block-methyl methacrylate) is the most promising candidate for directed self-assembly (DSA) applications.
  • the minimum half-pitch of PS-b-PMMA is limited to about 10 nm because of lower interaction parameter ( ⁇ ) between PS and PMMA.
  • a block copolymer with a larger interaction parameter between two blocks higher chi
  • orientation of the block copolymer domains perpendicular to the substrate is desirable.
  • a conventional block copolymer such as PS-b-PMMA in which both blocks have similar surface energies at the BCP-air interface this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer-substrate interface. Due to larger difference in the interaction parameter between the domains of higher- ⁇ block copolymers, it is important to control both BCP-air and BCP-substrate interactions. Many orientation control strategies for generating perpendicularly oriented BCP domains have been implemented with higher- ⁇ BCPs.
  • solvent vapor annealing has been used for orientation control of polystyrene-b- polyethylene oxide (PS-b-PEO), polystyrene-b-polydimethylsiloxane (PS-b-PDMS), polystyrene- b-poly(2 -vinyl pyridine) (PS-b-P2VP), polylactide-b-poly(trimethylsilylstyrene) PLA-b-PTMSS and PaMS-b-PHOST.
  • PS-b-PEO polystyrene-b-polethylene oxide
  • PS-b-PDMS polystyrene-b-polydimethylsiloxane
  • PS-b-P2VP polystyrene- b-poly(2 -vinyl pyridine)
  • PLA-b-PTMSS polylactide-b-poly(trimethylsilylstyrene) PLA-b-PTMSS
  • topcoat materials have been applied to PS-b-P2VP, PS-b-PTMSS and PLA-b-PTMSS to achieve perpendicular orientation of the polymer domains.
  • additional topcoat materials may increase the process cost and complexity.
  • the present invention relates to novel block copolymers with one or more low-surface energy groups at the junction of the two blocks.
  • the invention further relates to a composition comprising the novel block copolymer, and also relates to novel processes comprising coating the film comprising the novel block copolymer, where the process allows for the perpendicular orientation of BCP domains in thin films (5-100 nm) by simple thermal annealing over proper underlayer.
  • DSA directed self-assembly
  • a) shows graphoepitaxy DSA where most of the novel block copolymer is confined within the recessed region in the topographical prepattern
  • b) shows coating of this novel block copolymer over and within shallow, periodic topographical prepattern where the domains of this block copolymer are aligned to the topographical prepattern
  • c) shows chemoepitaxial DSA where a film of this novel block copolymer is coated over the chemical prepattern comprised of preferential and non-preferential regions.
  • AFM height images of perpendicularly oriented lamellae-forming block copolymer domains of 1 lk- 12k junction-modified poly(stryrene-Z?-lactide) annealed in the ambient atmosphere at (a) 1 10 °C, (b) 140 °C, and (c) 170 °C. Scale bars 200 nm.
  • the present invention relates to novel block copolymers, wherein the block copolymers comprise a diblock copolymer with a divalent junction or linking group (X).
  • the copolymer has a first polymer block (block A) and a second polymer block (block B).
  • block B has a chemical structure different from block A and capable of phase-segregating from block A.
  • the divalent linking group X covalently links an end repeat unit of block A to an end repeat unit of block B.
  • the block copolymer has the structure (la):
  • A is a block polymer chain
  • B is a block polymer chain, wherein A and B are chemically different covalently connected polymer chains which are phase separable
  • X is a divalent linking group between the A polymer block and the B polymer block selected from the group consisting of a fluorine containing moiety, a Sii-Si 8 siloxane containing moiety, a hydrocarbon moiety with at least 18 carbons, and a combination thereof, and further wherein X has a surface energy less than that of block A and less than that of the block B.
  • the block copolymer has the structure (lb):
  • E 1 is a monovalent first end group
  • E 2 is a monovalent second end group
  • P' is a first polymer chain representing a first block (block A) of the block copolymer
  • P" is a second polymer chain representing a second block (block B) of the block copolymer
  • X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP", wherein X comprises 1-24 fluorines, and each of the fluorines of X is linked to a backbone carbon of the block copolymer.
  • the block copolymer has the structure (lb):
  • E 1 is a monovalent first end group
  • E 2 is a monovalent second end group
  • P' is a first polymer chain representing a first block (block A) of the block copolymer
  • P" is a second polymer chain representing a second block (block B) of the block copolymer
  • X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP"
  • X comprises a perfluoroalkane containing from 1 -24 carbons, and X is linked at both ends to a backbone carbon of the block copolymer either directly or through an alkane spacer consisting of 1-6 carbons.
  • the invention also relates to a composition comprised of the novel block copolymer and a solvent, and also relates to the process of using this composition in self-assembly and directed self-assembly processes.
  • aryl refers to an aromatic moiety with one attachment point (e.g. phenyl, anthracyl, naphthyl and the like). Apart from the attachment point, aryl group may be substituted with alkyl groups, aryl groups or halides (e.g. F, CI, I, Br). Arylene refers to an aromatic moiety with more than 1 attachment point. Apart from the attachment points, arylene group may be substituted with alkyl groups, or halides (e.g. F, CI, I, Br) or aryl groups.
  • Alkyl refers to a linear, branched or cyclic alkane moiety with one attachment point (e.g.
  • alkyl groups may be substituted with alkyl groups, aryl groups or halides (e.g. F, CI, I, Br).
  • Alkylene refers to a linear, branched or cyclic alkane moiety with more than one attachment point.
  • alkylene groups may be substituted with alkyl groups, aryl groups, or halides (e.g. F, CI, I, Br).
  • a fluoro moiety designates a fluoro group (i.e.
  • F or a partially or fully fluorinated hydrocarbon group where the hydrocarbon group is an aromatic moiety, an aliphatic moiety, or mixtures of these, where these moieties may also contain heteroatoms other than fluorine as pendant group or as part of a chain or cyclic structure.
  • Fluoroaryl, fluoroarylene, fluoroalkyl, fluoroalkylene refers to these moieties as described above which are partially or completely fluorinated.
  • Nitrogen containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more nitrogen atoms within the ring, the rings may be fully saturated or contain unsaturated moieties (e.g.
  • heterocyclic compounds such as pyrrolidine, pyrole, imidazolidine, imidazole, lH-l,2,3-triazole, 2H- 1,2,3 -triazole,lH- 1,2,4- Triazole, 4H-l ,2,4-Triazole, pyridine and the like).
  • Oxygen containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more oxygen atoms within the ring, (e.g. tetrahydrofuran, furan, oxetane, pyran, dioxolane, dioxane and the like).
  • Sulfur containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more sulfur atoms within the ring, (e.g. thiophane, thiophene, thiane, thiopyran, dithiane, dithiine and the like).
  • heterocylic moieties containing oxygen, sulfur or nitrogen are connected through another moiety the name of the moiety which preceded the term "...containing heterocylic moiety) under parenthesis.
  • a moiety in which an oxygen containing heterocyclic moiety is attached through a oxy (-0-) moiety will be termed and "oxy-(oxygen containing heterocyclic moiety)".
  • Fluorine containing linear hydrocarbon group, fluorine containing branched hydrocarbon group, and fluorine containing cyclic hydrocarbon group refer respectively to linear, branched or cyclic partially or fully fluorinated alkyl group which may also contain alkene unsaturations, arylene, moieties or aryl substituents.
  • Fluorine containing linear alkyl ether group, fluorine containing branched alkyl ether group, fluorine containing cyclic alkyl ether group refer to linear, branched or cyclic ether are linear, branched or cyclic, partially or fully fluorinated, alkyl or alkyloxy moieties containing one or more ether moieties, which may also contain alkene unsaturations, arylene, moieties or aryl substituents.
  • a linear hydrocarbon moiety with a Sii-Sig siloxane pendant group, a branched hydrocarbon moiety with a Sii-Si 8 siloxane pendant group, a cyclic hydrocarbon moiety with a Sii-Si 8 siloxane pendant group refer to respectively a linear, branched or cyclic alkylene moiety to which is attached a Sii-Sig siloxane moiety as defined above.
  • a carbosilane refers to an alkyl moiety in which at least one carbon atom has been replaced by a silicon atom.
  • a linear hydrocarbon moiety with a carbosilane pendant group, a branched hydrocarbon moiety with a carbosilane pendant group, and a cyclic hydrocarbon moiety with a carbosilane pendant group refers to respectively a linear, branched, or cyclic alkylene moiety to which is attached a carbosilane moiety as described above.
  • a silane refers to a Si n H 2n+ i moiety.
  • a silylene refers to a Si n H 2n moiety.
  • a linear hydrocarbon moiety with a silane pendant group, a branched hydrocarbon moiety to which is attached a silane pendant group, and a cyclic hydrocarbon moiety refers to respectively refers to respectively a linear, branched, or cyclic alkylene moiety to which is attached with a silane pendant group.
  • the term "-b-” refers to "-block-”and designates monomer repeat units that form block copolymer.
  • P refers to "poly” when in front of a monomer acronym it designates the polymerized monomer (e.g. PS, designates poly(styrene) because S is the defined acronym for styrene).
  • JBCP Block copolymers with Junction with a surface active moiety
  • the term “Surface Active Junction Group” refers to the X moiety in structure (la) and (lb) as defined herein.
  • Phase Separable as used to describe polymer blocks in a block copolymer which are phase separable and refers to the property of these blocks when cast as a film to be able to phase separate from each other because of a mutual insolubility of the block domains with each other.
  • This phase separability of the polymer block domains in a film may manifest itself spontaneously in solution, or alternatively in the cast film or by thermally treating (e.g., heating) of a cast film. When cast as a film the phase separability may also occur at room temperature if the polymer block polymer film is plasticized by solvent vapor.
  • V f refers to the volume fraction, and when further annotated with a subscript which denotes the acronym for a polymer repeat unit block (e.g. PS for polystyrene) denotes the volume fraction of this bock (e.g. VA> s denotes the volume fraction of the polystyrene block in a block copolymer containing this block).
  • Lo is the domain periodicity of a self-assembled pattern of a BCP.
  • the present invention relates to a novel block copolymer, wherein the block copolymer comprises a diblock copolymer with a divalent junction or linking group (X).
  • the copolymer has a first polymer block (block A) and a second polymer block (block B).
  • block B has a chemical structure different from block A and capable of phase-segregating from block A.
  • the divalent linking group X covalently links an end repeat unit of block A to an end repeat unit of block B.
  • the block copolymer has the structure (la):
  • A is a block polymer chain
  • B is a block polymer chain, wherein A and B are chemically different covalently connected polymer chains which are phase separable
  • X is a divalent linking group between the A polymer block and the B polymer block selected from the group consisting of a fluorine containing moiety, a Sii-Si 8 siloxane containing moiety, a hydrocarbon moiety with at least 18 carbons, and a combination thereof, and further wherein X has a surface energy less than that of block A and less than that of the block B.
  • the block copolymer has the structure (lb):
  • E 1 is a monovalent first end group
  • E 2 is a monovalent second end group
  • P' is a first polymer chain representing a first block (block A) of the block copolymer
  • P" is a second polymer chain representing a second block (block B) of the block copolymer
  • X is a divalent linking group joining an end repeat unit of P' to an end repeat unit of P", wherein X comprises 1-24 fluorines, and each of the fluorines of X is linked to a backbone carbon of the block copolymer.
  • the block copolymer has the structure (lb):
  • E 1 is a monovalent first end group
  • E 2 is a monovalent second end group
  • P' is a first polymer chain representing a first block (block A) of the block copolymer
  • P" is a second polymer chain representing a second block (block B) of the block copolymer
  • X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP"
  • X comprises a perfluoroalkane containing from 1 -24 carbons, and X is linked at both ends to a backbone carbon of the block copolymer either directly or through an alkane spacer consisting of 1-6 carbons.
  • E 1 -?' are taken together to form block A and E 2 - P" are taken together to form block B. In certain embodiments, E 1 -?' are taken together to form block B and E 2 - P" are taken together to form block A. In certain embodiments, E 1 and E 2 are each
  • one of E 1 or E 2 is H and the other of E 1 and E 2 is an acyl group.
  • one of E 1 or E 2 is a halide and the other of E 1 and E 2 is an acyl group.
  • one of E 1 or E 2 is a Br and the other of E 1 and E 2 is an acyl group.
  • at least one of E 1 or E 2 is a halide.
  • at least one of E 1 or E 2 is Br.
  • at least one of E 1 or E 2 is an acyl group.
  • at least one of E 1 or E 2 is acetyl.
  • the first block comprises a copolymer of styrene and
  • X has the structure : wherein n' is an integer having a value of 1-12, 2-10, or 2-7.
  • X has the structure : wherein n"is an integer having a value of 1-5, 1 ⁇ , or 1-3.
  • X can be a divalent linking group covalently linking an end repeat unit of block A to an end repeat unit of block B, wherein X comprises 1 -24, or 2-10, or 2-7, fluorines.
  • each of the fluorines of X is linked to a backbone carbon of the block copolymer.
  • fluorine containing moiety a), the Sil -Si8 siloxane moiety b) and the hydrocarbon moiety with at least 18 carbons c) are where, a) X is a linear hydrocarbon group containing fluorine, a fluorine containing branched hydrocarbon group, a fluorine containing linear alkyl ether group, a fluorine containing branched alkyl ether group, and a mixture thereof,
  • X is a Sii-Sig siloxane moiety, a carbosilane, a silylene, and a mixture thereof, and c) X is a linear hydrocarbon group with at least 18 carbons, and a branched hydrocarbon with at least 18 carbons.
  • X is a fluorinated alkylene group of formula (C-1):
  • each carbon linked to a fluorine is a backbone carbon of the block copolymer
  • n' is an integer having a value of 2-12, 2-10, or 2-7
  • m' is an integer having a value of 1-5, ⁇ A, or 2-3
  • k' is an integer having a value of 1-5, 1-4, or 2-3.
  • X is a divalent linear fluorinated ethylene oxide group in accordance with formula (C-2):
  • each carbon linked to a fluorine is a backbone carbon of the block copolymer, and n" is an integer having a value of 1-5, 1-4, or 2-3.
  • the junction moiety X in structures (la) and (lb) has a surface energy less than about 30 mN m 1 .
  • the junction moiety has as surface energy between 30 mN m " 1 and 10 mN m "1 , preferably between 25 mN m _1 and 14 mN m "1 .
  • the block copolymers of structures (la) and (lb) suitable for being used in these inventions have a weight-averaged molecular weight (M w ) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (M n ) of about 1,000 to about 60,000 and a polydispersity (M w /M n ) (PD) of about 1.01 to about 6, or 1.01 to about 2 or 1.01 to about 1.5.
  • M w weight-averaged molecular weight
  • M n number averaged molecular weight
  • PD polydispersity
  • a is an integer from 1 or 2.
  • b is an integer from 1 to 2.
  • the M n ranges from 4,000 - 150,000
  • the polydispersity (PD) ranges from 1.01 to 5.0, more preferably from 1.01 to 2.0.
  • one of block A or block B comprises repeat units which are resistant to plasma etching techniques typically employed in manufacturing IC devices relative to the other block; and the other block either etches rapidly under these same plasma etch conditions or can be removed by chemical or photochemical processes.
  • This property enables the pattern transfer of a self-assembled domain pattern into the substrate, when these materials are formulated with a solvent, and coated on a patterned substrate. If the self-assembly occurs on a patterned substrate it is directed self-assembly.
  • the process of directed self-assembly of the novel block copolymer may, for instance, be made under a using a graphoepitaxy approach or a chemoepitaxy approach.
  • block A in structure (1 a) or (lb) is comprised of units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers
  • block B is comprised of units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers, and further wherein polymeric block A and B are different from each other and are phase separable.
  • block A or B is derived from a vinyl polymerizable monomer.
  • each of block A and block B are independently derived from a vinyl aryl monomer, a lactone, a lactam, an epoxide, a cyclic carbonate monomer or an ethylenically unsaturated polymerizable monomer.
  • block A and block B are chemically distinct and phase separable (e.g., form a pattern of phase-segregated alternating domains).
  • block A comprises an ethylenic repeat unit of formula (A-l): wherein i) each R w is a monovalent radical selected from the group consisting of H, F, methyl, ethyl, and trifluoromethyl (*-CF3) and ii) each R is a monovalent radical comprising an aromatic ring linked to carbon 1.
  • block A comprises an ethylenic repeat unit selected from the consisting of
  • block B comprises an aliphatic carbonate repeat unit.
  • the aliphatic carbonate repeat unit comprises a pendent ester group.
  • the aliphatic carbonate repeat unit has a structure according to formula (B- 4):
  • R s is a monovalent hydrocarbyl group comprising 1-20, 1-10, or 2-5 carbons.
  • R s is selected from the group consisting of methyl, ethyl, propyl, butyl, pentyl, hexyl, and benzyl.
  • R 8 is methyl.
  • block B comprises an aliphatic esterrepeat unit.
  • the aliphatic ester repeat unit has a structure
  • j' is an integer having a value of 0-4, 0-3, or 1-2.
  • the aliphatic ester repeat unit has a structure
  • block B comprises an aliphatic ether repeat unit.
  • the aliphatic ether repeat unit is selected from the group consisting of ethylene oxide, propylene oxide, ring opened glycidyl ethers, and combinations thereof.
  • block B is a homopolymer comprising a repeat unit selected from the group consisting of
  • linking group X in structure (la) or (lb) is a moiety with 3 to 7 attachment points selected from a multivalent heteroatom, a multivalent group comprised of hetero atoms, a multivalent organic group, a multivalent organic group containing heteroatoms, and combinations thereof.
  • a more specific embodiment of this aspect are when the X moiety is chosen from a C5- C 30 oxyalkyleneoxycarbonylalkylene moiety, a C 2 -C 30 alkyleneoxyalkylene moiety, an aryl substituted C 8 -C 42 alkyleneoxy moiety, an aryl substituted C 8 -C 42 alkyleneoxyalkylene moiety, or a C 8 -C 42 aryl substituted alkylene moiety.
  • a further embodiment of structure (la) or (lb) is where the moiety X is a fluorine containing hydrocarbon group such as a linear Ci-Ciofluoroalkylene group, a C 3 -Ci 0 branched fluoroalkylene group, an alkylenefluoroalkylene group (-(CH 2 ) q (CF 2 ) r -CF 2 -), a CFH containing alkylenefluoroalkylene group -(-CH 2 .) q (CHF) q2 (CF 2 ) r -CF 2 -), an alkylenefluoroether- fluoroalkylene group -(-CH 2 .) q (-CF 2 -0-) s (-CF 2 CF 2 -0-) t (CF 2 ) u CF 2 - ; a CHF containing alkylenefluoroether-fluoroalkylene group (-CH 2 .) q (CHF) q2
  • q is an integer from 0 to 10
  • q2 is an integer from 1 to 10
  • r is an integer from 1 to 10
  • s is an integer from 0-10
  • t is an integer from 1 to 10
  • u is an integer between 0 and 10 x is 1 to 5
  • y is 1 to 5
  • P is a direct bond, a Ci to C 4 alkylene, or a -CH 2 -CH 2 -(CF 2 )4- moiety.
  • structure (la) or (lb) has an X moiety which is comprised of an oxyalkyleneoxycarbonyalkylene moiety.
  • the novel block copolymer may be made by atom-transfer radical-polymerization (ATRP) initiators or ring- opening polymerization (ROP) initiators.
  • the block copolymer having structure (la) or (lb), and the substructures described above may be made by a variety of approaches, such as, for instance, block copolymer prepared by using atom-transfer radical-polymerization (ATRP) and ring-opening polymerization (ROP) initiators, block copolymers prepared using a 1 ,1 -diphenylethylene (DPE) derivative as an initiator and capping agent in anionic block polymerization.
  • ATRP atom-transfer radical-polymerization
  • ROP ring-opening polymerization
  • DPE 1 ,1 -diphenylethylene
  • Scheme 1 shows the synthesis of an ATRP and ROP initiator comprised of an oxy-X- carbonylalkylene moiety.
  • This initiator may be employed to make novel block copolymers of structure (la or (lb) .
  • other types of X moieties may be attached to such initiators by using suitable alcohols containing suitable X moieties such as a fluorine containing linear hydrocarbon group, a fluorine containing branched hydrocarbon group, a fluorine containing linear alkylene ether group, a fluorine containing branched alkylene ether group, or a mixture thereof, a Sii-Si 8 siloxane moiety, a carbosilane, a silylene, , or a linear hydrocarbon chain having more than 18 carbons.
  • junction configurations X e.g., linear perfluoroalkanes and linear perfluroethers
  • block A is styrene but other polymer blocks can be used (such as substituted polystyrenes).
  • block B is trimethylcarbonate (TMC) but other polymer blocks can be used (such as polylactide (PLA), polycaprolactone (PCL) and trimethyl carbonates).
  • the diol can be used to selectively convert one of the hydroxyl groups into an atom transfer radical polymerization initiator. This can be performed via reacting the diol with 2- bromo-2 -methylpropionyl bromide in the presence of triethylamine in dichloromethane. The selectivity of monofunctionalization can be controlled via slow addition of 2-bromo-2- methylpropionyl bromide.
  • the side products, such as difunctional and unreacted reagents can be removed by column chromatography techniques.
  • the monofunctional reagent will be used to initiate styrene monomer under ATRP conditions in the presence of CuBr complexed with ligands that are widely used in the literature (see, for example, U.S. Patent Application Serial No.
  • the resulting polystyrene with hydroxyl endgroup (PS(F)-OH) will be used to synthesize second block using ring-opening anionic coordinative polymerization of cyclic monomers selected from cyclic carbonates, D,L-lactides, lactones, etc.
  • the conditions used to polymerize these monomers using hydroxyl containing initiators is well known in the literature.
  • the use of macroinitiator, PS(F)-OH under the conditions described in the literature will produce the desired diblock copolymer with the desired junction linker X moiety.
  • polymer blocks containing units derived from cyclic monomers by ring-opening polymerization in the novel block copolymers having structure (la) or (lb) may be accomplished using techniques described for other types of diblock copolymers in which one of the blocks has been derived by ring-opening polymerization, such as is disclosed in U.S. Patent No. 8,642,086, which is hereby incorporated by reference in its entirety.
  • block A may be a moiety comprised of units derived from an alkylvinyl monomer, an alkyl methacrylate monomer, an alkyl acrylate monomer, a lactone monomer, an epoxide monomer, a lactam monomer, a cyclic carbonate monomer
  • block B may be a moiety comprised of repeat units derived from a vinylaryl monomer and further wherein block A and B are phase separable.
  • block A may be comprised of units derived from an ethylenically unsaturated polymerizable monomers, which is a vinyl aryl monomer and block B may be comprised of units derived from either an ethylenically unsaturated polymerizable monomer, selected from an acrylate or methacrylate or comprised of units derived from ring-opening polymerization (ROP) of cyclic monomers, selected from lactone, or cyclic carbonates and further wherein block A and B are phase separable.
  • ROP ring-opening polymerization
  • block copolymer of structure (la) or (lb) has one block which is derived from a ring-opening polymerizable monomer.
  • block A and B may be derived from two different ethylenically unsaturated polymerizable monomers where block A and B are phase separable moieties.
  • the polymer block in the novel block copolymer having structure (la) or (lb) is derived from either a cyclic carbonyl monomer or an ethylenically unsaturated polymerizable monomer it can be a homopolymer or a random copolymer.
  • the cyclic carbonyl monomers can be stereospecific or non-stereospecific.
  • polymer blocks containing units derived from cyclic monomers by ring- opening polymerization in the novel block copolymer having structure (la) or (lb) may be accomplished using techniques described for other types of diblock copolymers in which one of the blocks has been derived by ring-opening polymerization such as disclosed in (US 8642086 B2) incorporated herein by reference in its entirety.
  • one block in the diblock copolymer is resistant to plasma etching while the other block or etches very quickly under the same conditions allowing for pattern transfer of the self-assembled pattern formed by coating and annealing the novel polymers of structure (la) or (lb) into a substrate.
  • This self-assembly is directed on a patterned substrate using either a graphoepitaxy or chemoepitaxy approach.
  • Ethylenically unsaturated monomers which can be employed to form a polymer block in the novel block polymers having structure (l a) or (lb) may be comprised of units derived from a vinyl aryl, an alkylvinyl, monomer, an alkyl methacrylate monomer, or an alkyl acrylate monomer.
  • a polymer block in the novel block copolymer having structure (la) or (lb) derived from unsaturated monomers can be a homopolymer or a random copolymer.
  • Ethylenically unsaturated can be stereospecific or non-stereospecific.
  • Non limiting examples of alkyl vinyl compounds, alkyl acrylate or alkyl methacrylate that can be employed to make a block of the novel block copolymers of this invention are one where the alkyl group is a C 1 -C4 fluoroalkyl, a Ci-Ciolinear alkyl moiety, a C 1 -C4 hydroxfluoroalkylene group, a C 2 -Ci 0 - alkyloxyalkylene group, a C 1 -C 10 - hydroxyalkylene group, a C3-C 20 branched alkyl a C 3 -C 2 o cyclic alkyl, a C 2 -C 2 o carboxylalkylene, a C3-C 20 alkyloxycarboxylalkylene, or a C3-C 20 alkyloxycarboxyloxyalkylene; other substituents which do not contain a refractory element are possible.
  • the alkyl group is a
  • the alkyl group in these examples, attached to a carboxyl moiety may also be an acid cleavable group such as a tertiary ester an acetal or ketal capable of releasing a free carboxyl moiety when reacted with a strong acid formed either by a thermal acid generator or a photoacid generator.
  • an acid cleavable group such as a tertiary ester an acetal or ketal capable of releasing a free carboxyl moiety when reacted with a strong acid formed either by a thermal acid generator or a photoacid generator.
  • the alkyl group may be substituted with moieties containing a refractory element such as a C3-C 20 trialkylsilyl group, a C 3 -C 2 o trialkylgermanium group, a C3-C 20 trialkyltin group, or C 3 -C 2 o trialkyltitanium group.
  • a refractory element such as a C3-C 20 trialkylsilyl group, a C 3 -C 2 o trialkylgermanium group, a C3-C 20 trialkyltin group, or C 3 -C 2 o trialkyltitanium group.
  • Non limiting examples of vinyl aryl compounds that can be employed to make an etch resistant block of the novel block copolymers of structure (la) or (lb) of this invention are unsubstituted styrene, unsubstituted vinyl naphthalenes, unsubstituted vinyl anthracite, unsubstituted vinyl pyrene and the like, or these aryl moieties containing one or more substituents such as a C 1 -C 20 alkyl group, a C 1 -C 20 hydroxy alkyl group, a C 2 -Ci 0 - alkyloxyalkylene group, a C 1 -C4 fluoroalkyl, a C 1 -C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, (these acid cleavable moieties
  • the two polymer blocks are derived from two different ethylenically unsaturated monomers one block of is plasma etch resistant and is derived from either a vinyl aryl compounds, a vinyl alkyl, an alkyl methacrylate or a alkyl acrylate, where the alkyl group contains a refractory element as described above, while the other block etches quickly with the same plasma chemistry such as blocks derived from alkyl vinyl, alkyl acrylate, alkyl methacrylate where the alkyl moiety contains no refractory elements .
  • one polymer block is etch resistant and derived either from a vinyl aryl compounds, a vinyl alkyl, an alkyl methacrylate or an alkyl acrylate, where the alkyl group contains a refractory element as described above, while the other block which etches quickly with the same plasma chemistry is derived from ring-opening polymerization of a cyclic monomer, such as an epoxide, a lactone, a lactam, or a cyclic carbonate.
  • a cyclic monomer such as an epoxide, a lactone, a lactam, or a cyclic carbonate.
  • These cyclic monomers may contain one or more substituents, which do not contain refractory element, or aromatic rings.
  • Non limiting examples of possible substituents are hydroxyl groups, a Ci-C 2 o alkyl group, a Ci-C 2 o hydroxy alkyl group, a C 2 -Cio- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C 1 -C 4 fluoroalkyl, a C 1 -C 4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, an alkylcarbonyl group, a alkyl group containing a double or triple carbon bound and other substituent that do not contain a refractory element.
  • lactones which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: beta-propiolactone, gamma- butyrolactone,delta-valerolactone, epsilon-caprolactone, beta-butyrolactone, gamma- valerolactone, pivalolactone, l,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2-one a cyclic carbonate selected from trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, glycoside, 7-oxooxepan-4-yl 2-bromo-2-methylpropanoate, 5-phenyloxepan-2-one, 5- methyloxepan-2-one, l,4,8-trioxa(4,6)spiro-9-undecane, 5-(benzyloxymethyl)oxepan-2-one, 7- o
  • carbonates which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, 5-methyl-2-oxo-l ,3-dioxane-5- carboxylic acid, methyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, tert-butyl 5-methyl-2-oxo- l,3-dioxane-5-carboxylate, ethyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 5-methyl-2-oxo-l,3- dioxane-5-carbonyl chloride, benzyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2-(tetrahydro- 2H-pyran-2-yloxy)ethyl 5-
  • epoxides which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: propylene oxide, butylene oxide, ethylene oxide, styrene oxide, glycidyl ether with an R group that is Ci-c 20 hydrocarbon (linear, aliphatic, aromatic, branched, etc., and silicon containing epoxy monomers (e.g.
  • TMS trimethylsilyl
  • hydroxyl groups a Ci-C 2 o alkyl group, a Ci-C 2 o hydroxy alkyl group, a C 2 -C 10 - alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C 1 -C 4 fluoroalkyl, a C 1 -C 4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, an alkylcarbonyl group, an alkyl group containing a double or triple carbon bound a
  • lactams which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb): ⁇ -propiolactam, ⁇ -butyrolactam, ⁇ - valerolactam, and ⁇ -caprolactam and these lactams or any of these materials with other subsitutents such as hydroxyl groups, a Ci-C 20 alkyl group, a Ci-C 20 hydroxy alkyl group, a C 2 - C 10 - alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C 1 -C 4 fluoroalkyl, a C 1 -C 4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyal
  • the novel diblock polymer having structure (la) or (lb) one polymer block is etch resistant and derived from either a vinyl aryl compounds or an etch resistant vinyl alkyl, an etch resistant alkyl methacrylate, an etch resistant alkyl acrylate, or an etch resistant substituted cyclic monomer.
  • the etch resistance of the vinyl alkyl, alkyl methacrylate, alkyl acrylate or substituted cyclic monomer is imparted by having the alkyl group or the substituents on the cyclic monomer contain either a refractory element as described above, or contains an aryl substituent, an arylakylene, or an alkylarylene, or a polycyclic alkyl substituent.
  • the novel block copolymer having structure (la), or (lb) the ethylenically unsaturated monomer which can be employed to form a polymer block A may be comprised of units derived from vinyl aryl monomer and polymer block B may be comprised of units derived from either an ethylenically unsaturated polymerizable monomers, a lactone or a cyclic carbonate and further wherein block A and B are phase separable.
  • the ethylenically unsaturated polymerizable monomers may be selected from an acrylate or methacrylate or comprised on units derived from ring-opening polymerization (ROP) of cyclic monomers, selected from lactone, or cyclic carbonates, wherein the vinyl aryl chosen from the group consisting of styrene, 4-methylstyrene, 4-ethyl styrene, 4-n-propylstyrene, 4- isopropylstyrene, 4-n-butylstyrene, 4-trimethylsilylstyrene,4-cyclohexylstyrene, 4- cyclopentylstyrene, 3-trimethylsilylstyrene, 4-tertbutylstyrene, 4-isoamylstyrene, 4- methoxystyrene, 4-n-propyloxystyrene, 4-isopropyloxys
  • the lactone may be selected from the group consisting beta- propiolactone, gamma-butyrolactone, delta-valerolactone, epsilon-caprolactone, beta- butyrolactone, gamma-valerolactone, pivalolactone, l,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2- one, 7-oxooxepan-4-yl 2-bromo-2-methylpropanoate, 5-phenyloxepan-2-one, 5-methyloxepan-2- one, l,4,8-trioxa(4,6)spiro-9-undecane, 5-(benzyloxymethyl)oxepan-2-one, 7-oxooxepan-4-yl 3- hydroxy-2-(hydroxymethyl)-2-methylpropanoate, (Z)-6,7-dihydrooxepin-2(3H)-one, glycolide, D-lactide,
  • the cyclic carbonate may be selected from the group consisting of trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, 5-methyl-2-oxo-l ,3-dioxane-5-carboxylic acid, methyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, tert-butyl 5-methyl-2-oxo-l ,3-dioxane- 5-carboxylate, ethyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, 5-methyl-2-oxo-l ,3-dioxane-5- carbonyl chloride, benzyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2-(tetrahydro-2H-pyran-2- yloxy)ethyl 5-methyl-2-oxo-l,3-dioxan
  • the ethylenically unsaturated monomer which can be employed to form polymer block A may be comprised of units derived from vinyl aryl monomer and block B may be comprised of units derived from either an ethylenically unsaturated and polymer block B is comprised of units derived from either an ethylenically unsaturated polymerizable monomer, or a lactone and further wherein block A and B are phase separable.
  • the ethylenically unsaturated polymerizable monomers may be.
  • the ethylenically unsaturated polymerizable monomer is selected from an acrylate or methacrylate or comprised on units derived from ring-opening polymerization (ROP) of a cyclic carbonates, where the vinyl aryl is chosen from the group consisting of styrene, 4-methylstyrene and 4-ethyl styrene, and where the methacrylate, if present, is selected from the group consisting of methyl methacrylate, ethyl methacrylate, and propyl methacrylate; and the lactone, is selected from the group consisting of beta-propiolactone, gamma-butyrolactone,delta-valerolactone, epsilon-caprolactone, beta- butyrolactone, gamma-valerolactone, pivalolactone, l ,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2- one
  • the present invention also relates to a composition
  • a composition comprising the novel block copolymer of structure (la) or (lb), and a solvent.
  • Solvents suitable for dissolving either the novel block copolymer of structure (la) or (lb) alone or in a composition with other components include propylene glycol monomethyl ether acetate (PGMEA), ethoxyethyl propionate, anisole, ethyl lactate, 2-heptanone, cyclohexanone, amyl acetate, n-butyl acetate, n-amyl ketone (MAK), gamma-butyrolactone (GBL), toluene, and the like.
  • PMEA propylene glycol monomethyl ether acetate
  • MAK n-butyl acetate
  • GBL gamma-butyrolactone
  • specifically useful casting solvents include propylene glycol monomethyl ether acetate (PGMEA), gamma-butyrolactone (GBL), or a combination of these solvents.
  • the wt% of the novel block copolymer having structure (la) or (lb) in a solvent may range from 0.2 to 10 wt%. In another embodiment the range may be from 0.5 to 10 wt%. In yet another embodiment the range may be 0.5 to 5 wt%. In yet another more specific embodiment the range may be 0.8 to 1.2 wt%.
  • the composition is capable of forming a film layer comprising the block copolymer, wherein the film layer is capable of self-assembling spontaneously and/or when thermally treated, thereby forming a pattern of phase-segregated alternating domains comprising respective chemically distinct blocks of the block copolymer.
  • Solutions containing the novel block copolymer of structure (la) or (lb) can further comprise additional components and/or additives selected from the group consisting of: inorganic-containing polymers; additives including small molecules, inorganic-containing molecules, surfactants, photoacid generators, thermal acid generators, quenchers, hardeners, cross-linkers, chain extenders, and the like; and combinations comprising at least one of the foregoing, wherein one or more of the additional components and/or additives co-assemble with the block copolymer to form the block copolymer assembly.
  • additional components and/or additives selected from the group consisting of: inorganic-containing polymers; additives including small molecules, inorganic-containing molecules, surfactants, photoacid generators, thermal acid generators, quenchers, hardeners, cross-linkers, chain extenders, and the like; and combinations comprising at least one of the foregoing, wherein one or more of the additional components and/or additives co-assemble with the block copolymer to
  • novel composition comprised of the first block copolymer of structure (la) or (lb) may further contain a second different block copolymer block copolymer of structure (la) or (lb).
  • the second block copolymer different from the first block copolymer may be present from 5 to 50 wt % of total solids.
  • the composition comprises the block copolymer of structure (la) or (lb) and a second block copolymer which does not have a junction group but comprises blocks derived from either ethylenically unsaturated monomers or cyclic monomers as described above for the novel block copolymers.
  • a suitable second diblock copolymer containing a high etch resistant block and a highly etchable block would be the block copolymer poly(styrene-b-methylmethacrylate).
  • the block copolymers suitable for being used in these inventions have a weight-averaged molecular weight (M w ) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (M n ) of about 1,000 to about 60,000 and a polydispersity (M w /M n ) (PD) of about 1.01 to about 6, or 1.01 to about 2 or 1.01 to about 1.5.
  • M w weight-averaged molecular weight
  • M n number averaged molecular weight
  • PD polydispersity
  • diblock copolymers not containing a junction group that are may be useful as additional components are poly(styrene-b -methyl methacrylate), poly(styrene-b-butadiene), poly(styrene-b- isoprene), poly(styrene-b-methyl methacrylate), poly(styrene-b-alkenyl aromatics), poly(styrene- b-(ethylene-propylene)), poly(styrene-b-t-butyl (meth)acrylate), poly(styrene-b-tetrahydrofuran), poly(styrene-b-ethyleneoxide), poly(styrene-b-dimethylsiloxane), poly(methyl methacrylate-b- dimethylsiloxane), and poly(methylmethacrylate-b-4-vinylpyridine)).
  • All these polymeric materials share in common the presence of at least one block which has repeat units resistant to plasma etching techniques typically employed in manufacturing IC devices, and at least one block which etches rapidly under these same conditions or that can be removed by chemical or photochemical processes. This allows for the directed self-assembled polymer to pattern transfer onto the substrate to affect via formation.
  • the second block copolymer may be present from 1 to 20 wt%, or more preferentially from 5 to 10 wt % of total solids.
  • a further embodiment of this novel composition is where it further comprises a homopolymer as an additional component.
  • This homopolymer may be a homopolymer derived from any of the ethylenically unsaturated monomers or cyclic monomer previously described above as suitable precursors for the block of the novel block copolymer having structure (la) or (lb).
  • Such a homopolymer component may be present in a composition in a level range from 0.5 to 10 wt % or more specifically 1 to 5 wt % of solids.
  • the molar ratio in the novel block copolymer of the repeat unit in block A to that of the repeat unit in block B is between 1.2 to 0.8 and further as described above in all its possible embodiments.
  • the present invention relates to forming patterns using the novel composition in processes comprising directed self assembly of the novel block copolymer. Any process that comprises the step of directed self assembly of the novel block copolymer may be used.
  • the composition comprising the novel block copolymer may be used to form films by applying the novel composition a substrate by processes such as spin-casting, dip-coating, doctor blading, spraying, or any other known processes.
  • Films of the block copolymer can have a thickness of 1-1000 nm and more particularly 1-130 nm. It is particularly thicknesses film equal or greater than 25 nm in particular in the thickness range of 25 to 125 nm. Films can be optionally annealed to promote self-assembly and remove defects. Annealing processes include thermal annealing, thermal gradient annealing, solvent vapor annealing, thermal solvent vapor annealing and the like.
  • Thermal annealing can be carried out at a temperature above the glass transition temperature of the block copolymer and below the thermal decomposition temperature of the block copolymer. Thermal annealing may be carried out from a temperature of room temperature to about 300°C. Thermal annealing can be performed for a period of about 10 sec to about 100 hours, more particularly 30 seconds to 1 hour.
  • the block copolymers of the present invention can form self-assembled domains with various morphologies including lamella, cylinders, and spheres.
  • the size (e.g. width) of these domains may be from lnm to lOOnm, from 2 nm to 30 nm, or more particularly from 3 nm to 20 nm.
  • the present invention further relates to novel processes which employ the novel block copolymer of structure (la) or (lb).
  • One of the unexpected results imparted by the novel block copolymers of structure (la) or (lb) is that, when they are coated on a substrate and made to undergo self-assembly, the underlayer does not need to be non-preferential (e.g. neutral).
  • the novel block copolymer when the novel block copolymer is coated on an underlayer (i.e. substrate) and further processed the block copolymer forms microphase-separated domains that comprise cylindrical microdomains oriented perpendicular to the underlayer surface.
  • the domains, so oriented are thermally stable under further processing conditions.
  • the domains of the block copolymer after forming a coating layer of the novel block copolymer on an underlayer, and causing it to self-assemble by baking and/or annealing, the domains of the block copolymer will form on and remain perpendicular to the underlayer surface.
  • One of the domains may be selectively removed in the presence of the other domain to generate an etched domain pattern. This selective removal may be accomplished by wet or dry processes. In one example wet or plasma etching could be used with optional UV exposure. Wet etching could be with acetic acid. Standard plasma etch process, such as a plasma comprising oxygen may be used; additionally argon, carbon monoxide, carbon dioxide, CF 4 , CHF 3 , may be present in the plasma. In the case of a thermally decomposable polymer block, the selective removal may be accomplished by a thermal bake. In another example, one of the block copolymer domains may be selectively modified after self-assembly to increase its etch resistance.
  • wet or plasma etching could be used with optional UV exposure. Wet etching could be with acetic acid. Standard plasma etch process, such as a plasma comprising oxygen may be used; additionally argon, carbon monoxide, carbon dioxide, CF 4 , CHF 3 , may be present in
  • etch resistant metal or inorganic species may be introduced by chemical infiltration from solution or vapor. Domains or functional groups may be selectively reacted with reactive compounds such as silanes or silyl chlorides. Alternatively, cycles of atomic layer deposition (e.g. sequential infiltration synthesis) can be used to infiltrate etch-resistant materials into one domain. After modification, wet or dry etch processes may be used to remove the less resistant domain.
  • atomic layer deposition e.g. sequential infiltration synthesis
  • wet or dry etch processes may be used to remove the less resistant domain.
  • the resulting etched domain pattern can be further pattern transferred in the substrate layers.
  • These self-assembled block copolymer patterns are transferred into the underlying substrate using known techniques.
  • Figure la and b show respectively a schematic depiction of the novel block copolymer of structure (la) or (lb) and a schematic depiction of its self assembly. Specifically, Figure la shows a schematic depiction of the novel block copolymer.
  • Figure lb shows a schematic depiction of the block copolymer depicted schematically in Figure la when coated on an underlayer (i.e. substrate) undergoing two type of self-assembly.
  • the film of the block copolymer forms domains which orient to form vertically oriented lamellae.
  • these domains in the film orient to form vertically oriented cylinder domains.
  • Figure 2a-c shows schematics of the directed self-assembly (DSA) of the novel block copolymer of structure (la) or (lb).
  • DSA directed self-assembly
  • Figure 2a shows graphoepitaxy DSA where most of the novel block copolymer is confined within the recessed region in the topographical prepattern, the domains of this novel block copolymer subdivide the recessed region.
  • Figure 2b shows coating of this novel block copolymer over and within shallow, periodic topographical prepattern where the domains of this block copolymer are aligned to the topographical prepattern.
  • Figure 2c shows chemoepitaxial DSA where a film of this novel block copolymer is coated over the chemical prepattern comprised of preferential and non-preferential regions (such as the non-limiting example of a neutral region) with domains of this novel block copolymer aligned to the wetting features.
  • inventive materials of structure (la) or (lb) with a surface active junction group do not require the presence of substantially non-preferential regions and therefore provide the wider process latitude with respect to underlayer surface affinity. That is, these novel materials can form domains which orient perpendicular to the substrate during self-assembly for a wider range of underlayer surface property.
  • the imperfect or non-uniform surface properties may be the result of damage, contamination, imperfect deposition/grafting conditions, impurities, poor compositional uniformity of the underlay er material, or other reasons.
  • a substrate which has a coating of high carbon underlayer and a silicon antireflective coating may be used as a substrate.
  • the high carbon underlayer can have coating thickness of about 20 nm to about 2 microns. Over this is coated a silicon antireflective coating of about 10 nm to about 100 nm.
  • a neutral layer may be employed.
  • This invention may be employed also in a variety of other substrates such as those prepared by chemically vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD).
  • CVD chemically vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the preparation of substrates using these CVD and PVD is discussed in the following reference: "HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES, Principles, Methods, Equipment and Applications, Second Edition, Edited by Krishna Seshan, Intel Corporation, Santa Clara, California, Copyright ⁇ 2002 by Noyes Publications, Library of Congress Catalog Card Number: 2001135178, ISBN: 0-8155-1442-5, Noyes Publications / William Andrew Publishing, 13 Eaton Avenue Norwich, NY 13815 ,Chapter 1 title: Deposition Technologies and Applications: Introduction and Overview, page 11 -page 43, Chapter 1 Authors: Werner Kern and Klaus K. Schuegraf.”
  • novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method for vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of Lo comprising steps a) and b); wherein,
  • the unpattemed substrate may be selected from the group consisting of a polymer brush layer, a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemically vapor deposition (CVD), a layer of carbon, a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD).
  • CVD chemically vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times Lo and aligning the domains with the pattern, using a coating comprised of a block copolymer having a periodicity of L 0 comprising steps a) and b); wherein,
  • the first patterned substrate is a topography-forming material over an underlayer
  • the topography-forming material may be selected from the group consisting of resist materials, a cross-linked polymer layer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD)
  • the underlayer is selected from the group consisting of a polymer brush layer, a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD).
  • the resist material may be selected from the group consisting of i-line photoresists, g-line photoresists 284 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists.
  • the antireflective coating material is selected from the group consisting of bottom antireflective coatings, silicon antireflective coatings, and titanium antireflective coatings.
  • the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting, first and second block copolymer domains with a periodicity of Lo over a second patterned substrate having a topographical pattern of which the height of topography is larger than 0.7 times Lo and a pitch Pi where the pitch Pi is a non-zero positive integer multiplied by Lo, and aligning the domains with the pattern, using the novel coating comprised of a block copolymer comprising steps a) and b); wherein,
  • the first patterned substrate is prepared by treating topographical organic features on top of inorganic layer with plasma which selectively trims the organic features and modifies the exposed surface region of the inorganic layer.
  • the material of topographical organic features is selected from the group consisting of patterned i-line photoresists, g-line photoresists 248 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists over a thin underlayer.
  • the underlayer may be an antireflective coating, or an inorganic layer material is selected from silicon antireflective coatings, or titanium antireflective coatings.
  • the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P 2 where the pitch P 2 is a non-zero positive integer multiplied by Lo and aligning the domains with the prepattern, using a coating comprised of a block copolymer where the block copolymer has a periodicity of Lo comprising steps a) and b)
  • the substrate having a surface chemical prepattern is comprised of alternating preferential wetting regions and orientation control regions where the sum of the width of preferential wetting regions and the width of the orientation control region is equal to the prepattern pitch P 2; where the materials used in the orientation control region supports vertical orientation of the block copolymer domains, and the preferential wetting region has a lower interfacial energy to one of the block copolymer domain compared to the other block copolymer domain.
  • the composition comprised of the block copolymer having structure (la) or (lb) is employed in a method, comprising: providing a first layered structure comprising a top layer (underlay er); forming a film layer comprising the block copolymer of structure (la) or (lb) disposed on the underlayer, wherein the film layer has a top surface in contact with an atmosphere interface; and allowing or inducing the block copolymer of the film layer to self assemble using a thermal treatment, thereby forming a second layered structure comprising a phase- segregated domain pattern having a characteristic pitch (Lo), the domain pattern comprising perpendicularly oriented alternating domains comprising respective chemically distinct blocks of the block copolymer.
  • a characteristic pitch Lo
  • the domain pattern comprises lamellar domains. In another embodiment, the domain pattern comprises cylindrical domains. In certain embodiments, the characteristic pitch (Lo) is about 4 nm to about 80 nm, about 4 nm to about 50 nm, or about 10 nm to about 50 nm.
  • the underlayer is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen.
  • the atmosphere interface is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen.
  • the method also includes selectively etching one of the domains, thereby forming a third layered structure comprising an etched domain pattern comprising one or more remaining domains of the self-assembled block copolymer.
  • the method includes transferring the etched domain pattern to the substrate.
  • said transferring the etched domain pattern to the substrate is performed using a tone inversion process with respect to the etched domain pattern.
  • said inducing the block copolymer of the film layer to self-assemble using a thermal treatment comprises baking the film layer at a temperature between about 80° C and about 250° C, about 100° C and about 250° C, or about 120° C and about 200° C for between about 1 second and about 24 hours, about 10 seconds and about 20 hours, or about 1 minute and about 10 hours.
  • the method includes forming a topographic resist pattern disposed on the underlayer before said disposing of the composition, wherein the film layer comprising the block copolymer for self-assembly is substantially confined to recessed regions of the topographic resist pattern.
  • the composition comprised of the block copolymer having structure (la) or (lb) is employed in a method, comprising: providing a first multi-layered structure comprising a top surface having a pre-pattern for directing self-assembly of the block copolymer of structure (la) or (lb); forming a film layer comprising the block copolymer disposed on the top surface ofpre- pattern, the film layer comprising a top surface in contact with an atmosphere interface, and the film layer comprising a bottom surface in contact with the top surface of the pre-pattern; and allowing or inducing the block copolymer to self assemble using a thermal treatment, thereby forming a second multi-layered structure comprising a pattern of phase- segregated domains (domain pattern) of the block copolymer, the domain pattern disposed on the top surface of the pre-pattern.
  • the top surface of the pre-pattern is preferentially wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen
  • the domains are oriented perpendicular to a main plane of a bottom layer of the first multi-layered structure.
  • the method includes selectively removing one of the domains, thereby forming a third multi-layered structure comprising an etched domain pattern, the etched domain pattern comprising one or more remaining domains of the domain pattern.
  • the method includes transferring the etched domain pattern to one or more underlying layers of the third multi-layered structure.
  • the pre-pattern is a graphoepitaxial pre-pattern comprising topographical features having sidewall heights greater than or equal to thethickness of the film layer, and wherein the film layer is substantially confined to recessedregions of the pre- pattern.
  • the pre-pattern is a chemo-epitaxial pre-pattern comprising topographical features having sidewall heights less than the thickness of the film layer, and wherein the film layer is disposed on the top-most and bottom-most surfaces of the pre-pattern.
  • AZEMBLYTM NLD-089, AZEMBLYTM NLD-208D AZEMBLYTM NLD-128, and AZEMBLYTM NLD-127 were obtained from AZ Electronic Materials (Somerville, NJ).
  • Acetal-protected BISMPA monomer (AcBISMPA) (21) was prepared as previously reported (ACS Macro Letters, 2(1), 19-22; 2013).
  • TSS Trimethyl silylstyrene
  • Example 2 Morphology of a lamellae-forming polystyrene-6-(linear perfluoroalkane)- poly(lactide) diblock copolymer thin film
  • a cleaned surface of Si substrate was treated with a 51 % PS-containing brush polymer (AZEMBLYTM NLD- 127) by spin-coating at 1500 rpm and baking 250 °C for 2 min followed by PGMEA rinse for 30 sec and soft bake at 1 10 °C for 1 min.
  • AZEMBLYTM NLD- 127 a 51 % PS-containing brush polymer
  • PS-CHz- j j j j j ⁇ GH ⁇ -PLA 11.3k-12.8k
  • Vf PLA 0.49
  • L 0 ⁇ 22 nm 0.49, L 0 ⁇ 22 nm
  • Phase- separated, perpendicularly oriented lamellar domains were formed over the NLD-127 thin layer which provides a chemically non-preferential surface to either of PS and PLA nanodomains at the interface of the underlayer and the block copolymer film. Also observed was a growth of fingerprint grain size maintaining the lamellar morphology in a perpendicular manner, which indicates that junction-modification enhances thermal tolerance to morphological verticality of the block copolymer.
  • Corresponding AFM images ( Figure 5) of the block copolymer thin film samples which has junction perfluoroalkane and SEM images ( Figure 6) without junction modification, after 02 RIE treatment are given below in Figure 5.
  • Comparative Example 3 Morphology of a lamellae-forming polystyrene-6-poly(lactide) diblock copolymer thin film
  • a cleaned surface of Si substrate was treated with a 50% PS-containing brush polymer (AZEMBLYTM NLD-361) by spin-coating at 1500 rpm and baking 250 °C for 2 min followed by PGMEA rinse for 30 sec and soft bake at 1 10 °C for 1 min.

Abstract

The present invention relates to a novel block copolymer comprising a low surface energy junction group between two blocks and the blocks are phase separable. The block polymer has the structure (la) or (lb) wherein X is a fluorine containing moiety, a Si -Si 8 siloxane containing moiety or a hydrocarbon moiety with at least 18 carbons, and all other variables are as defined herein.

Description

BLOCK COPOLYMERS WITH LINEAR SURFACE-ACTIVE JUNCTION GROUPS,
COMPOSITIONS AND PROCESSES THEREOF
The invention relates to a novel block copolymer comprising a junction group between two blocks, wherein the junction group has a low surface energy, novel compositions comprising the novel block copolymer and processes using the novel composition for producing perpendicular domains (e.g. lamellae, cylinders etc.) formed by self-assembly (SA) or directed self-assembly (DSA) of the novel block copolymers (BCPs). The processes are useful for fabrication of electronic devices.
In conventional lithography approaches, ultraviolet (UV) radiation may be used to expose through a mask onto a photoresist layer coated on a substrate or layered substrate. Positive or negative photoresists are useful and these can also contain a refractory element such as silicon to enable dry development with conventional integrated circuit (IC) plasma processing. In a positive photoresist, UV radiation transmitted through a mask causes a photochemical reaction in the photoresist such that the exposed regions are removed with a developer solution or by conventional IC plasma processing. Conversely, in negative photoresists, UV radiation transmitted through a mask causes the regions exposed to radiation to become less removable with a developer solution or by conventional IC plasma processing. An integrated circuit feature, such as a gate, via or interconnect, is then etched into the substrate or layered substrate, and the remaining photoresist is removed. When using conventional lithographic exposure processes, the dimensions of features of the integrated circuit feature are limited. Further reduction in pattern dimensions are difficult to achieve with radiation exposure due to limitations related to aberrations, focus, proximity effects, minimum achievable exposure wavelengths and maximum achievable numerical apertures. Directed self-assembly is a promising approach which has been of interest in in overcoming some of the drawback of conventional lithography as outlined above.
Specifically, directed self-assembly of block copolymers is a method useful for generating very small patterned features for the manufacture of microelectronic devices in which the critical dimensions (CD) of features usually on the order of nano scale ranging in feature size from 10 nm to 50 nm can be achieved. Achieving feature sizes below 10 nm using conventional approaches for directed self-assembly of block copolymers is challenging. Directed self-assembly methods such as those based on graphoepitaxy and chemical epitaxy of block copolymers are desirable for extending the resolution capabilities of lithographic technology. These techniques can be employed to either enhance conventional lithographic techniques by enabling the generation of pattern with higher resolution and/ or improving CD control for EUV, e-beam, deep UV or immersion lithography. The directed self-assembly block copolymer comprises a block of etch resistant polymeric unit and a block of highly etchable polymeric unit, which when coated, aligned and etched on a substrate give regions of high resolution patterns.
Known examples of block copolymers suitable for directed self-assembly are ones capable of microphase separation and comprising a block rich in carbon (such as styrene or containing some other element like Si, Ge, and Ti) which is resistant to plasma etch, and a block which is highly plasma etchable or removable, which can provide a high resolution pattern definition. Examples of highly etchable blocks can comprise monomers which are rich in oxygen and which do not contain refractory elements, and are capable of forming blocks which are highly etchable, such as methyl methacrylate. The plasma etch gases used in the etching process of defining the self-assembly pattern typically are those used in processes to make integrated circuits (IC). In this manner very fine patterns can be created on typical IC substrates compared to conventional lithographic techniques, thus achieving pattern multiplication.
In the graphoepitaxy directed self-assembly method, the block copolymers self-organizes on a substrate that is pre-patterned with conventional lithography (Ultraviolet, Deep UV, and e- beam, Extreme UV (EUV) exposure source) to form topographical features such as a line/space (L/S) or contact hole (CH) pattern. In an example of L/S directed self-assembly array, the block copolymer can form self-aligned lamellar regions with a sub-lithographic pitch in the trenches between sidewalls of pre-pattern, thus enhancing pattern resolution by subdividing the space in the trench between the topographical lines into finer patterns. Similarly, features such as contact holes can be made denser by using graphoepitaxy in which a suitable block copolymer arranges itself by directed self-assembly within an array of pre-patterned holes or pre-patterned posts defined by conventional lithography, thus forming a denser array of regions of etchable and etch resistant domains which when etched give rise to a denser array of contact holes. In addition, block copolymers can form a single and smaller etchable domain at the center of prepattern hole with proper dimension and provide potential shrink and rectification of the hole in prepattern. Consequently, graphoepitaxy has the potential to offer both pattern rectification and pattern multiplication.
In chemical epitaxy DSA methods, the self-assembly of the block copolymer occurs on a surface that has regions of differing chemical affinity but no or very slight topography to guide the self-assembly process. For example, the chemical prepattern could be fabricated using lithography (UV, Deep UV, e-beam, EUV) and nanofabrication process to create surfaces of different chemical affinity in a line and space (L/S) pattern. These areas may present little to no topographical difference, but do present a surface chemical pattern to direct self-assembly of block copolymer domains. This technique allows precise placement of these block copolymer domains of higher spatial frequency than the spatial frequency of the prepattern. The aligned block copolymer domains can be subsequently pattern transferred into an underlying substrate after plasma or wet etch processing. In addition, Chemical epitaxy has the advantage that the block copolymer self-assembly can rectify variations in the surface chemistry, dimensions, and roughness of the underlying chemical pattern to yield improved line-edge roughness and CD control in the final self-assembled block copolymer domain pattern. Other types of patterns such as contact holes (CH) arrays could also be generated or rectified using chemoepitaxy.
The ability of a BCP to phase separate depends on the Flory Huggins interaction parameter (χ). PS-b-PMMA (poly(styrene-block-methyl methacrylate) is the most promising candidate for directed self-assembly (DSA) applications. However, the minimum half-pitch of PS-b-PMMA is limited to about 10 nm because of lower interaction parameter (χ) between PS and PMMA. To enable further feature miniaturization, a block copolymer with a larger interaction parameter between two blocks (higher chi) is highly desirable.
For lithography applications, orientation of the block copolymer domains perpendicular to the substrate is desirable. For a conventional block copolymer such as PS-b-PMMA in which both blocks have similar surface energies at the BCP-air interface, this can be achieved by coating and thermally annealing the block copolymer on a layer of non-preferential or neutral material that is grafted or cross-linked at the polymer-substrate interface. Due to larger difference in the interaction parameter between the domains of higher-χ block copolymers, it is important to control both BCP-air and BCP-substrate interactions. Many orientation control strategies for generating perpendicularly oriented BCP domains have been implemented with higher-χ BCPs. For example, solvent vapor annealing has been used for orientation control of polystyrene-b- polyethylene oxide (PS-b-PEO), polystyrene-b-polydimethylsiloxane (PS-b-PDMS), polystyrene- b-poly(2 -vinyl pyridine) (PS-b-P2VP), polylactide-b-poly(trimethylsilylstyrene) PLA-b-PTMSS and PaMS-b-PHOST. Introducing a solvent vapor chamber and kinetics of solvent vapor annealing may complicate DSA processing. Alternatively, the combination of neutral underlayers and topcoat materials has been applied to PS-b-P2VP, PS-b-PTMSS and PLA-b-PTMSS to achieve perpendicular orientation of the polymer domains. However, the additional topcoat materials may increase the process cost and complexity. Thus, there exists a need to have a topcoat free higher-χ BCP system using simple thermal annealing on a range of preferential and non-preferential substrates.
Synthesis of diblock copolymers consisting of A and B blocks with junction groups having a perfluoroalkyl pendent group has been described in U.S. Patent Application Serial No. 14/628,002 filed February 20, 2015, which is hereby incorporated by reference in its entirety.
The present invention relates to novel block copolymers with one or more low-surface energy groups at the junction of the two blocks. The invention further relates to a composition comprising the novel block copolymer, and also relates to novel processes comprising coating the film comprising the novel block copolymer, where the process allows for the perpendicular orientation of BCP domains in thin films (5-100 nm) by simple thermal annealing over proper underlayer.
BRIEF DESCRIPTION OF DRAWINGS
Figure 1
a) Schematic depiction of block copolymer of structure (l a) or (lb), and b) schematic depiction of self-assembled, vertically oriented polymer domains.
Figure 2
Schematic depiction of directed self-assembly (DSA) of the novel block copolymer of structure (l a) or (lb): a) shows graphoepitaxy DSA where most of the novel block copolymer is confined within the recessed region in the topographical prepattern, b) shows coating of this novel block copolymer over and within shallow, periodic topographical prepattern where the domains of this block copolymer are aligned to the topographical prepattern, c) shows chemoepitaxial DSA where a film of this novel block copolymer is coated over the chemical prepattern comprised of preferential and non-preferential regions.
Figure 3
NMR spectrum of the reaction crude 2,2,3,3,4,4,5,5,6,6,7,7,8,8,9 ,9-hexadecafluoro-l, 10- decanediol after evaporation of solvents Figure 4
GC-MS spectrum of the reaction crude 2,2,3,3,4,4,5,5,6,6,7,7,8,8,9 ,9-hexadecafluoro-l, 10- decanediol after evaporation of solvent
Figure 5
AFM height images of perpendicularly oriented lamellae-forming block copolymer domains of 1 lk- 12k junction-modified poly(stryrene-Z?-lactide) annealed in the ambient atmosphere at (a) 1 10 °C, (b) 140 °C, and (c) 170 °C. Scale bars = 200 nm.
Figure 6
SEM images of phase-separated lamellae- forming block copolymer domains of 8k-9k poly(stryrene-0-lactide) annealed in the ambient atmosphere at (a) 120 °C, (b) 140 °C, and (c) 170 °C. Scale bars = 200 nm.
SUMMARY OF INVENTION
The present invention relates to novel block copolymers, wherein the block copolymers comprise a diblock copolymer with a divalent junction or linking group (X). The copolymer has a first polymer block (block A) and a second polymer block (block B). In particular embodiments, block B has a chemical structure different from block A and capable of phase-segregating from block A. In certain embodiments, the divalent linking group X covalently links an end repeat unit of block A to an end repeat unit of block B.
In certain embodiments, the block copolymer has the structure (la):
A
\ X
(la) wherein,
A is a block polymer chain, B is a block polymer chain, wherein A and B are chemically different covalently connected polymer chains which are phase separable; X is a divalent linking group between the A polymer block and the B polymer block selected from the group consisting of a fluorine containing moiety, a Sii-Si8 siloxane containing moiety, a hydrocarbon moiety with at least 18 carbons, and a combination thereof, and further wherein X has a surface energy less than that of block A and less than that of the block B.
In certain embodiments, the block copolymer has the structure (lb):
E1 P' X P" E2 (lb) wherein
E1 is a monovalent first end group, E2 is a monovalent second end group,
P' is a first polymer chain representing a first block (block A) of the block copolymer,
P" is a second polymer chain representing a second block (block B) of the block copolymer, and
X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP", wherein X comprises 1-24 fluorines, and each of the fluorines of X is linked to a backbone carbon of the block copolymer.
In certain embodiments, the block copolymer has the structure (lb):
E1 P' X P" E2 (lb) wherein
E1 is a monovalent first end group, E2 is a monovalent second end group,
P' is a first polymer chain representing a first block (block A) of the block copolymer,
P" is a second polymer chain representing a second block (block B) of the block copolymer, and X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP", wherein X comprises a perfluoroalkane containing from 1 -24 carbons, and X is linked at both ends to a backbone carbon of the block copolymer either directly or through an alkane spacer consisting of 1-6 carbons.
The invention also relates to a composition comprised of the novel block copolymer and a solvent, and also relates to the process of using this composition in self-assembly and directed self-assembly processes.
DETAILED DESCRIPTION OF THE INVENTION
As used herein, unless otherwise indicated, aryl refers to an aromatic moiety with one attachment point (e.g. phenyl, anthracyl, naphthyl and the like). Apart from the attachment point, aryl group may be substituted with alkyl groups, aryl groups or halides (e.g. F, CI, I, Br). Arylene refers to an aromatic moiety with more than 1 attachment point. Apart from the attachment points, arylene group may be substituted with alkyl groups, or halides (e.g. F, CI, I, Br) or aryl groups. Alkyl refers to a linear, branched or cyclic alkane moiety with one attachment point (e.g. methyl, ethyl, propyl, n-butyl, tert-butyl, cyclohexyl and the like). Apart from the attachment point, alkyl groups may be substituted with alkyl groups, aryl groups or halides (e.g. F, CI, I, Br). Alkylene refers to a linear, branched or cyclic alkane moiety with more than one attachment point. Apart from the attachment point, alkylene groups may be substituted with alkyl groups, aryl groups, or halides (e.g. F, CI, I, Br). A fluoro moiety designates a fluoro group (i.e. F) or a partially or fully fluorinated hydrocarbon group where the hydrocarbon group is an aromatic moiety, an aliphatic moiety, or mixtures of these, where these moieties may also contain heteroatoms other than fluorine as pendant group or as part of a chain or cyclic structure. Fluoroaryl, fluoroarylene, fluoroalkyl, fluoroalkylene refers to these moieties as described above which are partially or completely fluorinated. Nitrogen containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more nitrogen atoms within the ring, the rings may be fully saturated or contain unsaturated moieties (e.g. nitrogen containing hetero cyclic compounds, such as pyrrolidine, pyrole, imidazolidine, imidazole, lH-l,2,3-triazole, 2H- 1,2,3 -triazole,lH- 1,2,4- Triazole, 4H-l ,2,4-Triazole, pyridine and the like). Oxygen containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more oxygen atoms within the ring, (e.g. tetrahydrofuran, furan, oxetane, pyran, dioxolane, dioxane and the like). Sulfur containing heterocyclic moieties refers to 5-8 membered cyclic hydrocarbons containing one or more sulfur atoms within the ring, (e.g. thiophane, thiophene, thiane, thiopyran, dithiane, dithiine and the like). When heterocylic moieties containing oxygen, sulfur or nitrogen are connected through another moiety the name of the moiety which preceded the term "...containing heterocylic moiety) under parenthesis. For instance a moiety in which an oxygen containing heterocyclic moiety is attached through a oxy (-0-) moiety will be termed and "oxy-(oxygen containing heterocyclic moiety)". Acyl refers to a radical of general formula -C(=0)R, where R is an alkyl group.
Fluorine containing linear hydrocarbon group, fluorine containing branched hydrocarbon group, and fluorine containing cyclic hydrocarbon group refer respectively to linear, branched or cyclic partially or fully fluorinated alkyl group which may also contain alkene unsaturations, arylene, moieties or aryl substituents. Fluorine containing linear alkyl ether group, fluorine containing branched alkyl ether group, fluorine containing cyclic alkyl ether group, refer to linear, branched or cyclic ether are linear, branched or cyclic, partially or fully fluorinated, alkyl or alkyloxy moieties containing one or more ether moieties, which may also contain alkene unsaturations, arylene, moieties or aryl substituents.
A Sii-Sig siloxane moiety refers to a moiety containing a (— Si(alkyl)2-0)n.alkylene species where the alkyl moiety may be a linear, branched or cyclic alkyl moiety and n designates the number of siloxane moieties in a chain where n=l to 8. A linear hydrocarbon moiety with a Sii-Sig siloxane pendant group, a branched hydrocarbon moiety with a Sii-Si8 siloxane pendant group, a cyclic hydrocarbon moiety with a Sii-Si8 siloxane pendant group, refer to respectively a linear, branched or cyclic alkylene moiety to which is attached a Sii-Sig siloxane moiety as defined above. A carbosilane, refers to an alkyl moiety in which at least one carbon atom has been replaced by a silicon atom. A linear hydrocarbon moiety with a carbosilane pendant group, a branched hydrocarbon moiety with a carbosilane pendant group, and a cyclic hydrocarbon moiety with a carbosilane pendant group, refers to respectively a linear, branched, or cyclic alkylene moiety to which is attached a carbosilane moiety as described above. A silane refers to a SinH2n+i moiety. A silylene refers to a SinH2n moiety. A linear hydrocarbon moiety with a silane pendant group, a branched hydrocarbon moiety to which is attached a silane pendant group, and a cyclic hydrocarbon moiety refers to respectively refers to respectively a linear, branched, or cyclic alkylene moiety to which is attached with a silane pendant group.
The term "-b-"refers to "-block-"and designates monomer repeat units that form block copolymer. The term "P" refers to "poly" when in front of a monomer acronym it designates the polymerized monomer (e.g. PS, designates poly(styrene) because S is the defined acronym for styrene). The term "JBCP" (Block copolymers with Junction with a surface active moiety) is an acronym which refers to the block copolymers of this invention with a junction group having structure (la) or (lb) as defined herein that contain a surface active moiety. The term "Surface Active Junction Group" refers to the X moiety in structure (la) and (lb) as defined herein. The term "Phase Separable" as used to describe polymer blocks in a block copolymer which are phase separable and refers to the property of these blocks when cast as a film to be able to phase separate from each other because of a mutual insolubility of the block domains with each other. This phase separability of the polymer block domains in a film may manifest itself spontaneously in solution, or alternatively in the cast film or by thermally treating (e.g., heating) of a cast film. When cast as a film the phase separability may also occur at room temperature if the polymer block polymer film is plasticized by solvent vapor. The term Vf refers to the volume fraction, and when further annotated with a subscript which denotes the acronym for a polymer repeat unit block (e.g. PS for polystyrene) denotes the volume fraction of this bock (e.g. VA>s denotes the volume fraction of the polystyrene block in a block copolymer containing this block). The term Lo is the domain periodicity of a self-assembled pattern of a BCP.
In one embodiment, the present invention relates to a novel block copolymer, wherein the block copolymer comprises a diblock copolymer with a divalent junction or linking group (X). The copolymer has a first polymer block (block A) and a second polymer block (block B). In particular embodiments, block B has a chemical structure different from block A and capable of phase-segregating from block A. In certain embodiments, the divalent linking group X covalently links an end repeat unit of block A to an end repeat unit of block B.
In certain embodiments, the block copolymer has the structure (la):
A
\ X
(la) wherein,
A is a block polymer chain, B is a block polymer chain, wherein A and B are chemically different covalently connected polymer chains which are phase separable; X is a divalent linking group between the A polymer block and the B polymer block selected from the group consisting of a fluorine containing moiety, a Sii-Si8 siloxane containing moiety, a hydrocarbon moiety with at least 18 carbons, and a combination thereof, and further wherein X has a surface energy less than that of block A and less than that of the block B.
In certain embodiments, the block copolymer has the structure (lb):
E1 P' X P" E2 (lb) wherein
E1 is a monovalent first end group, E2 is a monovalent second end group,
P' is a first polymer chain representing a first block (block A) of the block copolymer,
P" is a second polymer chain representing a second block (block B) of the block copolymer, and
X is a divalent linking group joining an end repeat unit of P' to an end repeat unit of P", wherein X comprises 1-24 fluorines, and each of the fluorines of X is linked to a backbone carbon of the block copolymer.
In certain embodiments, the block copolymer has the structure (lb):
E1 P' X P" E2 (lb) wherein
E1 is a monovalent first end group, E2 is a monovalent second end group,
P' is a first polymer chain representing a first block (block A) of the block copolymer,
P" is a second polymer chain representing a second block (block B) of the block copolymer, and X is a divalent linking group joining an end repeat unit of P' to an end repeat unit ofP", wherein X comprises a perfluoroalkane containing from 1 -24 carbons, and X is linked at both ends to a backbone carbon of the block copolymer either directly or through an alkane spacer consisting of 1-6 carbons.
In certain embodiments, E1-?' are taken together to form block A and E2- P" are taken together to form block B. In certain embodiments, E1-?' are taken together to form block B and E2- P" are taken together to form block A. In certain embodiments, E1 and E2 are each
independently selected from the group consisting of H, hydroxyl,halide, alkyl, aryl and acyl groups. In particular embodiments, one of E1 or E2 is H and the other of E1 and E2 is an acyl group. In particular embodiments, one of E1 or E2 is a halide and the other of E1 and E2 is an acyl group. In particular embodiments, one of E1 or E2 is a Br and the other of E1 and E2 is an acyl group. In particular embodiments, at least one of E1 or E2 is a halide. In particular embodiments, at least one of E1 or E2 is Br. In particular embodiments, at least one of E1 or E2 is an acyl group. In particular embodiments, at least one of E1 or E2 is acetyl.
In certain embodiments, the first block comprises a copolymer of styrene and
trimethylsilylstyrene. In certain embodiments, X has the structure :
Figure imgf000012_0001
wherein n' is an integer having a value of 1-12, 2-10, or 2-7.
In certain embo diments , X has the structure :
Figure imgf000012_0002
wherein n"is an integer having a value of 1-5, 1 ^ , or 1-3.
In particular embodiments, X can be a divalent linking group covalently linking an end repeat unit of block A to an end repeat unit of block B, wherein X comprises 1 -24, or 2-10, or 2-7, fluorines. In particular embodiments, each of the fluorines of X is linked to a backbone carbon of the block copolymer. Specific examples of the fluorine containing moiety a), the Sil -Si8 siloxane moiety b) and the hydrocarbon moiety with at least 18 carbons c) are where, a) X is a linear hydrocarbon group containing fluorine, a fluorine containing branched hydrocarbon group, a fluorine containing linear alkyl ether group, a fluorine containing branched alkyl ether group, and a mixture thereof,
b) X is a Sii-Sig siloxane moiety, a carbosilane, a silylene, and a mixture thereof, and c) X is a linear hydrocarbon group with at least 18 carbons, and a branched hydrocarbon with at least 18 carbons.
In certain aspects, X is a fluorinated alkylene group of formula (C-1):
(C-1),
\ 1 / m' 2 'n' \ 3 J w
wherein each carbon linked to a fluorine is a backbone carbon of the block copolymer, n' is an integer having a value of 2-12, 2-10, or 2-7, m' is an integer having a value of 1-5, \A, or 2-3, and k' is an integer having a value of 1-5, 1-4, or 2-3.
In certain aspects, X is a divalent linear fluorinated ethylene oxide group in accordance with formula (C-2):
Figure imgf000013_0001
wherein each carbon linked to a fluorine is a backbone carbon of the block copolymer, and n" is an integer having a value of 1-5, 1-4, or 2-3.
In one aspect of this invention the junction moiety X in structures (la) and (lb) has a surface energy less than about 30 mN m 1. In a more specific embodiment, the junction moiety has as surface energy between 30 mN m" 1 and 10 mN m"1, preferably between 25 mN m_1and 14 mN m"1. Typically, the block copolymers of structures (la) and (lb) suitable for being used in these inventions have a weight-averaged molecular weight (Mw) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (Mn) of about 1,000 to about 60,000 and a polydispersity (Mw/Mn) (PD) of about 1.01 to about 6, or 1.01 to about 2 or 1.01 to about 1.5. Further embodiments are wherein in structures (la) and (lb), a is an integer from 1 or 2. Another embodiment is where b is an integer from 1 to 2. In another embodiment of the block copolymers having structure (la) or (lb), the Mn ranges from 4,000 - 150,000 and the polydispersity (PD) ranges from 1.01 to 5.0, more preferably from 1.01 to 2.0.
In another embodiment of the present invention one of block A or block B comprises repeat units which are resistant to plasma etching techniques typically employed in manufacturing IC devices relative to the other block; and the other block either etches rapidly under these same plasma etch conditions or can be removed by chemical or photochemical processes. This property enables the pattern transfer of a self-assembled domain pattern into the substrate, when these materials are formulated with a solvent, and coated on a patterned substrate. If the self-assembly occurs on a patterned substrate it is directed self-assembly. The process of directed self-assembly of the novel block copolymer may, for instance, be made under a using a graphoepitaxy approach or a chemoepitaxy approach.
Another embodiment of the present invention is where block A in structure (1 a) or (lb) is comprised of units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers, and where block B is comprised of units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers, and further wherein polymeric block A and B are different from each other and are phase separable.
Another aspect of this invention is where either block A or B is derived from a vinyl polymerizable monomer. In further embodiments, each of block A and block B are independently derived from a vinyl aryl monomer, a lactone, a lactam, an epoxide, a cyclic carbonate monomer or an ethylenically unsaturated polymerizable monomer. In particular embodiments, block A and block B are chemically distinct and phase separable (e.g., form a pattern of phase-segregated alternating domains).
In certain embodiments, block A comprises an ethylenic repeat unit of formula (A-l):
Figure imgf000015_0001
wherein i) each Rw is a monovalent radical selected from the group consisting of H, F, methyl, ethyl, and trifluoromethyl (*-CF3) and ii) each R is a monovalent radical comprising an aromatic ring linked to carbon 1.
In certain embodiments, block A comprises an ethylenic repeat unit selected from the consisting of
Figure imgf000015_0002
and combinations thereof.
In certain embodiments, block B comprises an aliphatic carbonate repeat unit. In certain embodiments, the aliphatic carbonate repeat unit comprises a pendent ester group. In particular embodiments, the aliphatic carbonate repeat unit has a structure according to formula (B- 4):
Figure imgf000015_0003
wherein Rs is a monovalent hydrocarbyl group comprising 1-20, 1-10, or 2-5 carbons.
In certain embodiments, Rs is selected from the group consisting of methyl, ethyl, propyl, butyl, pentyl, hexyl, and benzyl. In particular embodiments, R8 is methyl. In certain embodiments, block B comprises an aliphatic esterrepeat unit. In certain embodiments, the aliphatic ester repeat unit has a structure
Figure imgf000016_0001
wherein j' is an integer having a value of 0-4, 0-3, or 1-2.
In certain embodiments, the aliphatic ester repeat unit has a structure
Figure imgf000016_0002
In certain embodiments, block B comprises an aliphatic ether repeat unit. In particular embodiments, the aliphatic ether repeat unit is selected from the group consisting of ethylene oxide, propylene oxide, ring opened glycidyl ethers, and combinations thereof.
In certain embodiment, block B is a homopolymer comprising a repeat unit selected from the group consisting of
Figure imgf000016_0003
Another embodiment of structure (la) or (lb) is where the linking group X in structure (la) or (lb) is a moiety with 3 to 7 attachment points selected from a multivalent heteroatom, a multivalent group comprised of hetero atoms, a multivalent organic group, a multivalent organic group containing heteroatoms, and combinations thereof. More specific examples of X moieties are a Ci-C30 linear alkyleneoxy moiety, a C3-C30 branched alkyleneoxy moiety, a Ci-C2o urethane- linear alkylene moiety(-N(Rn)-C(=0)-0-linear alkylene), a C3-C30 urethane- branched alkylene moiety (-N(Rn)-C(=0)-0-branched alkylene moiety), a C1-C20 urea- linear alkylene moiety(-
Figure imgf000017_0001
N(Rn)-branched alkylene moiety), a C1-C20 thiourea- linear alkylene moiety(-N(Rn)-C(=S)- N(Rii)-linear alkylene), a C3-C30 thiourea- branched alkylene moiety (-N(Rn)-C(=S)-N(Rn)- branched alkylene moiety), where Ru is hydrogen or a Ci to C4 alkyl, a 1,2,3-triazole moiety, a 1,2,3-triazole Ci-C30 alkylene moiety, a 1,2,3-triazole C3-C30 branched alkylenene moiety, a 1,2,3-triazole C3-C3ocyclic alkylenene moiety, a 1,2,3-triazole Ci-C30 alkylene oxy moiety, a 1,2,3-triazole C3-C30 branched alkyleneneoxy moiety, a 1,2,3-triazole C3-C30cyclic alkyleneneoxy moiety, a C3-C30 cyclic alkoxy moiety, a C3-C30 linear alkyleneoxycarbonyl moiety, a C5-C30 branched alkyleneoxycarbonyl moiety, a C3-C30 cyclic alkoxycarbonyl moiety, a Ci-C30 linear fluoroalkylene moiety, a C3-C30 branched fluoroalkylene moiety, a C6-C30 cyclic fluoroalkylene moiety, a C6-C30 arylene moiety, a C6-C30 fluoroarylene moiety, a C5-C30 oxyalkyleneoxycarbonylalkylene moiety, a C2-C3o alkyleneoxyalkylene moiety, an aryl substituted C8-C42 alkyleneoxy moiety, an aryl substituted C8-C42 alkyleneoxyalkylene moiety, a C8-C42 aryl substituted alkylene moiety, a C7-C30 alkylenearylene moiety, a C7-C30 fluoroalkylenearylene moiety, and combinations thereof.
A more specific embodiment of this aspect are when the X moiety is chosen from a C5- C30 oxyalkyleneoxycarbonylalkylene moiety, a C2-C30 alkyleneoxyalkylene moiety, an aryl substituted C8-C42 alkyleneoxy moiety, an aryl substituted C8-C42 alkyleneoxyalkylene moiety, or a C8-C42 aryl substituted alkylene moiety.
A further embodiment of structure (la) or (lb) is where the moiety X is a fluorine containing hydrocarbon group such as a linear Ci-Ciofluoroalkylene group, a C3-Ci0 branched fluoroalkylene group, an alkylenefluoroalkylene group (-(CH2)q(CF2)r-CF2-), a CFH containing alkylenefluoroalkylene group -(-CH2.)q(CHF)q2(CF2)r-CF2-), an alkylenefluoroether- fluoroalkylene group -(-CH2.)q(-CF2-0-)s(-CF2CF2-0-)t(CF2)uCF2-; a CHF containing alkylenefluoroether-fluoroalkylene group (-CH2.)q(CHF)q2(-CF2-0-)s(-CF2CF2-0-)t(CF2)uCF2-; or a moiety having structure (2)
Figure imgf000017_0002
wherein q is an integer from 0 to 10, q2 is an integer from 1 to 10, r is an integer from 1 to 10, s is an integer from 0-10, t is an integer from 1 to 10, u is an integer between 0 and 10 x is 1 to 5, y is 1 to 5, and P is a direct bond, a Ci to C4 alkylene, or a -CH2-CH2-(CF2)4- moiety.
Another aspect of the invention is where structure (la) or (lb) has an X moiety which is comprised of an oxyalkyleneoxycarbonyalkylene moiety. In this aspect of the invention the novel block copolymer may be made by atom-transfer radical-polymerization (ATRP) initiators or ring- opening polymerization (ROP) initiators.
The block copolymer having structure (la) or (lb), and the substructures described above may be made by a variety of approaches, such as, for instance, block copolymer prepared by using atom-transfer radical-polymerization (ATRP) and ring-opening polymerization (ROP) initiators, block copolymers prepared using a 1 ,1 -diphenylethylene (DPE) derivative as an initiator and capping agent in anionic block polymerization.
Scheme 1 shows the synthesis of an ATRP and ROP initiator comprised of an oxy-X- carbonylalkylene moiety. This initiator may be employed to make novel block copolymers of structure (la or (lb) . Similarly, other types of X moieties may be attached to such initiators by using suitable alcohols containing suitable X moieties such as a fluorine containing linear hydrocarbon group, a fluorine containing branched hydrocarbon group, a fluorine containing linear alkylene ether group, a fluorine containing branched alkylene ether group, or a mixture thereof, a Sii-Si8 siloxane moiety, a carbosilane, a silylene, , or a linear hydrocarbon chain having more than 18 carbons.
Figure imgf000019_0001
THF / HC1
HO— X OH
Figure imgf000019_0002
Scheme 1
A non-limiting example for the synthesis of linear junction A-B diblock copolymers with junction configurations X (e.g., linear perfluoroalkanes and linear perfluroethers) is shown in Scheme 2. As shown, block A is styrene but other polymer blocks can be used (such as substituted polystyrenes). Likewise, as shown, block B is trimethylcarbonate (TMC) but other polymer blocks can be used (such as polylactide (PLA), polycaprolactone (PCL) and trimethyl carbonates).
H
Figure imgf000020_0001
O CH3
HO X O— C— C Br
\
CH3
ATRP condition nA
Figure imgf000020_0002
Scheme 2
The diol can be used to selectively convert one of the hydroxyl groups into an atom transfer radical polymerization initiator. This can be performed via reacting the diol with 2- bromo-2 -methylpropionyl bromide in the presence of triethylamine in dichloromethane. The selectivity of monofunctionalization can be controlled via slow addition of 2-bromo-2- methylpropionyl bromide. The side products, such as difunctional and unreacted reagents, can be removed by column chromatography techniques. The monofunctional reagent will be used to initiate styrene monomer under ATRP conditions in the presence of CuBr complexed with ligands that are widely used in the literature (see, for example, U.S. Patent Application Serial No. 14/628,002 filed February 20, 2015, which is hereby incorporated by reference in its entirety). The resulting polystyrene with hydroxyl endgroup (PS(F)-OH) will be used to synthesize second block using ring-opening anionic coordinative polymerization of cyclic monomers selected from cyclic carbonates, D,L-lactides, lactones, etc. The conditions used to polymerize these monomers using hydroxyl containing initiators is well known in the literature. The use of macroinitiator, PS(F)-OH under the conditions described in the literature will produce the desired diblock copolymer with the desired junction linker X moiety. The formation of polymer blocks containing units derived from cyclic monomers by ring-opening polymerization in the novel block copolymers having structure (la) or (lb) may be accomplished using techniques described for other types of diblock copolymers in which one of the blocks has been derived by ring-opening polymerization, such as is disclosed in U.S. Patent No. 8,642,086, which is hereby incorporated by reference in its entirety.
It will be understood that other coupling reactions known in the art may be employed to join two different polymer blocks together through a linking X moiety of structure (1 a) or (lb).
In the block copolymer of structure (la) or (lb), block A may be a moiety comprised of units derived from an alkylvinyl monomer, an alkyl methacrylate monomer, an alkyl acrylate monomer, a lactone monomer, an epoxide monomer, a lactam monomer, a cyclic carbonate monomer, and block B may be a moiety comprised of repeat units derived from a vinylaryl monomer and further wherein block A and B are phase separable.
Moreover, in another embodiment of this invention, block A may be comprised of units derived from an ethylenically unsaturated polymerizable monomers, which is a vinyl aryl monomer and block B may be comprised of units derived from either an ethylenically unsaturated polymerizable monomer, selected from an acrylate or methacrylate or comprised of units derived from ring-opening polymerization (ROP) of cyclic monomers, selected from lactone, or cyclic carbonates and further wherein block A and B are phase separable.
In another embodiment the block copolymer of structure (la) or (lb) has one block which is derived from a ring-opening polymerizable monomer. In another embodiment, block A and B may be derived from two different ethylenically unsaturated polymerizable monomers where block A and B are phase separable moieties.
When the polymer block in the novel block copolymer having structure (la) or (lb) is derived from either a cyclic carbonyl monomer or an ethylenically unsaturated polymerizable monomer it can be a homopolymer or a random copolymer. The cyclic carbonyl monomers can be stereospecific or non-stereospecific.
The formation of polymer blocks containing units derived from cyclic monomers by ring- opening polymerization in the novel block copolymer having structure (la) or (lb) may be accomplished using techniques described for other types of diblock copolymers in which one of the blocks has been derived by ring-opening polymerization such as disclosed in (US 8642086 B2) incorporated herein by reference in its entirety.
Generally, in structure (la) or (lb), one block in the diblock copolymer is resistant to plasma etching while the other block or etches very quickly under the same conditions allowing for pattern transfer of the self-assembled pattern formed by coating and annealing the novel polymers of structure (la) or (lb) into a substrate. This self-assembly is directed on a patterned substrate using either a graphoepitaxy or chemoepitaxy approach.
Ethylenically unsaturated monomers which can be employed to form a polymer block in the novel block polymers having structure (l a) or (lb) may be comprised of units derived from a vinyl aryl, an alkylvinyl, monomer, an alkyl methacrylate monomer, or an alkyl acrylate monomer. A polymer block in the novel block copolymer having structure (la) or (lb) derived from unsaturated monomers can be a homopolymer or a random copolymer. Ethylenically unsaturated can be stereospecific or non-stereospecific.
Non limiting examples of alkyl vinyl compounds, alkyl acrylate or alkyl methacrylate that can be employed to make a block of the novel block copolymers of this invention are one where the alkyl group is a C1-C4 fluoroalkyl, a Ci-Ciolinear alkyl moiety, a C1-C4 hydroxfluoroalkylene group, a C2-Ci0- alkyloxyalkylene group, a C1-C10- hydroxyalkylene group, a C3-C20 branched alkyl a C3-C2o cyclic alkyl, a C2-C2o carboxylalkylene, a C3-C20 alkyloxycarboxylalkylene, or a C3-C20 alkyloxycarboxyloxyalkylene; other substituents which do not contain a refractory element are possible. The alkyl group in these examples, attached to a carboxyl moiety may also be an acid cleavable group such as a tertiary ester an acetal or ketal capable of releasing a free carboxyl moiety when reacted with a strong acid formed either by a thermal acid generator or a photoacid generator. If the block derived from an alkyl vinyl compound, alkyl acrylate or alkyl methacrylate is to be used as the etch resistant block moiety the alkyl group may be substituted with moieties containing a refractory element such as a C3-C20 trialkylsilyl group, a C3-C2o trialkylgermanium group, a C3-C20 trialkyltin group, or C3-C2o trialkyltitanium group.
Non limiting examples of vinyl aryl compounds that can be employed to make an etch resistant block of the novel block copolymers of structure (la) or (lb) of this invention are unsubstituted styrene, unsubstituted vinyl naphthalenes, unsubstituted vinyl anthracite, unsubstituted vinyl pyrene and the like, or these aryl moieties containing one or more substituents such as a C1-C20 alkyl group, a C1-C20 hydroxy alkyl group, a C2-Ci0- alkyloxyalkylene group, a C1-C4 fluoroalkyl, a C1-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, (these acid cleavable moieties capable of releasing respectively a free hydroxyl or free carboxyl moiety when reacted with a strong acid present in a film formed either by a thermal acid generator or a photoacid generator), a fluoroalcohol group, a C3-C20 trialkylsilyl group, a C3-C2o trialkylgermanium group, a C3-C2o trialkyltin group, a C3-C2o trialkyltitanium group, a C2-C2o alkylcarbonyl, a C2-C20 alkylcarbonyloxy, a Ci-C20 alkoxy or a C9-C36 tris(trialkylsilyl)silyl group, unsubstituted vinyl naphthalene and vinyl naphthalene substituted with, a Ci-C20 alkyl group, a C3-C2otrialkylsilyl group, a C3-C2o trialkylgermanium group, a C3-C2o trialkyltin group, a C3-C20 trialkyltitanium group, a C2-C20 alkylcarbonyl, a C2-C20 alkylcarbonyloxy, a Ci-C20 alkoxy, a C9-C36 tris(trialkylsilyl)silyl group, vinyl anthracene, a vinyl anthracene substituted with a d- C20 alkyl group, a C3-C20 trialkylsilyl group, a C3-C20 trialkylgermanium group, a C3-C20 trialkyltin group, a C3-C20 trialkyltitanium group, a C2-C20 alkylcarbonyl, a C2-C20 alkylcarbonyloxy, a d- C20 alkoxy, a C9-C36 tris(trialkylsilyl)silyl group, vinyl pyrene, a vinyl pyrene substituted with a Ci-C20 alkyl group, a C3-C20 trialkylsilyl group, a C3-C20 trialkylgermanium group, a C3-C20 trialkyltin group, a C3-C20 trialkyltitanium group, a C2-C20 alkylcarbonyl, a C2-C20 alkylcarbonyloxy, a Ci-C20 alkoxy or a C9-C36 tris(trialkylsilyl)silyl group or the like.
In one embodiment of the novel diblock polymer having structure (la) or (lb) the two polymer blocks are derived from two different ethylenically unsaturated monomers one block of is plasma etch resistant and is derived from either a vinyl aryl compounds, a vinyl alkyl, an alkyl methacrylate or a alkyl acrylate, where the alkyl group contains a refractory element as described above, while the other block etches quickly with the same plasma chemistry such as blocks derived from alkyl vinyl, alkyl acrylate, alkyl methacrylate where the alkyl moiety contains no refractory elements .
In another embodiment of the novel diblock polymer having structure (la) or (lb) one polymer block is etch resistant and derived either from a vinyl aryl compounds, a vinyl alkyl, an alkyl methacrylate or an alkyl acrylate, where the alkyl group contains a refractory element as described above, while the other block which etches quickly with the same plasma chemistry is derived from ring-opening polymerization of a cyclic monomer, such as an epoxide, a lactone, a lactam, or a cyclic carbonate. These cyclic monomers may contain one or more substituents, which do not contain refractory element, or aromatic rings. Non limiting examples of possible substituents, are hydroxyl groups, a Ci-C2o alkyl group, a Ci-C2o hydroxy alkyl group, a C2-Cio- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C1-C4 fluoroalkyl, a C1-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, an alkylcarbonyl group, a alkyl group containing a double or triple carbon bound and other substituent that do not contain a refractory element. Specific examples of lactones which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: beta-propiolactone, gamma- butyrolactone,delta-valerolactone, epsilon-caprolactone, beta-butyrolactone, gamma- valerolactone, pivalolactone, l,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2-one a cyclic carbonate selected from trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, glycoside, 7-oxooxepan-4-yl 2-bromo-2-methylpropanoate, 5-phenyloxepan-2-one, 5- methyloxepan-2-one, l,4,8-trioxa(4,6)spiro-9-undecane, 5-(benzyloxymethyl)oxepan-2-one, 7- oxooxepan-4-yl 3-hydroxy-2-(hydroxymethyl)-2-methylpropanoate, (Z)-6,7-dihydrooxepin- 2(3H)-one, D-lactide, L-lactide, meso-lactide or these materials containing other subsitutents such as hydroxyl groups, a Ci-C2o alkyl group, a Ci-C2o hydroxy alkyl group, a C2-Ci0- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a Ci-C4 fluoroalkyl, a Ci-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, a alkylcarbonyl group, a alkyl group containing a double or triple carbon bound a refractory element such as a C3-C2otrialkylsilyl group, a C3-C2o trialkylgermanium group, a C3-C20 trialkyltin group, or C3-C2o trialkyltitanium group.
Specific examples of carbonates which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, 5-methyl-2-oxo-l ,3-dioxane-5- carboxylic acid, methyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, tert-butyl 5-methyl-2-oxo- l,3-dioxane-5-carboxylate, ethyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 5-methyl-2-oxo-l,3- dioxane-5-carbonyl chloride, benzyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2-(tetrahydro- 2H-pyran-2-yloxy)ethyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2,2,3,3,4,4,5,5,6,6,7,7,7- tridecafluoroheptyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2,2,2-trifluoroethyl 5-methyl-2- oxo-l,3-dioxane-5-carboxylate, prop-2-ynyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, allyl 5- methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2-(2-methoxyethoxy)ethyl 5 -methyl-2-oxo- 1,3- dioxane-5-carboxylate, 3-(tert-butylthio)propyl 5 -methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2- (tert-butoxycarbonylamino)ethyl 5 -methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2-(pyridin-2- yldisulfanyl)ethyl 5 -methyl-2-oxo- 1,3 -dioxane-5 -carboxylate, 4-(hydroxymethyl)benzyl 5- methyl-2-oxo-l,3-dioxane-5-carboxylate, tert-butyl 4-(5-methyl-2-oxo-l ,3-dioxane-5- carbonyloxy)piperidine-l -carboxylate, N-benzyl-5-methyl-2-oxo-l,3-dioxane-5-carboxamide, N- isopropyl-N,5-dimethyl-2-oxo- 1,3 -dioxane-5 -carboxamide, 5, 5 -dimethyl trimethylene carbonate (5,5-dimethyl-l,3-dioxan-2-one, 5-methyl trimethylene carbonate (5-methyl-l ,3-dioxan-2-one), 5,5-diethyl trimethylene carbonate (5,5-diethyl-l ,3-dioxan-2-one), and 5-ethyl trimethylene carbonate (5-ethyl-l,3-dioxan-2-one) or any of these materials containing other subsitutents such as hydroxyl groups, a Ci-C2o alkyl group, a Ci-C2o hydroxy alkyl group, a C2-Ci0- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C1-C4 fluoroalkyl, a C1-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, a alkylcarbonyl group, a alkyl group containing a double or triple carbon bound a refractory element such as a C3-C2otrialkylsilyl group, a C3-C20 trialkylgermanium group, a C3-C20 trialkyltin group, or C3-C20 trialkyltitanium group.
Specific examples of epoxides which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb) are as follows: propylene oxide, butylene oxide, ethylene oxide, styrene oxide, glycidyl ether with an R group that is Ci-c20 hydrocarbon (linear, aliphatic, aromatic, branched, etc., and silicon containing epoxy monomers (e.g. with trimethylsilyl (TMS) pendant groups attached to oxygen or carbon), or any of these materials with other subsitutents such as hydroxyl groups, a Ci-C2o alkyl group, a Ci-C2o hydroxy alkyl group, a C2-C10- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C1-C4 fluoroalkyl, a C1-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, an alkylcarbonyl group, an alkyl group containing a double or triple carbon bound a refractory element such as a C3-C20 trialkylsilyl group, a C3-C20 trialkylgermanium group, a C3-C20 trialkyltin group, or a C3-C20 trialkyltitanium group.
Specific examples of lactams which may be employed as precursors for one of the blocks in the novel block copolymer of structure (la) or (lb): β-propiolactam, γ-butyrolactam, δ- valerolactam, and ε-caprolactam and these lactams or any of these materials with other subsitutents such as hydroxyl groups, a Ci-C20 alkyl group, a Ci-C20 hydroxy alkyl group, a C2- C10- alkyloxyalkylene group, halide (F, CI, Br, I), haloalkyl, cyano, a C1-C4 fluoroalkyl, a C1-C4 hydroxfluoroalkylene group, a hydroxyl group, a hydroxyl group blocked with an acid labile group, a carboxyl group, a carboxyl group blocked with an acid labile group, a carboxyalkylene group, a carboxyalkylene group where the carboxyl group is blocked by an acid labile group, an alkyloxycarbonyl group, a alkylcarbonyl group, a alkyl group containing a double or triple carbon bound a refractory element such as a C3-C2otrialkylsilyl group, a C3-C2o trialkylgermanium group, a C3-C20 trialkyltin group, or C3-C2o trialkyltitanium group.
In another embodiment the novel diblock polymer having structure (la) or (lb) one polymer block is etch resistant and derived from either a vinyl aryl compounds or an etch resistant vinyl alkyl, an etch resistant alkyl methacrylate, an etch resistant alkyl acrylate, or an etch resistant substituted cyclic monomer. The etch resistance of the vinyl alkyl, alkyl methacrylate, alkyl acrylate or substituted cyclic monomer is imparted by having the alkyl group or the substituents on the cyclic monomer contain either a refractory element as described above, or contains an aryl substituent, an arylakylene, or an alkylarylene, or a polycyclic alkyl substituent.
In another embodiment pf the novel block copolymer having structure (la), or (lb) the ethylenically unsaturated monomer which can be employed to form a polymer block A may be comprised of units derived from vinyl aryl monomer and polymer block B may be comprised of units derived from either an ethylenically unsaturated polymerizable monomers, a lactone or a cyclic carbonate and further wherein block A and B are phase separable. In this embodiment the ethylenically unsaturated polymerizable monomers may be selected from an acrylate or methacrylate or comprised on units derived from ring-opening polymerization (ROP) of cyclic monomers, selected from lactone, or cyclic carbonates, wherein the vinyl aryl chosen from the group consisting of styrene, 4-methylstyrene, 4-ethyl styrene, 4-n-propylstyrene, 4- isopropylstyrene, 4-n-butylstyrene, 4-trimethylsilylstyrene,4-cyclohexylstyrene, 4- cyclopentylstyrene, 3-trimethylsilylstyrene, 4-tertbutylstyrene, 4-isoamylstyrene, 4- methoxystyrene, 4-n-propyloxystyrene, 4-isopropyloxystyrene, 4-n-butyloxystyrene, 4- cyclohexyloxystyrene, 4-cyclopentyloxystyrene, 4-trimethylsilyloxystyrene, 3- trimethyloxysilylstyrene, 4-tertbutyloxystyrene, 4-isoamyloxystyrene, 4-trifluoromethylstyrene, 3-trifluoromethylstyrene, 4-trifluoromethyloxystyrene, 3-trifluoromethyloxystyrene, 4-tert- butyloxycarbonyloxystyrene, 4-tertbutyloxycarbonylstyrene, 4-tertbutoxycarbonyloxystyrene, 4- vinylbenzoic acid, 4-vinylbenzyl alcohol, methyl 4-vinylbenzoate, a-methylstyrene, 2,4- dimethylstyrene, 2, 5 -Dimethylstyrene, and 2,4,6-trimethylstyrene, and where the methacrylate, if present, may be selected from the group consisting of methyl methacrylate, ethyl methacrylate, propyl methacrylate, n -butyl methacrylate, isopropy methacrylate, i-butyl methacrylate, cyclohexyl methacrylate, cyclopentyl methacrylate, isobornyl methacrylatehydroxy-l -adamantyl methacrylate, 2-ethyl-2-adamantyl methacrylate, 2-adamantyl methacrylate, ethylcyclopentylmethacrylate, 2-ethyl-, adamantyloxymethyl methacrylate, tricyclo[5,2,l,02'6]deca-8-yl methacrylate, 2-oxoadamantyl methacrylate, 2-ethyl-2- diamantylmethacrylate, 2-carboxyethyl methacrylate methyl ester, 2-carboxyethyl methacrylate ethyl ester, 2-carboxyethyl methacrylate propyl ester, 2-carboxyethyl methacrylate n-butyl ester, 2-carboxyethyl methacrylate tert-butyl ester, 2-carboxyethyl methacrylate adamantly ester, and 2- hydroxylethyl methacrylate, and acrylates if present may be selected form thee group consisting of methyl acrylate, ethyl acrylate, propyl acrylate, n-butyl acrylate, i-butyl acrylate, isopropy acrylate, cyclohexyl acrylate, cyclopentyl acrylate, isobornyl acrylate, hydroxy- 1 -adamantyl acrylate, 2-ethyl-2-adamantyl acrylate, 2-adamantyl acrylate, ethylcyclopentylacrylate, 2-ethyl-, adamantyloxymethyl acrylate, tricyclo[5,2,l,02'6]deca-8-yl acrylate, 2-oxoadamantyl acrylate, 2- ethyl-2-diamantylacrylate, 2-carboxyethyl acrylate methyl ester, 2-carboxyethyl acrylate ethyl ester, 2-carboxyethyl acrylate propyl ester, 2-carboxyethyl acrylate n-butyl ester, 2-carboxyethyl acrylate tert-butyl ester, 2-carboxyethyl acrylate adamantly ester, and 2-hydroxylethyl acrylate. Also in this embodiment the lactone may be selected from the group consisting beta- propiolactone, gamma-butyrolactone, delta-valerolactone, epsilon-caprolactone, beta- butyrolactone, gamma-valerolactone, pivalolactone, l,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2- one, 7-oxooxepan-4-yl 2-bromo-2-methylpropanoate, 5-phenyloxepan-2-one, 5-methyloxepan-2- one, l,4,8-trioxa(4,6)spiro-9-undecane, 5-(benzyloxymethyl)oxepan-2-one, 7-oxooxepan-4-yl 3- hydroxy-2-(hydroxymethyl)-2-methylpropanoate, (Z)-6,7-dihydrooxepin-2(3H)-one, glycolide, D-lactide, L- racemic lactide, 1 :1 D:L forms lactide, and meso-lactide. Also in this embodiment the cyclic carbonate may be selected from the group consisting of trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, 5-methyl-2-oxo-l ,3-dioxane-5-carboxylic acid, methyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, tert-butyl 5-methyl-2-oxo-l ,3-dioxane- 5-carboxylate, ethyl 5-methyl-2-oxo-l ,3-dioxane-5-carboxylate, 5-methyl-2-oxo-l ,3-dioxane-5- carbonyl chloride, benzyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2-(tetrahydro-2H-pyran-2- yloxy)ethyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2,2,3,3,4,4,5,5,6,6,7,7,7- tridecafluoroheptyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, 2,2,2-trifluoroethyl 5-methyl-2- oxo-l,3-dioxane-5-carboxylate, prop-2-ynyl 5-methyl-2-oxo-l,3-dioxane-5-carboxylate, allyl 5- methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2-(2-methoxyethoxy)ethyl 5 -methyl-2-oxo- 1,3- dioxane-5-carboxylate, 3-(tert-butylthio)propyl 5 -methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2- (tert-butoxycarbonylamino)ethyl 5 -methyl-2-oxo- 1 ,3 -dioxane-5 -carboxylate, 2-(pyridin-2- yldisulfanyl)ethyl 5 -methyl-2-oxo- 1,3 -dioxane-5 -carboxylate, 4-(hydroxymethyl)benzyl 5- methyl-2-oxo-l,3-dioxane-5-carboxylate, tert-butyl 4-(5-methyl-2-oxo-l ,3-dioxane-5- carbonyloxy)piperidine-l -carboxylate, N-benzyl-5-methyl-2-oxo-l,3-dioxane-5-carboxamide, N- isopropyl-N,5-dimethyl-2-oxo- 1,3 -dioxane-5 -carboxamide, 5, 5 -dimethyl trimethylene carbonate (5,5-dimethyl-l,3-dioxan-2-one, 5-methyl trimethylene carbonate (5-methyl-l ,3-dioxan-2-one), 5,5-diethyl trimethylene carbonate (5,5-diethyl-l ,3-dioxan-2-one), and 5-ethyl trimethylene carbonate (5-ethyl- 1 ,3 -dioxan-2-one).
In another more specific embodiment of the novel block copolymer ,having structure (la) or (lb) the ethylenically unsaturated monomer which can be employed to form polymer block A may be comprised of units derived from vinyl aryl monomer and block B may be comprised of units derived from either an ethylenically unsaturated and polymer block B is comprised of units derived from either an ethylenically unsaturated polymerizable monomer, or a lactone and further wherein block A and B are phase separable. In this embodiment the ethylenically unsaturated polymerizable monomers may be. In this embodiment the ethylenically unsaturated polymerizable monomer is selected from an acrylate or methacrylate or comprised on units derived from ring-opening polymerization (ROP) of a cyclic carbonates, where the vinyl aryl is chosen from the group consisting of styrene, 4-methylstyrene and 4-ethyl styrene, and where the methacrylate, if present, is selected from the group consisting of methyl methacrylate, ethyl methacrylate, and propyl methacrylate; and the lactone, is selected from the group consisting of beta-propiolactone, gamma-butyrolactone,delta-valerolactone, epsilon-caprolactone, beta- butyrolactone, gamma-valerolactone, pivalolactone, l ,5-dioxepan-2-one, 5-(benzyloxy)oxepan-2- one a cyclic carbonate selected from trimethylene carbonate, tetramethylene carbonate, pentamethylene carbonate, glycoside, 7-oxooxepan-4-yl 2-bromo-2-methylpropanoate, 5- phenyloxepan-2-one, 5-methyloxepan-2-one, l,4,8-trioxa(4,6)spiro-9-undecane, 5- (benzyloxymethyl)oxepan-2-one, 7 -oxooxepan-4-yl 3 -hydroxy-2-(hydroxymethyl)-2- methylpropanoate, (Z)-6,7-dihydrooxepin-2(3H)-one, D-lactide, L-lactide, meso-lactide, and further wherein block A and B are phase separable.
The present invention also relates to a composition comprising the novel block copolymer of structure (la) or (lb), and a solvent. Solvents suitable for dissolving either the novel block copolymer of structure (la) or (lb) alone or in a composition with other components include propylene glycol monomethyl ether acetate (PGMEA), ethoxyethyl propionate, anisole, ethyl lactate, 2-heptanone, cyclohexanone, amyl acetate, n-butyl acetate, n-amyl ketone (MAK), gamma-butyrolactone (GBL), toluene, and the like. In an embodiment, specifically useful casting solvents include propylene glycol monomethyl ether acetate (PGMEA), gamma-butyrolactone (GBL), or a combination of these solvents. The wt% of the novel block copolymer having structure (la) or (lb) in a solvent may range from 0.2 to 10 wt%. In another embodiment the range may be from 0.5 to 10 wt%. In yet another embodiment the range may be 0.5 to 5 wt%. In yet another more specific embodiment the range may be 0.8 to 1.2 wt%. In particular embodiments, the composition is capable of forming a film layer comprising the block copolymer, wherein the film layer is capable of self-assembling spontaneously and/or when thermally treated, thereby forming a pattern of phase-segregated alternating domains comprising respective chemically distinct blocks of the block copolymer.
Solutions containing the novel block copolymer of structure (la) or (lb) can further comprise additional components and/or additives selected from the group consisting of: inorganic-containing polymers; additives including small molecules, inorganic-containing molecules, surfactants, photoacid generators, thermal acid generators, quenchers, hardeners, cross-linkers, chain extenders, and the like; and combinations comprising at least one of the foregoing, wherein one or more of the additional components and/or additives co-assemble with the block copolymer to form the block copolymer assembly.
In another aspect of this invention the novel composition comprised of the first block copolymer of structure (la) or (lb) may further contain a second different block copolymer block copolymer of structure (la) or (lb). In this aspect of the invention the second block copolymer different from the first block copolymer may be present from 5 to 50 wt % of total solids.
In a further embodiment of the novel composition, the composition comprises the block copolymer of structure (la) or (lb) and a second block copolymer which does not have a junction group but comprises blocks derived from either ethylenically unsaturated monomers or cyclic monomers as described above for the novel block copolymers. A specific example of a suitable second diblock copolymer containing a high etch resistant block and a highly etchable block would be the block copolymer poly(styrene-b-methylmethacrylate). Typically, the block copolymers suitable for being used in these inventions have a weight-averaged molecular weight (Mw) in the range of about 3,000 to about 500,000 g/mol and a number averaged molecular weight (Mn) of about 1,000 to about 60,000 and a polydispersity (Mw/Mn) (PD) of about 1.01 to about 6, or 1.01 to about 2 or 1.01 to about 1.5. Other specific non-limiting examples of other diblock copolymers not containing a junction group that are may be useful as additional components are poly(styrene-b -methyl methacrylate), poly(styrene-b-butadiene), poly(styrene-b- isoprene), poly(styrene-b-methyl methacrylate), poly(styrene-b-alkenyl aromatics), poly(styrene- b-(ethylene-propylene)), poly(styrene-b-t-butyl (meth)acrylate), poly(styrene-b-tetrahydrofuran), poly(styrene-b-ethyleneoxide), poly(styrene-b-dimethylsiloxane), poly(methyl methacrylate-b- dimethylsiloxane), and poly(methylmethacrylate-b-4-vinylpyridine)). All these polymeric materials share in common the presence of at least one block which has repeat units resistant to plasma etching techniques typically employed in manufacturing IC devices, and at least one block which etches rapidly under these same conditions or that can be removed by chemical or photochemical processes. This allows for the directed self-assembled polymer to pattern transfer onto the substrate to affect via formation.
In this aspect of the invention the second block copolymer may be present from 1 to 20 wt%, or more preferentially from 5 to 10 wt % of total solids.
A further embodiment of this novel composition is where it further comprises a homopolymer as an additional component. This homopolymer may be a homopolymer derived from any of the ethylenically unsaturated monomers or cyclic monomer previously described above as suitable precursors for the block of the novel block copolymer having structure (la) or (lb). Such a homopolymer component may be present in a composition in a level range from 0.5 to 10 wt % or more specifically 1 to 5 wt % of solids.
The molar ratio in the novel block copolymer of the repeat unit in block A to that of the repeat unit in block B is between 1.2 to 0.8 and further as described above in all its possible embodiments.
The present invention relates to forming patterns using the novel composition in processes comprising directed self assembly of the novel block copolymer. Any process that comprises the step of directed self assembly of the novel block copolymer may be used.
The composition comprising the novel block copolymer may be used to form films by applying the novel composition a substrate by processes such as spin-casting, dip-coating, doctor blading, spraying, or any other known processes. Films of the block copolymer can have a thickness of 1-1000 nm and more particularly 1-130 nm. It is particularly thicknesses film equal or greater than 25 nm in particular in the thickness range of 25 to 125 nm. Films can be optionally annealed to promote self-assembly and remove defects. Annealing processes include thermal annealing, thermal gradient annealing, solvent vapor annealing, thermal solvent vapor annealing and the like. Thermal annealing can be carried out at a temperature above the glass transition temperature of the block copolymer and below the thermal decomposition temperature of the block copolymer. Thermal annealing may be carried out from a temperature of room temperature to about 300°C. Thermal annealing can be performed for a period of about 10 sec to about 100 hours, more particularly 30 seconds to 1 hour.
The block copolymers of the present invention can form self-assembled domains with various morphologies including lamella, cylinders, and spheres. The size (e.g. width) of these domains may be from lnm to lOOnm, from 2 nm to 30 nm, or more particularly from 3 nm to 20 nm. The present invention further relates to novel processes which employ the novel block copolymer of structure (la) or (lb). One of the unexpected results imparted by the novel block copolymers of structure (la) or (lb) is that, when they are coated on a substrate and made to undergo self-assembly, the underlayer does not need to be non-preferential (e.g. neutral). This is due to the larger process latitude (with respect to the underlayer surface affinity) for perpendicular alignment of the novel block copolymer domains imparted by the presence of the surface active junction group in structure (la) or (lb). Another unexpected results imparted by the novel block copolymers of structure (la) or (lb) is that they afford block copolymer domains with perpendicular alignment relative to the substrate for much thicker block copolymer films than analogous block copolymers without the novel surface-active junction group. Specifically, ranges from 25 nm to 125 nm are possible.
As a non-limiting example of how this may be used in pattern transfer, when the novel block copolymer is coated on an underlayer (i.e. substrate) and further processed the block copolymer forms microphase-separated domains that comprise cylindrical microdomains oriented perpendicular to the underlayer surface. This is because neither domain has any preference to associate with the underlayer, and which further provide parallel line/space patterns in the block copolymer assembly. The domains, so oriented, are thermally stable under further processing conditions. Thus, after forming a coating layer of the novel block copolymer on an underlayer, and causing it to self-assemble by baking and/or annealing, the domains of the block copolymer will form on and remain perpendicular to the underlayer surface.
One of the domains may be selectively removed in the presence of the other domain to generate an etched domain pattern. This selective removal may be accomplished by wet or dry processes. In one example wet or plasma etching could be used with optional UV exposure. Wet etching could be with acetic acid. Standard plasma etch process, such as a plasma comprising oxygen may be used; additionally argon, carbon monoxide, carbon dioxide, CF4, CHF3, may be present in the plasma. In the case of a thermally decomposable polymer block, the selective removal may be accomplished by a thermal bake. In another example, one of the block copolymer domains may be selectively modified after self-assembly to increase its etch resistance. For example, etch resistant metal or inorganic species may be introduced by chemical infiltration from solution or vapor. Domains or functional groups may be selectively reacted with reactive compounds such as silanes or silyl chlorides. Alternatively, cycles of atomic layer deposition (e.g. sequential infiltration synthesis) can be used to infiltrate etch-resistant materials into one domain. After modification, wet or dry etch processes may be used to remove the less resistant domain.
After selective removal process, the resulting etched domain pattern can be further pattern transferred in the substrate layers. These self-assembled block copolymer patterns are transferred into the underlying substrate using known techniques.
In some cases, it may be advantageous to invert the tone of the pattern prior to transfer into the substrate or after transfer into a layer of the substrate. This may be accomplished by standard tone-inversion processes such as backfilling an organic pattern with an etch-resistant spin-on dielectric tone-inversion material.
Figure la and b show respectively a schematic depiction of the novel block copolymer of structure (la) or (lb) and a schematic depiction of its self assembly. Specifically, Figure la shows a schematic depiction of the novel block copolymer.
Figure lb shows a schematic depiction of the block copolymer depicted schematically in Figure la when coated on an underlayer (i.e. substrate) undergoing two type of self-assembly. In the top view of Figure la the film of the block copolymer forms domains which orient to form vertically oriented lamellae. In the bottom view of Figure lb these domains in the film orient to form vertically oriented cylinder domains.
Figure 2a-c shows schematics of the directed self-assembly (DSA) of the novel block copolymer of structure (la) or (lb). Specifically Figure 2a shows graphoepitaxy DSA where most of the novel block copolymer is confined within the recessed region in the topographical prepattern, the domains of this novel block copolymer subdivide the recessed region. Figure 2b shows coating of this novel block copolymer over and within shallow, periodic topographical prepattern where the domains of this block copolymer are aligned to the topographical prepattern. Finally, Figure 2c shows chemoepitaxial DSA where a film of this novel block copolymer is coated over the chemical prepattern comprised of preferential and non-preferential regions (such as the non-limiting example of a neutral region) with domains of this novel block copolymer aligned to the wetting features. Unlike, conventional block polymers, the inventive materials of structure (la) or (lb) with a surface active junction group, do not require the presence of substantially non-preferential regions and therefore provide the wider process latitude with respect to underlayer surface affinity. That is, these novel materials can form domains which orient perpendicular to the substrate during self-assembly for a wider range of underlayer surface property. This can enable more robust SA performance across surfaces with imperfect or non- uniform surface properties, for example. The imperfect or non-uniform surface properties may be the result of damage, contamination, imperfect deposition/grafting conditions, impurities, poor compositional uniformity of the underlay er material, or other reasons.
In the above processes, and the following inventive processes employing the novel composition comprised of the novel block copolymer of structure (la) or (lb), other types of substrate may be used. As an example, a substrate which has a coating of high carbon underlayer and a silicon antireflective coating may be used as a substrate. The high carbon underlayer can have coating thickness of about 20 nm to about 2 microns. Over this is coated a silicon antireflective coating of about 10 nm to about 100 nm. In instances where an orientation of the self-assembled cylinder of the novel block copolymer perpendicular to the substrate is desired a neutral layer may be employed.
This invention may be employed also in a variety of other substrates such as those prepared by chemically vapor deposition (CVD), physical vapor deposition (PVD) and atomic layer deposition (ALD). The preparation of substrates using these CVD and PVD is discussed in the following reference: "HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES, Principles, Methods, Equipment and Applications, Second Edition, Edited by Krishna Seshan, Intel Corporation, Santa Clara, California, Copyright © 2002 by Noyes Publications, Library of Congress Catalog Card Number: 2001135178, ISBN: 0-8155-1442-5, Noyes Publications / William Andrew Publishing, 13 Eaton Avenue Norwich, NY 13815 ,Chapter 1 title: Deposition Technologies and Applications: Introduction and Overview, page 11 -page 43, Chapter 1 Authors: Werner Kern and Klaus K. Schuegraf." The preparation of substrates by ALD is described in the following reference: "Chemical Review 2010, 110, pagel 11-131."
In another aspect of this invention the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method for vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of Lo comprising steps a) and b); wherein,
a) forming a coating layer of a block copolymer from the novel composition on said unpatterned substrate; and
b) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate. In this inventive method the unpattemed substrate may be selected from the group consisting of a polymer brush layer, a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemically vapor deposition (CVD), a layer of carbon, a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD).
In another aspect of this invention the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times Lo and aligning the domains with the pattern, using a coating comprised of a block copolymer having a periodicity of L0 comprising steps a) and b); wherein,
a) forming a coating layer of the block copolymer with a junction group with the composition of the aforementioned novel composition comprised of the block copolymer having structure (la) or (lb) on said first topographical substrate wherein the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and,
b) annealing the block copolymer layer to generate first and second block copolymer domains, which are vertically oriented on said first patterned substrate, and confined within the recessed region.
In this aspect of the invention the first patterned substrate is a topography-forming material over an underlayer where the topography-forming material may be selected from the group consisting of resist materials, a cross-linked polymer layer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD) , and further where the underlayer is selected from the group consisting of a polymer brush layer, a cross-linked polymer layer, a self-assembled monolayer, a layer of anti-reflection coating, a layer deposited by chemical vapor deposition (CVD), a layer deposited by physical vapor deposition (PVD), a layer deposited by atomic layer deposition (ALD).
Furthermore, in this aspect of the invention the resist material may be selected from the group consisting of i-line photoresists, g-line photoresists 284 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists. Another embodiment is where the antireflective coating material is selected from the group consisting of bottom antireflective coatings, silicon antireflective coatings, and titanium antireflective coatings.
In another aspect of this invention the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting, first and second block copolymer domains with a periodicity of Lo over a second patterned substrate having a topographical pattern of which the height of topography is larger than 0.7 times Lo and a pitch Pi where the pitch Pi is a non-zero positive integer multiplied by Lo, and aligning the domains with the pattern, using the novel coating comprised of a block copolymer comprising steps a) and b); wherein,
a) forming a coating layer from block copolymer with a surface-active junction group with aforementioned the composition of the novel composition comprised of the block copolymer having structure (la) or (lb) on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and,
b) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch Pi the of topographical pattern.
In this aspect of the invention the first patterned substrate is prepared by treating topographical organic features on top of inorganic layer with plasma which selectively trims the organic features and modifies the exposed surface region of the inorganic layer. In a further aspect of this embodiment the material of topographical organic features is selected from the group consisting of patterned i-line photoresists, g-line photoresists 248 nm photoresists, 193 nm photoresist, Extreme Ultraviolet photoresists, and electron beam photoresists over a thin underlayer. In a final aspect of this embodiment the underlayer may be an antireflective coating, or an inorganic layer material is selected from silicon antireflective coatings, or titanium antireflective coatings.
In another aspect of this invention the novel composition comprised of the block copolymer having structure (la) or (lb) is employed in a method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2 where the pitch P2 is a non-zero positive integer multiplied by Lo and aligning the domains with the prepattern, using a coating comprised of a block copolymer where the block copolymer has a periodicity of Lo comprising steps a) and b)
a) forming a coating layer of the block copolymer with a surface-active junction group with the novel composition containing the block copolymer of structure (la) or (lb) on the substrate having a surface chemical prepattern, and
b) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2.
In this aspect of the invention the substrate having a surface chemical prepattern is comprised of alternating preferential wetting regions and orientation control regions where the sum of the width of preferential wetting regions and the width of the orientation control region is equal to the prepattern pitch P2; where the materials used in the orientation control region supports vertical orientation of the block copolymer domains, and the preferential wetting region has a lower interfacial energy to one of the block copolymer domain compared to the other block copolymer domain.
In another aspect, the composition comprised of the block copolymer having structure (la) or (lb) is employed in a method, comprising: providing a first layered structure comprising a top layer (underlay er); forming a film layer comprising the block copolymer of structure (la) or (lb) disposed on the underlayer, wherein the film layer has a top surface in contact with an atmosphere interface; and allowing or inducing the block copolymer of the film layer to self assemble using a thermal treatment, thereby forming a second layered structure comprising a phase- segregated domain pattern having a characteristic pitch (Lo), the domain pattern comprising perpendicularly oriented alternating domains comprising respective chemically distinct blocks of the block copolymer.
In one embodiment, the domain pattern comprises lamellar domains. In another embodiment, the domain pattern comprises cylindrical domains. In certain embodiments, the characteristic pitch (Lo) is about 4 nm to about 80 nm, about 4 nm to about 50 nm, or about 10 nm to about 50 nm. In certain embodiments, the underlayer is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen. In certain embodiments, the atmosphere interface is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen. In certain embodiments, the method also includes selectively etching one of the domains, thereby forming a third layered structure comprising an etched domain pattern comprising one or more remaining domains of the self-assembled block copolymer. In particular
embodiments, the method includes transferring the etched domain pattern to the substrate. In particular embodiments, said transferring the etched domain pattern to the substrate is performed using a tone inversion process with respect to the etched domain pattern. In certain embodiments, said inducing the block copolymer of the film layer to self-assemble using a thermal treatment comprises baking the film layer at a temperature between about 80° C and about 250° C, about 100° C and about 250° C, or about 120° C and about 200° C for between about 1 second and about 24 hours, about 10 seconds and about 20 hours, or about 1 minute and about 10 hours.In certain embodiments, the method includes forming a topographic resist pattern disposed on the underlayer before said disposing of the composition, wherein the film layer comprising the block copolymer for self-assembly is substantially confined to recessed regions of the topographic resist pattern.
In another aspect, the composition comprised of the block copolymer having structure (la) or (lb) is employed in a method, comprising: providing a first multi-layered structure comprising a top surface having a pre-pattern for directing self-assembly of the block copolymer of structure (la) or (lb); forming a film layer comprising the block copolymer disposed on the top surface ofpre- pattern, the film layer comprising a top surface in contact with an atmosphere interface, and the film layer comprising a bottom surface in contact with the top surface of the pre-pattern; and allowing or inducing the block copolymer to self assemble using a thermal treatment, thereby forming a second multi-layered structure comprising a pattern of phase- segregated domains (domain pattern) of the block copolymer, the domain pattern disposed on the top surface of the pre-pattern. In certain embodiments, the top surface of the pre-pattern is preferentially wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen In certain embodiments, the domains are oriented perpendicular to a main plane of a bottom layer of the first multi-layered structure. In certain embodiments, the method includes selectively removing one of the domains, thereby forming a third multi-layered structure comprising an etched domain pattern, the etched domain pattern comprising one or more remaining domains of the domain pattern. In certain embodiments, the method includes transferring the etched domain pattern to one or more underlying layers of the third multi-layered structure. In certain embodiments, said transferring the etched domain pattern is performed using a tone inversion process. In certain embodiments, the pre-pattern is a graphoepitaxial pre-pattern comprising topographical features having sidewall heights greater than or equal to thethickness of the film layer, and wherein the film layer is substantially confined to recessedregions of the pre- pattern. In certain embodiments, the pre-pattern is a chemo-epitaxial pre-pattern comprising topographical features having sidewall heights less than the thickness of the film layer, and wherein the film layer is disposed on the top-most and bottom-most surfaces of the pre-pattern.
The following specific examples will provide detailed illustrations of the methods of producing and utilizing compositions of the present invention. These examples are not intended, however, to limit or restrict the scope of the invention in any way and should not be construed as providing conditions, parameters or values which must be utilized exclusively in order to practice the present invention.
EXAMPLES
Instruments and chemicals
Chemicals not specified in Table 1 were obtained from the Aldrich Chemical Company (Sigma-Aldrich Corp St. Louis, MO, USA) and used as received. Unless otherwise indicated spinning and baking of films was done with Laurel WS-650-23B spin coater and a Tokyo Electron Ltd. Clean Track ACT-8. Nordson (300 Nordson Dr. M/S 47 Amherst, OH 44001 U.S.A. MARCH etcher was used for plasma etching of non-Si containing domain. Top down and cross section images were respectively taken on an AMAT (Applied Materials, Inc. 3050 Bowers Avenue P.O. Box 58039 Santa Clara, CA 95054-3299 U.S.A.) NanoSEM 3D and a Hitachi (Hitachi High Technologies America Inc. 10 North Martingale Road, Suite 500 Schaumburg, Illinois 60173-2295) S-5500. Table 1 gives a list of abbreviations for compounds and solvents used. In this Table "Sigma-Aldrich" refers to the "Sigma-Aldrich Corporation" located at 3050 Spruce St., St. Louis, Missouri 63103; "Synquest" refers to "SynQuest Laboratories, Inc." located at 13201 Rachael Blvd, Rt 2054, "Exfluor" refers to "Exfluor Research Corporation" located at 2350 Double Creek Dr, Round Rock, TX 78664, "Perstorp Chemicals" refers to "Perstorp Specialty Chemicals" located at Neptunigatan 1, 211 20 Malmo,Sweden, "Richman Chemicals " refers to "Richman Chemicals Custom Solutions" located at 768 North Bethlehem Pike, PA 19002. The coating SiArc solution (Shin Etsu SHB A-940 L35) was obtained from the Shin-Etsu Chemical Co., Ltd (Tokyo 100-0004, Japan).
The coating solutions, AZEMBLY™ NLD-089, AZEMBLY™ NLD-208D AZEMBLY™ NLD-128, and AZEMBLY™ NLD-127 were obtained from AZ Electronic Materials (Somerville, NJ).
Table 1
Figure imgf000039_0001
CuBr Copper (I) bromide Sigma Aldrich
PMDETA N,N,N',N',N"-pentamethyldiethylenetriamine Sigma Aldrich
Anisole Anisole Sigma Aldrich
Si Gel Silica Gel Sigma Aldrich
DCC NN'-Dicyclohexylcarbodiimide Sigma Aldrich
DMAP 4-Dimethylaminopyridine Sigma Aldrich
Acetal-protected BISMPA monomer (AcBISMPA) (21) was prepared as previously reported (ACS Macro Letters, 2(1), 19-22; 2013).
Figure imgf000040_0001
Trimethyl silylstyrene (TMSS) (22) was synthesized as previously reported (Macromolecules 2009, 42, 4614-4621).
Figure imgf000040_0002
(22)
Example 1 : Synthesis of bifunctional linear perfluoroalkane with ATRP initiator and hydroxyl functionality:
Perfluoroaikyl linkers for PS and PTMC chains rich
Figure imgf000041_0001
Scheme 3
For the synthesis of polystyrene-Z?-(linear perfluoroalkane)-poly(trimethylcarbonate) (Scheme 3) consisting of block A (PS) and block B (TMC) connected via a linear perfluoroalkane junction, hexadecafluoro-l,10-decanediol was selectively esterified using 2-bromo-2- methylpropionyl bromide as given below:
Figure imgf000041_0002
To a 250 ml round bottom flask equipped with a magnetic stir bar, additional funnel, thermometer and spurge tube, 9.5 g (20.56 mmole) previously dried 2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9-hexadecafluoro-l ,10-decanediol was added to 50 ml of dry DCM under Nitrogen. When cooling down, 2.23 g (22 mmole) of triethylamine was poured into the flask at 10°C. Then, 4.6 g (20 mmole) of 2-bromo-2-methylpropionyl bromide in 30 ml of DCM was added dropwise to the reaction crude at -8 ÷ -3 °C . The reaction was stirred for 20 hours at room temperature. 150 ml of benzene was added to the reaction crude than precipitate was separated by filtration and identified as the salt of TEA«HBr. Mother liquor was evaporated under vacuum. The crade was analyzed by NMR and GC-MS (Figures 3 and 4). No purification step done at that time.
Results of the ¾ NMR and GC-MS of the crude product indicate the formation of mono-, bis-, and little of an unreacted diol, and TEA«HBr.
Example 2. Morphology of a lamellae-forming polystyrene-6-(linear perfluoroalkane)- poly(lactide) diblock copolymer thin film
A cleaned surface of Si substrate was treated with a 51 % PS-containing brush polymer (AZEMBLY™ NLD- 127) by spin-coating at 1500 rpm and baking 250 °C for 2 min followed by PGMEA rinse for 30 sec and soft bake at 1 10 °C for 1 min. On a coupon of the surface-treated substrate, a 1 % PGMEA solution of a junction-modified poly(stryrene-Z> (linear perfluoroalkane lactide) (PS-CHz- j j j j j ^GH^-PLA, 11.3k-12.8k, Vf PLA = 0.49, L0 ~ 22 nm) was spin-coated at 3000 rpm and annealed in the ambient atmosphere at 1 10 °C, 140 °C, and 170 °C for 5 min, respectively. Phase- separated, perpendicularly oriented lamellar domains were formed over the NLD-127 thin layer which provides a chemically non-preferential surface to either of PS and PLA nanodomains at the interface of the underlayer and the block copolymer film. Also observed was a growth of fingerprint grain size maintaining the lamellar morphology in a perpendicular manner, which indicates that junction-modification enhances thermal tolerance to morphological verticality of the block copolymer. Corresponding AFM images (Figure 5) of the block copolymer thin film samples which has junction perfluoroalkane and SEM images (Figure 6) without junction modification, after 02 RIE treatment are given below in Figure 5.
Comparative Example 3: Morphology of a lamellae-forming polystyrene-6-poly(lactide) diblock copolymer thin film
A cleaned surface of Si substrate was treated with a 50% PS-containing brush polymer (AZEMBLY™ NLD-361) by spin-coating at 1500 rpm and baking 250 °C for 2 min followed by PGMEA rinse for 30 sec and soft bake at 1 10 °C for 1 min. On a coupon of the surface-treated substrate, a 1 %> PGMEA solution of poly(stryrene-Z>- lactide) (PS-6-PLA, 8k-9k, Vf PLA = 0.50, L0 ~ 19 nm) was spin-coated at 3000 rpm and annealed in the ambient atmosphere at 120 °C, 140 °C, and 170 °C for 5 min, respectively. Only by annealing at 120°C, phase-separated lamellae domains were perpendicularly oriented, while annealing at higher temperatures than 140 °C resulted in forming islands/holes which are the typical features of parallel lamellae morphology. This result indicates that the annealing process conditions for PS-0-PLA are drastically limited without junction modification. Corresponding SEM images are given in Figure 6.

Claims

Claims
1. A block copolymer, comprising: a first polymer block (block A); a second polymer block (block B) having a chemical structure different from block A and capable of phase-segregating from block A; and a divalent linking group X covalently linking an end repeat unit of block A to an end repeat unit of block B, wherein X comprises 1-24 fluorines, wherein each of the fluorines of X is linked to a backbone carbon of the block copolymer.
2. The block copolymer of claim 1, wherein a film layer comprising the blockcopolymer is capable of self-assembling spontaneously and/or when thermally treated, thereby forming a pattern of phase-segregated alternating domains comprising respective chemically distinct blocks of the block copolymer.
3. The block copolymer of claim 1 or 2, wherein block A comprises an ethylenic repeat unit of formula (A-l):
Figure imgf000044_0001
wherein i) Rw is a monovalent radical selected from the group consisting of H, F, methyl, ethyl, and trifluoromethyl (*-CF3) and ii) Rd is a monovalent radical comprising an aromatic ring linked to carbon 1, wherein preferably block A comprises an ethylenic repeat unit selected from the group consisting of
Figure imgf000045_0001
and combinations thereof, and/or wherein block B is a homopolymer comprising a repeat unit selected from the group consisting of
Figure imgf000045_0002
Figure imgf000045_0003
4. The block copolymer of one of claims 1 to 3, wherein X has a lower surface energy than block A and a lower surface energy than block B, preferably wherein X has a surface energy between 0 and 30 mN/m.
5. The block polymer of one of claims 1 to 4, wherein X comprises a fluorinated alkylene group of formula (C-l):
Figure imgf000045_0004
wherein each carbon linked to a fluorine is a backbone carbon of the block copolymer, n' is an integer having a value of 2-12, m' is an integer having a value of 1-5, and k' is an integer having a value of 1-5, or wherein X comprises a divalent linear fluorinated ethylene oxide group in accordance with formula (C-2):
Figure imgf000046_0001
wherein each carbon linked to a fluorine is a backbone carbon of the block copolymer, and n" is an integer having a value of 1-5.
6. The block copolymer of claim 1, wherein block B comprises an aliphatic carbonate repeat unit, preferably comprising a pendent ester group, more preferably wherein the aliphatic carbonate repeat unit has a structure according to formula (B-4):
Figure imgf000046_0002
wherein Rs is a monovalent hydrocarbyl group comprising 1-20 carbons, wherein Rs can be preferably selected from the group consisting of methyl, ethyl, propyl, butyl, pentyl, hexyl, and benzyl, and/or wherein the block B comprises an aliphatic ester repeat unit, which preferably has a structure selected from the group consisting of
Figure imgf000046_0003
wherein j' is an integer having a value of 0-4, and
Figure imgf000047_0001
wherein block B comprises an aliphatic ether repeat unit, which preferably is selected from the group consisting of ethylene oxide, propylene oxide, ring opened glycidyl ethers, and combinations thereof.
7 . A c omp o s it i on , c ompri s ing : a solvent; and the block copolymer of one of claims 1 to 6; wherein the composition is capable of forming a film layer comprising the block copolymer, wherein the film layer is capable of self-assembling spontaneously and/or when thermally treated, thereby forming a pattern of phase-segregated alternating domains comprising respective chemically distinct blocks of the block copolymer.
8. A m e t h o d , c o m p r i s i n g : providing a first layered structure comprising a top layer (underlayer); forming a film layer comprising the block copolymer of one of claims 1 to 6 disposed on the underlayer, wherein the film layer has a top surface in contact with an atmosphere interface; and allowing or inducing the block copolymer of Hie film layer to self-assemble using a thermal treatment, thereby forming a second layered structure comprising a phase- segregated domain pattern having a characteristic pitch (Lo), the domain pattern comprising perpendicularly oriented alternating domains comprising respective chemically distinct blocks of the block copolymer.
9. The method of claim 8, wherein the domain pattern comprises lamellar domains,
and/or cylindrical domains,
and/or wherein the characteristic pitch (Lo) is about 4 nm to about 80 nm.
10. The method of claim 8 or 9, wherein the underlayer is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen, and/or wherein the atmosphere interface is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen.
11. The method of one of claims 8 to 10, comprising selectively etching one of the domains, thereby forming a third layered structure comprising an etched domain pattern comprising one or more remaining domains of the self-assembled block copolymer, preferably comprising transferring the etched domain pattem to the substrate, wherein said transferring the etched domain pattern to the substrate can be performed using a tone inversion process with respect to the etched domain pattern.
12. The method of one of claims 8 to 11, wherein said inducing the block copolymer of the film layer to self-assemble using a thermal treatment comprises baking the film layer at a temperature between about 80° C and about 250° C for between about 1 second and about 24 hours, and/or wherein the method comprises forming a topographic resist pattern disposed on the underlayer before said disposing the composition of claim 6, wherein the film layer comprising the block copolymer for self-assembly is substantially confined to recessed regions of the topographic resist pattern.
1 3 . A m e th o d , c o mp r i s i n g : providing a first multi-layered structure comprising a top surface having a pre-pattern for directing self-assembly of the block copolymer one of claims 1 to 6; forming a film layer comprising the block copolymer disposed on the top surface ofpre- pattern, the film layer comprising a top surface in contact with an atmosphere interface, and the film layer comprising a bottom surface in contact with the top surface of the pre-pattern; and allowing or inducing the block copolymer to self assemble using a thermal treatment, thereby forming a second multi-layered structure comprising a pattern of phase- segregated domains (domain pattern) of the block copolymer, the domain pattern disposed on the top surface of the pre-pattern.
14. The method of claim 13, wherein the top surface of the pre-pattern is wetted by one of the domains of an otherwise identical self-assembled block copolymer in which all fluorines of X are replaced by hydrogen, and the domains are oriented perpendicular to a main plane of a bottom layer of the first multi-layered structure, and/or comprising selectively removing one of the domains, thereby forming a third multi-layered structure comprising an etched domain pattern, the etched domain pattern comprising one or more remaining domains of the domain pattern, preferably comprising transferring the etched domain pattern to one or more underlying layers of the third multi-layered structure, and/or transferring the etched domain pattern using a tone inversion process.
15. The method of claim 13 or 14, wherein the pre-pattern is a graphoepitaxial pre-pattern comprising topographical features having sidewall heights greater than or equal to thethickness of the film layer, and wherein the film layer is substantially confined to recessedregions of the pre- pattern, or wherein the pre-pattern is a chemo-epitaxial pre-pattern comprising topographical features having sidewall heights less than the thickness of the film layer, and wherein the film layer is disposed on the top-most and bottom-most surfaces of the pre-pattern.
1 6. A d ib l o ck c o p o lym er o f fo rmu l a ( l b) : E1 P' X P" E2 (lb) wherein
E1 is a monovalent first end group, E2 is a monovalent second end group,
P' is a first polymer chain representing a first block (block A) of the block copolymer,
P" is a second polymer chain representing a second block (block B) of the block copolymer, and
X is a divalent linking group joining an end repeat unit of P' to an end repeat unit of P", wherein X comprises 1 -24 fluorines, and each of the fluorines of X is linked to a backbone carbon of the block copolymer, wherein the first block can comprise a copolymer of styrene and trimethylsilylstyrene. The diblock copo lymer of claim 37, wherein X has the structure
Figure imgf000050_0001
wherein n' is an integer having a value of 1-12, or wherein X has the structure
Figure imgf000050_0002
wherein n"is an integer having a value of 1-5. 18. A block copolymer with a junction group having structure (1 a),
A
\ X
(la) wherein,
A is a block polymer chain, B is a block polymer chain, wherein A and B are chemically different covalently connected polymer chains which are phase separable;
X is a divalent linking group between the A polymer blockand the B polymer block selected from the group consisting of a fluorine containing moiety, a Sii-Sig siloxane containing moiety, a hydrocarbon moiety with at least 18 carbons, and a combination thereof, and further wherein X has a surface energy less than that of block A and less than that of the block B.
19. The block copolymer of claim 18 wherein, (i) the polymer chain of block A comprises units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers, and
(ii) the polymer chain in block B comprises units derived from ethylenically unsaturated polymerizable monomers, or units derived from ring-opening polymerization (ROP) of cyclic monomers, and further wherein polymeric block A and B are chemically different and are phase separable.
20. The block copolymer of claim 18 or 19 where X is a fluorine containing moiety selected from the group consisting of a linear hydrocarbon group containing fluorine, a fluorine containing branched hydrocarbon group, a fluorine containing linear alkyl ether group, a fluorine containing branched alkyl ether group, and mixtures thereof, or where X is a Sii-Sig siloxane containing moiety selected from the group consisting of a Sii-Si8 siloxane moiety, a carbosilane, a silylene, and mixtures thereof, where X is a hydrocarbon moiety with at least 18 carbons selected from the group consisting of a linear hydrocarbon group with at least 18 carbons, and a branched hydrocarbon with at least 18 carbons, or wherein X is a fluorine containing hydrocarbon group selected from the group consisting of linear Ci-Cio fluoroalkylene groups, C3- Cio branched fluoroalkylene groups, alkylenefluoroalkylene groups (-(CH2)q(CF2)r-CF2-), CFH containing alkylenefluoroalkylene groups -(-CH2.)q(CHF)q2(CF2)r-CF2-), alkylenefluoroether- fluoroalkylene groups -(-CH2.)q(-CF2-0-)s(-CF2CF2-0-)t(CF2)uCF2-; CHF containing
alkylenefluoroether-fluoroalkylene groups (-CH2.)q(CHF)q2(-CF2-0-)s(-CF2CF2-0-)t(CF2)uCF2-; and moieties having structure (2)
Figure imgf000051_0001
wherein q is an integer from 0 to 10, q2 is an integer from 1 to 10, r is an integer from 1 to 10, s is an integer from 0-10, t is an integer from 1 to 10, u is an integer between 0 and 10, x is 1 to 5, y is 1 to 5, P is a direct bond, a Ci to C4 alkylene, or a -CH2-CH2-(CF2)4- moiety, or wherein X has a surface energy less than about 30 mN m 1.
21. A composition comprising the block copolymer of claim 41 and a solvent and optionally further comprising another block copolymer and/or homopolymer.
22. A method of vertically orienting first and second block copolymer domains over an unpatterned substrate using a layer of a block copolymer having a periodicity of Lo comprising the steps of: a) forming a coating layer of a block copolymer with a junction group with the composition of claim 21 or 22 on said unpatterned substrate; and, b) annealing the layer of the block copolymer to generate a non-zero positive integer number of first and second block copolymer domains, vertically oriented on said unpatterned substrate.
23. A method of vertically orienting first and second block copolymer domains over a first patterned substrate where the height of topography of the pattern on the substrate is at least 0.7 times Lo and aligning the domains with the pattern, using a coating comprised of a block copolymer having a periodicity of Lo comprising the steps of: a) forming a coating layer of the block copolymer with a junction group with the composition of claim 21 or 22 on said first topographical substrate, wherein the thickness of the average thickness of the coating layer of the block copolymer is less than the height of the topography of the first topographical substrate, wherein the block copolymer layer is laterally confined by the topography; and, b) annealing the block copolymer layer to generate first and second block copolymer domains, vertically oriented on said first patterned substrate, and confined within the recessed region.
24. A method of vertically orienting, first and second block copolymer domains with a periodicity of Lo over a second patterned substrate having a topographical pattern with the height of topography larger than 0.7 times Lo and a pitch Pi where the pitch Pi is a non-zero positive integer multiplied by Lo ; and aligning the domains with the pattern comprising the steps of: a) forming a coating layer of the block copolymer with a surface-active junction group with the composition of claim 21 or 22 on said second patterned substrate, where the thickness of the coating layer of the block copolymer is more than the height of the topography of the second patterned substrate; and, b) annealing the block copolymer layer to generate a non-zero positive integer number of first and second block copolymer domains vertically oriented on said second patterned substrate and aligning them to the second patterned substrate where the sum of vertically oriented domains is equal or larger than the pitch Pi the of topographical pattern.
25. A method of vertically orienting first and second block copolymer domains over a substrate having a surface chemical prepattern having a pitch P2; where the pitch P2 is a non-zero positive integer multiplied by Lo and aligning the domains comprising the steps of: a) forming a coating layer of the block copolymer with a surface-active junction group with the composition of claim 21 or 22 on the substrate having a surface chemical prepattern; and, b) annealing the block copolymer layer to generate vertically oriented first and second block copolymer domains aligned with the substrate having a surface chemical prepattern having a pitch P2.
26. A block copolymer having the structure
Figure imgf000053_0001
wherein n' " is an integer having a value of 1-12, and m " is an integer having a value of 1-10, or having the structure
Figure imgf000053_0002
wherein n " is an integer having a value of 1 m " is an integer having a value of 1-10.
PCT/EP2017/053727 2016-02-22 2017-02-20 Block copolymers with linear surface-active junction groups, compositions and processes thereof WO2017144385A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662298209P 2016-02-22 2016-02-22
US62/298,209 2016-02-22

Publications (1)

Publication Number Publication Date
WO2017144385A1 true WO2017144385A1 (en) 2017-08-31

Family

ID=58191393

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2017/053727 WO2017144385A1 (en) 2016-02-22 2017-02-20 Block copolymers with linear surface-active junction groups, compositions and processes thereof

Country Status (2)

Country Link
TW (1) TW201800434A (en)
WO (1) WO2017144385A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020049963A1 (en) * 2018-09-07 2020-03-12 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080194500A1 (en) * 2007-01-12 2008-08-14 Sandro Mecozzi Semi-fluorinated block copolymers for delivery of therapeutic agents
WO2012156237A1 (en) * 2011-05-19 2012-11-22 Total Research & Technology Feluy Use of multifunctonal initiator to prepare diblock copolymers comprising a monovinylaromatic polymer block.
US8642086B2 (en) 2011-03-31 2014-02-04 International Business Machines Corporation Antimicrobial compositions, methods of preparation thereof, and uses thereof
WO2016131900A1 (en) * 2015-02-20 2016-08-25 AZ Electronic Materials (Luxembourg) S.à.r.l. Block copolymers with surface-active junction groups, compositions and processes thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080194500A1 (en) * 2007-01-12 2008-08-14 Sandro Mecozzi Semi-fluorinated block copolymers for delivery of therapeutic agents
US8642086B2 (en) 2011-03-31 2014-02-04 International Business Machines Corporation Antimicrobial compositions, methods of preparation thereof, and uses thereof
WO2012156237A1 (en) * 2011-05-19 2012-11-22 Total Research & Technology Feluy Use of multifunctonal initiator to prepare diblock copolymers comprising a monovinylaromatic polymer block.
WO2016131900A1 (en) * 2015-02-20 2016-08-25 AZ Electronic Materials (Luxembourg) S.à.r.l. Block copolymers with surface-active junction groups, compositions and processes thereof

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
ACS MACRO LETTERS, vol. 2, no. 1, 2013, pages 19 - 22
ASAWIN LIKHITSUP ET AL: "Combining atom-transfer radical polymerization and ring-opening polymerization through bifunctional initiators derived from hydroxy benzyl alcohol-Preparation and characterization of initiators, macroinitiators, and block copolymers", JOURNAL OF POLYMER SCIENCE, PART A: POLYMER CHEMISTRY, vol. 46, no. 1, 1 January 2008 (2008-01-01), pages 102 - 116, XP055376545, ISSN: 0887-624X, DOI: 10.1002/pola.22362 *
CHEMICAL REVIEW, vol. 110, 2010, pages LLL-131
MACROMOLECULES, vol. 42, 2009, pages 4614 - 4621
MOHAMMED DIRANY ET AL: "Polystyrene-block-polylactide obtained by the combination of atom transfer radical polymerization and ring-opening polymerization with a commercial dual initiator", JOURNAL OF APPLIED POLYMER SCIENCE, vol. 122, no. 5, 5 December 2011 (2011-12-05), US, pages 2944 - 2951, XP055376372, ISSN: 0021-8995, DOI: 10.1002/app.34101 *
WERNER KERN; KLAUS K. SCHUEGRAF: "HANDBOOK OF THIN-FILM DEPOSITION PROCESSES AND TECHNIQUES, Principles, Methods, Equipment and Applications", NOYES PUBLICATIONS / WILLIAM ANDREW PUBLISHING, pages: 11,43
YEZI YOU ET AL: "Preparation and Characterization of Thermally Responsive and Biodegradable Block Copolymer Comprised of PNIPAAM and PLA by Combination of ROP and RAFT Methods", MACROMOLECULES, vol. 37, no. 26, 1 December 2004 (2004-12-01), pages 9761 - 9767, XP055017918, ISSN: 0024-9297, DOI: 10.1021/ma048444t *

Also Published As

Publication number Publication date
TW201800434A (en) 2018-01-01

Similar Documents

Publication Publication Date Title
JP7089618B2 (en) Block copolymers with surface active linking groups, compositions and methods thereof
EP2859023B1 (en) Neutral layer polymer composition for directed self assembly and processes thereof
KR101740276B1 (en) Method of controlling block copolymer characteristics and articles manufactured therefrom
GB2547122B (en) Fluoro-alcohol additives for orientation control of block copolymers
US9556353B2 (en) Orientation control materials for block copolymers used in directed self-assembly applications
KR102409830B1 (en) Polymer Compositions for Self-Assembly Applications
WO2017144385A1 (en) Block copolymers with linear surface-active junction groups, compositions and processes thereof
US11384193B2 (en) Hydrophobic pinning mat for directed self-assembly of diblock copolymer novel compositions and processes for self-assembly of block copolymers
EP3858872B1 (en) Compositions and processes for self-assembly of block copolymers
JP7347066B2 (en) Pattern forming composition and pattern forming method
US20240002571A1 (en) Tunable high-chi diblock copolymers consisting of alternating copolymer segments for directed self-assembly and application thereof
WO2024038007A1 (en) Low tg multi-tether copolymerized diblock copolymers for directed self-assembly
WO2023021016A2 (en) Development of novel hydrophilic pinning mat

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17707779

Country of ref document: EP

Kind code of ref document: A1

122 Ep: pct application non-entry in european phase

Ref document number: 17707779

Country of ref document: EP

Kind code of ref document: A1