WO2012125237A2 - Area and power efficient clock generation - Google Patents

Area and power efficient clock generation Download PDF

Info

Publication number
WO2012125237A2
WO2012125237A2 PCT/US2012/024197 US2012024197W WO2012125237A2 WO 2012125237 A2 WO2012125237 A2 WO 2012125237A2 US 2012024197 W US2012024197 W US 2012024197W WO 2012125237 A2 WO2012125237 A2 WO 2012125237A2
Authority
WO
WIPO (PCT)
Prior art keywords
die
integrated circuit
interconnect structures
circuit
coupled
Prior art date
Application number
PCT/US2012/024197
Other languages
French (fr)
Other versions
WO2012125237A3 (en
Inventor
Vijay Khawshe
Farshid Aryanfar
Original Assignee
Rambus Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rambus Inc. filed Critical Rambus Inc.
Priority to US14/002,267 priority Critical patent/US9160346B2/en
Publication of WO2012125237A2 publication Critical patent/WO2012125237A2/en
Publication of WO2012125237A3 publication Critical patent/WO2012125237A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/005Circuit means for protection against loss of information of semiconductor storage devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/18Address timing or clocking circuits; Address control signal generation or management, e.g. for row address strobe [RAS] or column address strobe [CAS] signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/222Clock generating, synchronizing or distributing circuits within memory device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19103Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device interposed between the semiconductor or solid-state device and the die mounting substrate, i.e. chip-on-passive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip

Definitions

  • the present invention relates generally to the field of integrated circuits, and more particularly to clock generation in integrated circuits and systems of integrated circuit devices.
  • LC oscillators Inctor-capacitor oscillators instead of conventional ring oscillators.
  • LC oscillators generally exhibit superior noise and jitter performance, but at the cost of increased die-area primarily due to on-chip inductors.
  • use of local clock generator circuits increases power consumption.
  • Figure 1 illustrates an embodiment of a multi-die component 100 formed by a stack of four IC dies and clocked by an interconnect-distributed LC oscillator;
  • Figure 2 illustrates the multi-die component of Figure 1 schematically, showing exemplary detail with respect to the gain circuit and phase-frequency controller;
  • Figure 3 depicts a cutaway of the multi-die component of Figure 1 showing exemplary stacks of TSV and micro-bump balls that may be used to form the inductive components of the LC tank circuit described in reference to Figures 1 and 2;
  • Figure 4 illustrates an alternative distributed LC oscillator embodiment that relies on injection locking instead of closed loop control
  • Figure 5 illustrates an alternative embodiment of a multi-die component in which stages of a distributed LC oscillator are ac coupled to one another
  • die-to-die interconnect structures are leveraged to form the inductive component of an LC oscillator, thus yielding an LC tank circuit distributed across multiple integrated circuit (IC) dies rather than lumped in a single die.
  • IC integrated circuit
  • This arrangement not only reduces reliance on area/power-consuming on-chip inductors, but also enables phase-aligned clocks to be extracted from the LC tank within each of the spanned IC dies, thereby saving die area and power otherwise consumed by multiple oscillator instances or complex phase alignment circuitry.
  • the die-to-die interconnect structures include through- silicon- vias and micro bumps used to interconnect a stack of IC dies.
  • the interconnect structures may additionally or alternatively include conductive elements used to interconnect two or more integrated circuit chips stacked and/or disposed side-by-side in a system-in-package (SIP) configuration, system on chip (SOC) or multi-chip module (MCM), and/or conductive elements used to interconnect two or more integrated circuit packages (e.g., in a package-on-package (POP) or package-in-package (PIP) arrangement).
  • SIP system-in-package
  • SOC system on chip
  • MCM multi-chip module
  • POP package-on-package
  • PIP package-in-package
  • FIG. 1 illustrates an embodiment of a multi-die component 100 formed by a stack of four IC dies and clocked by an interconnect-distributed LC oscillator.
  • the multi-die component is assumed to constitute a dynamic random access memory (DRAM) system and thus includes a DRAM controller die 111 (the "controller die"), and three DRAM dies, 121, 131 and 141.
  • DRAM dynamic random access memory
  • the controller die controls the operation of the memory dies (e.g., outputting commands, addresses and write data, and receiving read data via various signaling links not shown in Figure 1) and may be, for example and without limitation, a single-core or multi-core processor, graphics processing unit (GPU), mobile applications processor, application-specific integrated circuit (ASIC), etc.
  • the memory dies e.g., outputting commands, addresses and write data, and receiving read data via various signaling links not shown in Figure 1
  • the controller die controls the operation of the memory dies (e.g., outputting commands, addresses and write data, and receiving read data via various signaling links not shown in Figure 1) and may be, for example and without limitation, a single-core or multi-core processor, graphics processing unit (GPU), mobile applications processor, application-specific integrated circuit (ASIC), etc.
  • GPU graphics processing unit
  • ASIC application-specific integrated circuit
  • the clocking architecture disclosed may be deployed in virtually any system of interconnected IC dies, including systems of other types of memory dies, such as static random access memory (SRAM), flash memory and so forth. Further, the dies may be stacked in a staircase or offset arrangement, or otherwise oriented in such a way that a surface region adjacent an edge of a given die is exposed, thereby enabling connection via wire-bond, flex-tape or other die-interconnect structure.
  • SRAM static random access memory
  • the interconnect-distributed LC oscillator is described primarily in the context of a three-dimensional die- stack and die-to-die interconnects thereof, the distributed LC oscillator may be implemented in virtually any organization of IC dies (e.g., disposed in a system-in-package, system-on-chip, package-on-package, package-in-package, multi-chip module, etc.) using a broad variety of conductive interconnects (e.g., wire bonding, flex-tape, etc.).
  • conductive interconnects e.g., wire bonding, flex-tape, etc.
  • the controller die 111 includes a gain circuit 119 (“Gm”) that energizes the distributed LC tank at its resonant frequency, as well as a phase-frequency controller 117 (“PFC”) that tunes the resonant frequency of the tank according to a reference clock (e.g., from a crystal oscillator or other off-die or on-die reference).
  • Gm gain circuit 119
  • PFC phase-frequency controller 117
  • the LC tank circuit itself is formed by a variable-capacitance element 115 coupled in parallel with a distributed inductance, the latter including parallel stacks (or branches or columns) of inductive structures that interconnect adjacent dies within multi-die component 100 (i.e., die-to-die interconnect structures 129a, 139a, 149a in one stack and 129b, 139b and 149b in another stack), as well as a pair of inductive elements 152a and 152b coupled between power rail 155 (e.g., a supply-voltage conductor (VPWR) within the package) and the respective stacks of inductive die-interconnect structures.
  • the power-coupled inductive elements 152a and 152b referred to herein as
  • terminal inductors as they represent terminal inductive elements of the respective inductor stacks, may be disposed within an IC package at the top of the die stack or, alternatively, at the bottom of the die stack (e.g., if the entire die stack is flipped) to interconnect the inductor stacks to a power conductor disposed on the surface of a package substrate, or even formed in part or whole within the nearest one of the IC dies, or by other die-interconnect structures as explained below.
  • additional on-chip inductors 112a and 112b may be provided within the controller die 111 and/or any of the memory dies, with each or any of the on-die inductors being switchably or permanently coupled in line with a respective inductive interconnect stack.
  • Transistor switching elements may be operated under control of a programmable register to enable on-chip inductive components (e.g., 112a, 112b) to be bypassed or coupled in series with the stacks of die-interconnect structures.
  • the constituent IC dies of multi-die component 100 are coupled to one another by arrays of through- silicon vias (TSVs). That is, each IC die
  • controller die 111 includes an array of conductive vias that extend through the die substrate to meet a connection point above and below, thus forming an array of columnar interconnects, each columnar interconnect being coupled in common to all the dies in the die stack.
  • each TSV within a given die is coupled to the die below (or above in a flip-chip orientation) by a micro-bump, with each TSV/micro bump unit forming an inductive element (129a, 129b, 139a, 139b, 149a, 149b) within the distributed LC tank.
  • two or more of the TSV/micro bump structures may be switchably or permanently coupled in parallel (i.e., ganged) or in series to establish the inductance of each inductive element.
  • the tank circuit may include multiple parallel-coupled TSV and/or micro-bump structures per die (or for any subset of the dies) to lower the net inductance that would otherwise result from a single TSV/micro-bump structure per die, or may include multiple series-coupled TSV/micro-bump structures per die (or for any subset of the dies) to increase the net inductance relative to that of a single TSV/micro-bump structure per die.
  • a control register is provided within each IC die to couple a programmed number of interconnect structures (e.g., TSV/micro-bump) in parallel and/or series to establish an inductance according to a target clock frequency, number of dies in the die stack, fabrication process, etc.
  • the voltage differential between the two die-interconnect stacks (also referred to herein as "inductor stacks") is tapped within each die to yield the die clock source. More specifically, within each die, a clock tree or other clock distribution circuit 114, 124, 134, 144 receives a differential input clock from respective connections to the inductor stacks, and fans out the input clock to the various clocked I/O (input/output) and logic circuits.
  • the clock distribution circuit may include frequency dividers to produce various different clock domains within each IC die (e.g., a logic clock domain that may operate at a lower frequency than an I/O clock domain) as well as various phase adjustment circuits to compensate for or otherwise control skew or other sources of timing offset between two dies or between circuit blocks on a given die.
  • each of the clock distribution circuits 114, 124, 134, 144 may be switched between an active operating state and a low power operating state (e.g., disabled or switched off in the latter instance) in response to respective power-down signals (pwrdnl-pwrdn4), thereby enabling low power operation (e.g., sleep and/or power-down modes) during idle periods.
  • FIG. 2 illustrates the multi-die component of Figure 1 schematically, showing exemplary detail with respect to the gain circuit 119 and phase-frequency controller 117.
  • gain circuit 119 includes a pair of transistors 161a and 161b (e.g., MOS transistors), each coupled in series between a respective inductor stack (181a or 181b) and ground, and having a control input cross-coupled to the counterpart inductor stack.
  • transistors 161a and 161b e.g., MOS transistors
  • transistors 161a and 161b are alternately switched between conducting and non-conducting states to reinforce the direction of current flow through the tank, thus delivering energy to the tank (countering resistive energy loss) that establishes a controlled signal swing at the tank's resonant frequency.
  • the inductive elements coupled in series within a given inductor stack (181a or 181b) form one reasonator made of low- loss sections (i.e., assuming a reasonably high quality factor (Q), which is readily achievable in view of the low-loss die interconnect structures 129a/b, 139a/b, 149a/b)
  • Q quality factor
  • the relative phases of the respective voltage drops across those inductive elements are identical (or substantially identical) at any point in time. Consequently, the same-polarity voltage develops at the clock output (i.e., the voltage between the inductor stacks 181a, 181b at the clock taps) of each die simultaneously, thereby yielding phase-aligned clocks throughout the multi-die component. That is, the clock signals for the respective dies are extracted from the same LC tank and thus are all in phase so long as the Q of each inductive component is reasonably high.
  • capacitive element 115 has a voltage-controlled capacitance (e.g., as in a varactor diode) to enable the distributed LC tank circuit to be operated as a voltage controlled oscillator (i.e., resonant frequency of the tank circuit, l/(27i(LC) 1/2 ), adjusted by changing the capacitance of element 115 and thus by changing the control voltage or signal supplied thereto).
  • the phase-frequency controller 117 provides closed-loop control of the capacitance value to lock the frequency and phase of the tank oscillation (and thus output clock frequency and phase) to a multiple of a reference clock signal ("RefClock").
  • the differential clock extracted from controller-die clock nodes of the voltage controlled oscillator (VCO) is supplied to divider 163 which yields a frequency-divided clock 164.
  • a phase/frequency-detector 165 e.g., a bang-bang or "type 1" phase detector in this example, though a difference-frequency (“type 2”) phase detector or other type of analog or digital phase detector, may alternatively be used determines whether the phase frequency-divided clock leads or lags the reference clock phase, and asserts an up or down signal to charge pump 167 accordingly.
  • Charge pump 167 cumulatively raises and lowers a control voltage 168 in response to the up and down signal assertions, and a trim circuit 169 converts the control voltage to a digital control signal 170 that is applied to adjust the capacitance of the capacitive element 115.
  • the capacitance of capacitive element 115 is adjusted as necessary to yield a clock output that, when frequency-divided within divider 163 is phase and frequency locked to the reference clock.
  • the distributed LC tank circuit i.e., power-coupled stacks 181a, 181b, and capacitive element 115
  • gain circuit 119 and phase/frequency controller 117 form a phase-locked loop that produces clocks within each of the
  • the frequency divisor applied by divider 163 may be programmably controlled (e.g., via a configuration register setting) to enable programmable clock frequency selection.
  • trim circuit 169 may be omitted and the analog charge pump output 168 applied directly to variable capacitive element 115 (e.g., where the variable capacitor is implemented by an analog-control varactor diode or the like).
  • divider 163 may be omitted if no frequency multiplication is required within the phase locked loop (i.e., divider ratio set to unity).
  • the gain circuit may be distributed within all or any subset of the IC dies.
  • on-chip inductive elements 112a, 112b may optionally be provided as shown within the controller die 111 or any other IC die to provide the necessary tank inductance, with such inductances being switchably bypassed or coupled in series within the inductor stacks to enable coarse- or fine-tuning of the LC tank circuit.
  • the primary inductive components of the tank are depicted as the TSV and micro-bump inductances discussed above, any other die-interconnect and/or package-interconnect structures (conductors) may alternatively or additionally form part of the tank inductance.
  • Each TSV/micro bump unit was estimated to contribute an inductance of 150pH (picohenries), and a variable capacitor having a nominal capacitance of 0.5pf (picofarad) was applied, so that top-pin package inductors (i.e., corresponding to elements 152a, 152b in Figures 1 and 2) each having an inductance of 400pH were provided to meet the requisite tank inductance of approximately 2nH (i.e., 8*150pH + 2*400pH, where '*' denotes multiplication). No on-chip inductors were required, thus yielding a die area savings corresponding to 100% of an on-chip 2nH inductor.
  • terminal inductors 152a and 152b may be implemented by in- package inductors, one-die inductors or even other die-interconnect inductances.
  • die-interconnect structures 153a and 153b form the terminal inductors (otherwise shown at 152a, 152b), coupling respective clock output nodes within Die4 (141) to a power supply node (e.g., V DD ) within Die3 (131), thus terminating each inductor stack 181a, 181b (or inductive path) within Die3.
  • a power supply node e.g., V DD
  • each structure 153a, 153b may be formed by multiple die-interconnects coupled in parallel and/or series to establish a desired elemental inductance, with the parallel and/or series connections being switchably controlled by signals from one or more configuration registers or other programmable circuits within the IC dies shown.
  • Figure 3 depicts a cutaway of the multi-die component of Figure 1 showing exemplary stacks of TSV and micro-bump balls that may be used to form the inductive components of the LC tank circuit described above.
  • each of the IC dies is disposed in an unflipped orientation relative to an external interface of the package, and includes a substrate
  • metal layers e.g., silicon or other semiconductor
  • Ml-Mn metal layers
  • the number of metal layers may vary from die to die (e.g., more metal layers in a controller die than in a DRAM die), and the top metal layer may include a micro-bump pad or other structure that forms an external interface node to be coupled via a micro-bump/TSV unit (or other inductive die-interconnect structure) to another IC die.
  • a backside metal is provided to establish connections (e.g., by way of TSVs, bond wires or other structures) between the on-die circuitry and package-interconnect balls or other interconnects ("die-to-package interconnect") between the die stack and the conductive structures extending to the external interface of the package.
  • the metal layers and inter-metal vias of each die form a path between the circuit elements formed in the die's substrate and a micro-bump/TSV unit that forms the interconnect to the die above.
  • the micro bump and TSV provided to interconnect each die with the die above may be leveraged as an inductive element of a distributed LC oscillator, thus forming two columns or stacks of micro-bump/TSV pairs corresponding to the two stacks of inductive elements 181a, 181b shown in Figures 1 and 2.
  • the die interconnect structures serve not only as signal interconnects for distributing a clock signal within the multi-die component, but also as inductive elements of the LC oscillator itself.
  • off-die inductances e.g., conductive balls or other structures having an inductance roughly equal to a micro bump ball/TSV pair, or having a purposefully selected form factor to establish the net inductance required for the target resonant frequency of the LC tank
  • V DD package voltage source
  • Figure 4 illustrates an alternative distributed LC oscillator embodiment that relies on injection locking instead of closed loop control.
  • die-interconnect structures 129a/129b, 139a/139b, 149a/149b are leveraged to form inductive elements within an
  • a perturbation oscillator 225 (e.g., a ring oscillator) is coupled as shown (i.e., to the common source nodes of MOS transistors 161a and 161b within gain element 119, and to one node of capacitive element 215) to perturb the LC oscillator.
  • the perturbation oscillator has a frequency relatively close to the resonant frequency of the distributed LC oscillator, the LC oscillator will eventually "lock" to the frequency of the perturbation oscillator.
  • the perturbation oscillator 225 may have an internal trim control that allows adjustment (e.g., under register control) within a specified frequency range around the resonant frequency of the distributed LC oscillator, and thus enable the frequency of the LC oscillator to be tuned.
  • the inductance of the LC oscillator may be adjusted, for example, by enabling on-die inductances to be switchably coupled in series with the die-interconnect inductances and/or by enabling a selectable number of die-interconnect structures to be coupled in parallel (i.e., ganged).
  • capacitive element 215 may be subject to digital or analog control, thereby providing another knob for adjusting the resonant frequency of the distributed LC tank.
  • FIG. 5 illustrates an alternative embodiment of a multi-die component (300) in which stages of a distributed LC oscillator are inductively or capacitively coupled (i.e., "ac coupled") to one another. That is, the distributed LC oscillator is constructed generally as described in reference to Figure 2, except that the clock waveform (i.e., the tank oscillation) is conveyed from die to die via an ac coupling instead of a hardwired, "dc" coupling. More specifically, instead of coupling die interconnects 262a and 262b directly to the clock output nodes within the die 261, the interconnects are coupled in common to a power source within die
  • die interconnects 263a and 263b are coupled to one another within controller die 251 and to another gain circuit 269 in the die 261.
  • each ac coupling is formed by a respective concentric TSV pair as shown by the embodiment of die interconnects 282b/283b in detail (top) view 300. That is, each TSV includes an inner via (282b) and an outer via (283b) disposed concentrically and isolated from one another by an oxide or other insulating material. A side-view of this arrangement is shown with respect to concentrically disposed TSVs 282a/283a, together with a package cutaway 307 illustrating the disposition of the concentric TSVs within multi-die component 300 By this arrangement, one of the conductors within the concentric TSV corresponds to one coil within the transformer equivalent, and the other conductor corresponds to the counterpart coil. Each conductor includes top and bottom die connection points, thereby enabling the circuit connections shown.
  • circuits disclosed herein may be described using computer aided design tools and expressed (or represented), as data and/or instructions embodied in various computer-readable media, in terms of their behavioral, register transfer, logic component, transistor, layout geometries, and/or other characteristics. Formats of files and other objects in which such circuit expressions may be implemented include, but are not limited to, formats supporting behavioral languages such as C, Verilog, and VHDL, formats supporting register level description languages like RTL, and formats supporting geometry description languages such as GDSII, GDSIII, GDSIV, CIF, MEBES and any other suitable formats and languages.
  • Computer-readable media in which such formatted data and/or instructions may be embodied include, but are not limited to, computer storage media in various forms (e.g., optical, magnetic or semiconductor storage media, whether independently distributed in that manner, or stored "in situ" in an operating system).
  • Such data and/or instruction-based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, net-list generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits.
  • a processing entity e.g., one or more processors
  • Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process.
  • any of the specific numbers of bits, signal path widths, signaling or operating frequencies, component circuits or devices and the like may be different from those described above in alternative embodiments.
  • links or other interconnection between integrated circuit devices or internal circuit elements or blocks may be shown as buses or as single signal lines. Each of the buses may alternatively be a single signal line, and each of the single signal lines may alternatively be buses. Signals and signaling links, however shown or described, may be single-ended or differential.
  • a signal driving circuit is said to "output" a signal to a signal receiving circuit when the signal driving circuit asserts (or deasserts, if explicitly stated or indicated by context) the signal on a signal line coupled between the signal driving and signal receiving circuits.
  • the term “coupled” is used herein to express a direct connection as well as a connection through one or more intervening circuits or structures.
  • Integrated circuit device "programming” may include, for example and without limitation, loading a control value into a register or other storage circuit within the integrated circuit device in response to a host instruction (and thus controlling an operational aspect of the device and/or establishing a device configuration) or through a one-time programming operation (e.g., blowing fuses within a configuration circuit during device production), and/or connecting one or more selected pins or other contact structures of the device to reference voltage lines (also referred to as strapping) to establish a particular device configuration or operation aspect of the device.
  • exemplary and “embodiment” are used to express an example, not a preference or requirement.

Abstract

Die-to-die interconnect structures are leveraged to form the inductive component of an LC oscillator, thus yielding an LC tank distributed across multiple IC dies rather than lumped in a single die. By this arrangement, reliance on area/power-consuming on-chip inductors may be reduced or eliminated, and phase-aligned clocks may be extracted from the LC tank within each of the spanned IC dies, obviating multiple oscillator instances or complex phase alignment circuitry.

Description

AREA AND POWER EFFICIENT CLOCK GENERATION
TECHNICAL FIELD
[0001] The present invention relates generally to the field of integrated circuits, and more particularly to clock generation in integrated circuits and systems of integrated circuit devices.
BACKGROUND
[0002] As integrated-circuit (IC) clock frequencies rise, clock circuit designers are increasingly opting for LC (inductor-capacitor) oscillators instead of conventional ring oscillators. LC oscillators generally exhibit superior noise and jitter performance, but at the cost of increased die-area primarily due to on-chip inductors. Moreover, where clock distribution is required across multiple dies, use of local clock generator circuits increases power consumption.
BRIEF DESCRIPTION OF THE DRAWINGS
[0003] The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
[0004] Figure 1 illustrates an embodiment of a multi-die component 100 formed by a stack of four IC dies and clocked by an interconnect-distributed LC oscillator;
[0005] Figure 2 illustrates the multi-die component of Figure 1 schematically, showing exemplary detail with respect to the gain circuit and phase-frequency controller;
[0006] Figure 3 depicts a cutaway of the multi-die component of Figure 1 showing exemplary stacks of TSV and micro-bump balls that may be used to form the inductive components of the LC tank circuit described in reference to Figures 1 and 2;
[0007] Figure 4 illustrates an alternative distributed LC oscillator embodiment that relies on injection locking instead of closed loop control; and
[0008] Figure 5 illustrates an alternative embodiment of a multi-die component in which stages of a distributed LC oscillator are ac coupled to one another
DETAILED DESCRIPTION
[0009] In various embodiments disclosed herein, die-to-die interconnect structures are leveraged to form the inductive component of an LC oscillator, thus yielding an LC tank circuit distributed across multiple integrated circuit (IC) dies rather than lumped in a single die. This arrangement not only reduces reliance on area/power-consuming on-chip inductors, but also enables phase-aligned clocks to be extracted from the LC tank within each of the spanned IC dies, thereby saving die area and power otherwise consumed by multiple oscillator instances or complex phase alignment circuitry.
[0010] In one embodiment, the die-to-die interconnect structures include through- silicon- vias and micro bumps used to interconnect a stack of IC dies. In other embodiments, the interconnect structures may additionally or alternatively include conductive elements used to interconnect two or more integrated circuit chips stacked and/or disposed side-by-side in a system-in-package (SIP) configuration, system on chip (SOC) or multi-chip module (MCM), and/or conductive elements used to interconnect two or more integrated circuit packages (e.g., in a package-on-package (POP) or package-in-package (PIP) arrangement).
[0011] Figure 1 illustrates an embodiment of a multi-die component 100 formed by a stack of four IC dies and clocked by an interconnect-distributed LC oscillator. In the particular embodiment shown, and in other examples herein, the multi-die component is assumed to constitute a dynamic random access memory (DRAM) system and thus includes a DRAM controller die 111 (the "controller die"), and three DRAM dies, 121, 131 and 141. The controller die controls the operation of the memory dies (e.g., outputting commands, addresses and write data, and receiving read data via various signaling links not shown in Figure 1) and may be, for example and without limitation, a single-core or multi-core processor, graphics processing unit (GPU), mobile applications processor, application-specific integrated circuit (ASIC), etc.
[0012] While the exemplary memory system of Figure 1 is carried forward in the description of more detailed figures and alternative embodiments that follow, the clocking architecture disclosed may be deployed in virtually any system of interconnected IC dies, including systems of other types of memory dies, such as static random access memory (SRAM), flash memory and so forth. Further, the dies may be stacked in a staircase or offset arrangement, or otherwise oriented in such a way that a surface region adjacent an edge of a given die is exposed, thereby enabling connection via wire-bond, flex-tape or other die-interconnect structure. More generally, while the interconnect-distributed LC oscillator is described primarily in the context of a three-dimensional die- stack and die-to-die interconnects thereof, the distributed LC oscillator may be implemented in virtually any organization of IC dies (e.g., disposed in a system-in-package, system-on-chip, package-on-package, package-in-package, multi-chip module, etc.) using a broad variety of conductive interconnects (e.g., wire bonding, flex-tape, etc.).
[0013] Still referring to Figure 1, the controller die 111 includes a gain circuit 119 ("Gm") that energizes the distributed LC tank at its resonant frequency, as well as a phase-frequency controller 117 ("PFC") that tunes the resonant frequency of the tank according to a reference clock (e.g., from a crystal oscillator or other off-die or on-die reference). The LC tank circuit itself is formed by a variable-capacitance element 115 coupled in parallel with a distributed inductance, the latter including parallel stacks (or branches or columns) of inductive structures that interconnect adjacent dies within multi-die component 100 (i.e., die-to-die interconnect structures 129a, 139a, 149a in one stack and 129b, 139b and 149b in another stack), as well as a pair of inductive elements 152a and 152b coupled between power rail 155 (e.g., a supply-voltage conductor (VPWR) within the package) and the respective stacks of inductive die-interconnect structures. The power-coupled inductive elements 152a and 152b, referred to herein as
"terminal inductors" as they represent terminal inductive elements of the respective inductor stacks, may be disposed within an IC package at the top of the die stack or, alternatively, at the bottom of the die stack (e.g., if the entire die stack is flipped) to interconnect the inductor stacks to a power conductor disposed on the surface of a package substrate, or even formed in part or whole within the nearest one of the IC dies, or by other die-interconnect structures as explained below. Also, if necessary to achieve a nominal inductance, additional on-chip inductors 112a and 112b may be provided within the controller die 111 and/or any of the memory dies, with each or any of the on-die inductors being switchably or permanently coupled in line with a respective inductive interconnect stack. Transistor switching elements may be operated under control of a programmable register to enable on-chip inductive components (e.g., 112a, 112b) to be bypassed or coupled in series with the stacks of die-interconnect structures.
[0014] In the embodiment of Figure 1, the constituent IC dies of multi-die component 100 are coupled to one another by arrays of through- silicon vias (TSVs). That is, each IC die
(possibly excepting controller die 111) includes an array of conductive vias that extend through the die substrate to meet a connection point above and below, thus forming an array of columnar interconnects, each columnar interconnect being coupled in common to all the dies in the die stack. In one embodiment, each TSV within a given die is coupled to the die below (or above in a flip-chip orientation) by a micro-bump, with each TSV/micro bump unit forming an inductive element (129a, 129b, 139a, 139b, 149a, 149b) within the distributed LC tank. Depending on the net inductance required for the target oscillation frequency, and the inherent inductance of each TSV/micro bump unit, two or more of the TSV/micro bump structures may be switchably or permanently coupled in parallel (i.e., ganged) or in series to establish the inductance of each inductive element. For example, the tank circuit may include multiple parallel-coupled TSV and/or micro-bump structures per die (or for any subset of the dies) to lower the net inductance that would otherwise result from a single TSV/micro-bump structure per die, or may include multiple series-coupled TSV/micro-bump structures per die (or for any subset of the dies) to increase the net inductance relative to that of a single TSV/micro-bump structure per die. In one embodiment, a control register is provided within each IC die to couple a programmed number of interconnect structures (e.g., TSV/micro-bump) in parallel and/or series to establish an inductance according to a target clock frequency, number of dies in the die stack, fabrication process, etc.
[0015] Still referring to Figure 1, the voltage differential between the two die-interconnect stacks (also referred to herein as "inductor stacks") is tapped within each die to yield the die clock source. More specifically, within each die, a clock tree or other clock distribution circuit 114, 124, 134, 144 receives a differential input clock from respective connections to the inductor stacks, and fans out the input clock to the various clocked I/O (input/output) and logic circuits. Though not specifically shown, the clock distribution circuit may include frequency dividers to produce various different clock domains within each IC die (e.g., a logic clock domain that may operate at a lower frequency than an I/O clock domain) as well as various phase adjustment circuits to compensate for or otherwise control skew or other sources of timing offset between two dies or between circuit blocks on a given die. As shown, each of the clock distribution circuits 114, 124, 134, 144 may be switched between an active operating state and a low power operating state (e.g., disabled or switched off in the latter instance) in response to respective power-down signals (pwrdnl-pwrdn4), thereby enabling low power operation (e.g., sleep and/or power-down modes) during idle periods.
[0016] Figure 2 illustrates the multi-die component of Figure 1 schematically, showing exemplary detail with respect to the gain circuit 119 and phase-frequency controller 117. In the embodiment shown, gain circuit 119 includes a pair of transistors 161a and 161b (e.g., MOS transistors), each coupled in series between a respective inductor stack (181a or 181b) and ground, and having a control input cross-coupled to the counterpart inductor stack. By this arrangement, as the voltage across capacitive element 115 oscillates (i.e., at the resonant frequency of the tank), transistors 161a and 161b are alternately switched between conducting and non-conducting states to reinforce the direction of current flow through the tank, thus delivering energy to the tank (countering resistive energy loss) that establishes a controlled signal swing at the tank's resonant frequency. Moreover, because the inductive elements coupled in series within a given inductor stack (181a or 181b) form one reasonator made of low- loss sections (i.e., assuming a reasonably high quality factor (Q), which is readily achievable in view of the low-loss die interconnect structures 129a/b, 139a/b, 149a/b), the relative phases of the respective voltage drops across those inductive elements are identical (or substantially identical) at any point in time. Consequently, the same-polarity voltage develops at the clock output (i.e., the voltage between the inductor stacks 181a, 181b at the clock taps) of each die simultaneously, thereby yielding phase-aligned clocks throughout the multi-die component. That is, the clock signals for the respective dies are extracted from the same LC tank and thus are all in phase so long as the Q of each inductive component is reasonably high.
[0017] In the embodiment of Figure 2, capacitive element 115 has a voltage-controlled capacitance (e.g., as in a varactor diode) to enable the distributed LC tank circuit to be operated as a voltage controlled oscillator (i.e., resonant frequency of the tank circuit, l/(27i(LC) 1/2 ), adjusted by changing the capacitance of element 115 and thus by changing the control voltage or signal supplied thereto). The phase-frequency controller 117 provides closed-loop control of the capacitance value to lock the frequency and phase of the tank oscillation (and thus output clock frequency and phase) to a multiple of a reference clock signal ("RefClock"). More specifically, the differential clock extracted from controller-die clock nodes of the voltage controlled oscillator (VCO) is supplied to divider 163 which yields a frequency-divided clock 164. A phase/frequency-detector 165 (e.g., a bang-bang or "type 1" phase detector in this example, though a difference-frequency ("type 2") phase detector or other type of analog or digital phase detector, may alternatively be used) determines whether the phase frequency-divided clock leads or lags the reference clock phase, and asserts an up or down signal to charge pump 167 accordingly. Charge pump 167 cumulatively raises and lowers a control voltage 168 in response to the up and down signal assertions, and a trim circuit 169 converts the control voltage to a digital control signal 170 that is applied to adjust the capacitance of the capacitive element 115.
Through this negative feedback arrangement, the capacitance of capacitive element 115 is adjusted as necessary to yield a clock output that, when frequency-divided within divider 163 is phase and frequency locked to the reference clock. By this arrangement, the distributed LC tank circuit (i.e., power-coupled stacks 181a, 181b, and capacitive element 115), gain circuit 119 and phase/frequency controller 117 form a phase-locked loop that produces clocks within each of the
IC dies 111, 121, 131, 141 that are phase-aligned with one another and with the reference clock, and that are frequency multiples of the reference clock in accordance with the frequency divisor applied within divider circuit 163. If supported by the tunable range of the voltage controlled oscillator (which may be extended by switchably including or bypassing inductive elements within the tank), the frequency divisor applied by divider 163 may be programmably controlled (e.g., via a configuration register setting) to enable programmable clock frequency selection.
[0018] Still referring to Figure 2, it should be noted that trim circuit 169 may be omitted and the analog charge pump output 168 applied directly to variable capacitive element 115 (e.g., where the variable capacitor is implemented by an analog-control varactor diode or the like). Similarly, divider 163 may be omitted if no frequency multiplication is required within the phase locked loop (i.e., divider ratio set to unity). Also, instead of centralizing gain circuit 119 within controller die 111 as shown, the gain circuit may be distributed within all or any subset of the IC dies. Moreover, on-chip inductive elements 112a, 112b may optionally be provided as shown within the controller die 111 or any other IC die to provide the necessary tank inductance, with such inductances being switchably bypassed or coupled in series within the inductor stacks to enable coarse- or fine-tuning of the LC tank circuit. Further, while the primary inductive components of the tank are depicted as the TSV and micro-bump inductances discussed above, any other die-interconnect and/or package-interconnect structures (conductors) may alternatively or additionally form part of the tank inductance.
[0019] In a test simulation of a circuit arrangement corresponding to Figure 2, two stacks of four TSVs (each TSV having a diameter of approximately lOOum and height of lOOum) and corresponding micro bumps were applied to generate a distributed in-phase set of clocks having a target frequency of 5GHz (gigahertz). Each TSV/micro bump unit was estimated to contribute an inductance of 150pH (picohenries), and a variable capacitor having a nominal capacitance of 0.5pf (picofarad) was applied, so that top-pin package inductors (i.e., corresponding to elements 152a, 152b in Figures 1 and 2) each having an inductance of 400pH were provided to meet the requisite tank inductance of approximately 2nH (i.e., 8*150pH + 2*400pH, where '*' denotes multiplication). No on-chip inductors were required, thus yielding a die area savings corresponding to 100% of an on-chip 2nH inductor. Moreover, the quality factor (Q) was determined to be approximately five times better than that of an on-die inductor at least in part due to the thicker conductive elements and lower loss. In terms of phase-matching and power performance, the simulation showed a phase mismatch of less than 2% between adjacent die, and no additional PLL or clock synchronizing circuitry was required outside of the first die in the stack, substantially lowering the overall power consumption. [0020] As explained above, terminal inductors 152a and 152b may be implemented by in- package inductors, one-die inductors or even other die-interconnect inductances. In an embodiment shown in detail view 156, for example, die-interconnect structures 153a and 153b (e.g., TSV and micro-bump) form the terminal inductors (otherwise shown at 152a, 152b), coupling respective clock output nodes within Die4 (141) to a power supply node (e.g., VDD) within Die3 (131), thus terminating each inductor stack 181a, 181b (or inductive path) within Die3. As with other inductive elements of the distributed LC tank circuit, each structure 153a, 153b may be formed by multiple die-interconnects coupled in parallel and/or series to establish a desired elemental inductance, with the parallel and/or series connections being switchably controlled by signals from one or more configuration registers or other programmable circuits within the IC dies shown.
[0021] Figure 3 depicts a cutaway of the multi-die component of Figure 1 showing exemplary stacks of TSV and micro-bump balls that may be used to form the inductive components of the LC tank circuit described above. As shown, each of the IC dies is disposed in an unflipped orientation relative to an external interface of the package, and includes a substrate
(e.g., silicon or other semiconductor) having various circuit elements formed therein and interconnected to through one or more metal layers ("Ml-Mn") and inter- metal vias. The number of metal layers may vary from die to die (e.g., more metal layers in a controller die than in a DRAM die), and the top metal layer may include a micro-bump pad or other structure that forms an external interface node to be coupled via a micro-bump/TSV unit (or other inductive die-interconnect structure) to another IC die. A backside metal is provided to establish connections (e.g., by way of TSVs, bond wires or other structures) between the on-die circuitry and package-interconnect balls or other interconnects ("die-to-package interconnect") between the die stack and the conductive structures extending to the external interface of the package.
[0022] In the embodiment shown, the metal layers and inter-metal vias of each die form a path between the circuit elements formed in the die's substrate and a micro-bump/TSV unit that forms the interconnect to the die above. Accordingly, the micro bump and TSV provided to interconnect each die with the die above may be leveraged as an inductive element of a distributed LC oscillator, thus forming two columns or stacks of micro-bump/TSV pairs corresponding to the two stacks of inductive elements 181a, 181b shown in Figures 1 and 2.
Thus, the die interconnect structures serve not only as signal interconnects for distributing a clock signal within the multi-die component, but also as inductive elements of the LC oscillator itself. As shown, off-die inductances (e.g., conductive balls or other structures having an inductance roughly equal to a micro bump ball/TSV pair, or having a purposefully selected form factor to establish the net inductance required for the target resonant frequency of the LC tank) are provided to couple the two inductor stacks to a package voltage source (power rail shown, in this example, as VDD).
[0023] Figure 4 illustrates an alternative distributed LC oscillator embodiment that relies on injection locking instead of closed loop control. As in the embodiments above, die-interconnect structures 129a/129b, 139a/139b, 149a/149b are leveraged to form inductive elements within an
LC oscillator, but instead of the phase-locked loop control shown in Figures 1 and 2, a perturbation oscillator 225 (e.g., a ring oscillator) is coupled as shown (i.e., to the common source nodes of MOS transistors 161a and 161b within gain element 119, and to one node of capacitive element 215) to perturb the LC oscillator. Assuming that the perturbation oscillator has a frequency relatively close to the resonant frequency of the distributed LC oscillator, the LC oscillator will eventually "lock" to the frequency of the perturbation oscillator. The perturbation oscillator 225 may have an internal trim control that allows adjustment (e.g., under register control) within a specified frequency range around the resonant frequency of the distributed LC oscillator, and thus enable the frequency of the LC oscillator to be tuned.
[0024] As in the embodiments described in reference to Figures 1 and 2, the inductance of the LC oscillator may be adjusted, for example, by enabling on-die inductances to be switchably coupled in series with the die-interconnect inductances and/or by enabling a selectable number of die-interconnect structures to be coupled in parallel (i.e., ganged). Also, though not specifically shown, capacitive element 215 may be subject to digital or analog control, thereby providing another knob for adjusting the resonant frequency of the distributed LC tank.
[0025] Figure 5 illustrates an alternative embodiment of a multi-die component (300) in which stages of a distributed LC oscillator are inductively or capacitively coupled (i.e., "ac coupled") to one another. That is, the distributed LC oscillator is constructed generally as described in reference to Figure 2, except that the clock waveform (i.e., the tank oscillation) is conveyed from die to die via an ac coupling instead of a hardwired, "dc" coupling. More specifically, instead of coupling die interconnects 262a and 262b directly to the clock output nodes within the die 261, the interconnects are coupled in common to a power source within die
261 (thereby enabling the gain circuit 119 in controller die 251 to draw the restorative current needed to maintain the tank oscillation) and respectively ac-coupled to another pair of die interconnects 263a and 263b. The ac coupling is shown in Figure 5 as an inductive coupling between two adjacent inductive elements, and thus as a transformer operation (including a dot to denote the in-phase relation between transformer input and output), though the coupling may alternatively be capacitive. Die interconnects 263a and 263b are coupled to one another within controller die 251 and to another gain circuit 269 in the die 261. This arrangement is repeated in IC dies 271 and 281 through die-interconnects 272a/272b, 273a/273b and 282a/282b, 283a/283b and gain circuits 279 and 289, though off-die inductors 290a and 290bare coupled between respective clock output nodes of IC die 281 and power rail 155.
[0026] In one embodiment, each ac coupling is formed by a respective concentric TSV pair as shown by the embodiment of die interconnects 282b/283b in detail (top) view 300. That is, each TSV includes an inner via (282b) and an outer via (283b) disposed concentrically and isolated from one another by an oxide or other insulating material. A side-view of this arrangement is shown with respect to concentrically disposed TSVs 282a/283a, together with a package cutaway 307 illustrating the disposition of the concentric TSVs within multi-die component 300 By this arrangement, one of the conductors within the concentric TSV corresponds to one coil within the transformer equivalent, and the other conductor corresponds to the counterpart coil. Each conductor includes top and bottom die connection points, thereby enabling the circuit connections shown.
[0027] It should be noted that the various circuits disclosed herein may be described using computer aided design tools and expressed (or represented), as data and/or instructions embodied in various computer-readable media, in terms of their behavioral, register transfer, logic component, transistor, layout geometries, and/or other characteristics. Formats of files and other objects in which such circuit expressions may be implemented include, but are not limited to, formats supporting behavioral languages such as C, Verilog, and VHDL, formats supporting register level description languages like RTL, and formats supporting geometry description languages such as GDSII, GDSIII, GDSIV, CIF, MEBES and any other suitable formats and languages. Computer-readable media in which such formatted data and/or instructions may be embodied include, but are not limited to, computer storage media in various forms (e.g., optical, magnetic or semiconductor storage media, whether independently distributed in that manner, or stored "in situ" in an operating system).
[0028] When received within a computer system via one or more computer-readable media, such data and/or instruction-based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, net-list generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits. Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process. [0029] In the foregoing description and in the accompanying drawings, specific terminology and drawing symbols have been set forth to provide a thorough understanding of the present invention. In some instances, the terminology and symbols may imply specific details that are not required to practice the invention. For example, any of the specific numbers of bits, signal path widths, signaling or operating frequencies, component circuits or devices and the like may be different from those described above in alternative embodiments. Additionally, links or other interconnection between integrated circuit devices or internal circuit elements or blocks may be shown as buses or as single signal lines. Each of the buses may alternatively be a single signal line, and each of the single signal lines may alternatively be buses. Signals and signaling links, however shown or described, may be single-ended or differential. A signal driving circuit is said to "output" a signal to a signal receiving circuit when the signal driving circuit asserts (or deasserts, if explicitly stated or indicated by context) the signal on a signal line coupled between the signal driving and signal receiving circuits. The term "coupled" is used herein to express a direct connection as well as a connection through one or more intervening circuits or structures. Integrated circuit device "programming" may include, for example and without limitation, loading a control value into a register or other storage circuit within the integrated circuit device in response to a host instruction (and thus controlling an operational aspect of the device and/or establishing a device configuration) or through a one-time programming operation (e.g., blowing fuses within a configuration circuit during device production), and/or connecting one or more selected pins or other contact structures of the device to reference voltage lines (also referred to as strapping) to establish a particular device configuration or operation aspect of the device. The terms "exemplary" and "embodiment" are used to express an example, not a preference or requirement.
[0030] While the invention has been described with reference to specific embodiments thereof, it will be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope. For example, features or aspects of any of the embodiments may be applied, at least where practicable, in combination with any other of the embodiments or in place of counterpart features or aspects thereof. Accordingly, the
specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims

What is claimed is:
1. An apparatus comprising:
a plurality of integrated circuit dies, including a capacitive element;
first interconnect structures extending between respective pairs of the integrated circuit dies and coupled to one another; and
second interconnect structures extending between the respective pairs of the integrated circuit dies and coupled to one another, wherein the first plurality of interconnect structures and the second plurality of interconnect structures are coupled to one another and to respective nodes of the capacitive element to form an LC (inductor- capacitor) tank circuit.
2. The apparatus of claim 1 further comprising a gain circuit coupled to the first interconnect structures and the second interconnect structures to enable oscillation of the LC tank circuit.
3. The apparatus of claim 2 further comprising a control circuit to adjust the capacitance of the capacitive element to control the oscillation frequency.
4. The apparatus of claim 3 wherein the control circuit comprises a closed-loop circuit that adjusts the capacitance of the capacitive element to reduce a frequency difference between a frequency-divided output of the LC tank circuit and the frequency of a reference clock signal.
5. The apparatus of claim 1 further comprising an oscillator coupled to the LC tank circuit to effect injection-locked oscillation of the LC tank circuit at the oscillation frequency of the oscillator.
6. The apparatus of claim 1 wherein the gain circuit comprises:
a first transistor to conduct current between a first node of the capacitive element and a voltage reference node and having a control terminal coupled to a second node of the capacitive element; and
a second transistor to conduct current between the second node of the capacitive element and the voltage reference node and having a control terminal coupled to the first node of the capacitive element.
7. The apparatus of claim 1 wherein the plurality of integrated circuit dies are stacked to form a three-dimensional integrated circuit device.
8. The apparatus of claim 1 wherein the first plurality of interconnect structures and the second plurality of interconnect structures collectively constitute the predominant inductance of the LC tank circuit.
9. The apparatus of claim 1 wherein the first plurality of interconnect structures comprises a through- silicon via that extends through one of the integrated circuit dies.
10. The apparatus of claim 1 wherein the interconnect structures of the first plurality are
connected in series, and the interconnect structures of the second plurality are connected in series.
11. The apparatus of claim 1 wherein the first plurality of interconnect structures comprises a first and second interconnect structures inductively coupled to one another.
12. The apparatus of claim 1 further comprising a plurality of clock distribution circuits
formed respectively within the plurality of integrated circuit dies and coupled to receive respective oscillating signals from the LC tank circuit.
13. The apparatus of claim 1 wherein the capacitive element is disposed on a first integrated circuit die of the plurality of integrated circuit dies.
14. The apparatus of claim 1 wherein the plurality of integrated circuit dies comprises a
memory controller die and a memory die, the memory die including a storage array that is accessed in response to commands from the memory controller die.
15. An apparatus comprising:
first and second interface nodes to be coupled to counterpart interface nodes of one or more additional integrated circuit dies through inductive die-interconnect structures; a capacitive element coupled in parallel with the first and second interface nodes to form, together with the inductive die-interconnect structures, an LC tank circuit; circuitry to enable oscillation of the LC tank circuit; and
a clock distribution circuit to receive a first oscillating signal from the LC tank circuit.
16. The apparatus of claim 15 wherein the circuitry to enable oscillation of the LC tank circuit comprises closed-loop control circuitry which, together with the LC tank circuit comprises a phase-locked loop.
17. The apparatus of claim 16 wherein the phase-locked loop comprises circuitry to adjust the capacitance of the capacitive element to reduce a frequency difference between a frequency-divided instance of the first oscillating signal from the LC tank circuit and the frequency of a reference clock signal.
18. The apparatus of claim 15 wherein the circuitry to enable oscillation of the LC tank circuit comprises an oscillator to effect injection-locked oscillation within the LC tank circuit.
19. The apparatus of claim 15 wherein oscillation of the LC tank circuit produces additional oscillating signals within the one or more additional integrated circuit dies that are substantially phase-aligned with the first oscillating signal.
20. An apparatus comprising:
first and second die-interconnect structures that form part of an LC tank circuit, the first and second die-interconnect structures to enable connection to a first integrated circuit die;
a clock distribution circuit coupled between the first and second die-interconnect structures to receive a differential clock signal that results from oscillation of the LC tank circuit; and
first and second external interface nodes coupled to the first and second die-interconnect structures, respectively, and to enable connection to one or more inductive structures that form part of the LC tank circuit and that are disposed externally to the apparatus.
21. The apparatus of claim 20 further comprising a semiconductor substrate in which at least part of the clock distribution circuit is formed, and wherein the first and second die- interconnect structures comprise conductive vias extending through the semiconductor substrate.
22. The apparatus of claim 21 wherein the inductive vias are coupled to the clock distribution circuit adjacent a first surface of the semiconductor substrate and enable coupling to the first integrated circuit die at respective points disposed adjacent a second surface of the semiconductor substrate, the second surface being opposite the first surface.
23. The apparatus of claim 20 wherein the one or more inductive structures that form part of the LC tank circuit and that are disposed externally to the apparatus comprise first and second die-interconnect structures of a second integrated circuit die.
24. The apparatus of claim 20 wherein the one or more inductive structures that form part of the LC tank circuit and that are disposed externally to the apparatus comprise at least one inductive structure disposed within an integrated circuit package within which the apparatus and the first integrated circuit die are to be disposed.
25. A method of operation within an integrated circuit die, the method comprising:
receiving a clock signal from a LC tank circuit formed at least in part by a capacitive
element and inductive interconnect structures coupled between the integrated circuit die and another integrated circuit die; and
changing the capacitance of the capacitive element to adjust the frequency of the clock signal.
26. The method of claim 25 wherein changing the capacitance of the capacitive element to adjust the frequency of the clock signal comprises generating a frequency-divided version of the clock signal, determining a frequency difference between the frequency-divided version of the clock signal and a reference clock, and adjusting a control signal that controls the capacitance of the capacitive element to reduce the frequency difference.
27. The method of claim 25 further comprising charging the capacitive element from a power source at a frequency that corresponds to the resonant frequency of the LC tank circuit.
28. The method of claim 25 wherein integrated circuit die and the other integrated circuit die are disposed within an integrated circuit package.
29. The method of claim 25 wherein the integrated circuit die and the other integrated circuit die are stacked to form at least part of a three-dimensional integrated circuit.
30. The method of claim 25 wherein the inductive interconnect structures coupled between the integrated circuit die and the other integrated circuit die comprise through- silicon vias.
31. An apparatus comprising:
a capacitive element that forms part of an LC tank circuit in which inductive interconnect structures to be coupled between the apparatus and an integrated circuit die form part of the inductance of the LC tank circuit; means for receiving a clock signal from the LC tank circuit; and
means for changing the capacitance of the capacitive element to adjust the frequency of the clock signal.
PCT/US2012/024197 2011-03-15 2012-02-07 Area and power efficient clock generation WO2012125237A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/002,267 US9160346B2 (en) 2011-03-15 2012-02-07 Area and power efficient clock generation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161452756P 2011-03-15 2011-03-15
US61/452,756 2011-03-15

Publications (2)

Publication Number Publication Date
WO2012125237A2 true WO2012125237A2 (en) 2012-09-20
WO2012125237A3 WO2012125237A3 (en) 2012-11-08

Family

ID=46831247

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/024197 WO2012125237A2 (en) 2011-03-15 2012-02-07 Area and power efficient clock generation

Country Status (2)

Country Link
US (1) US9160346B2 (en)
WO (1) WO2012125237A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103779317A (en) * 2012-10-25 2014-05-07 联华电子股份有限公司 Semiconductor structure and method for reducing signal interference in semiconductor structure
WO2014209693A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Power management in multi-die assemblies
US9960133B2 (en) 2013-03-08 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Filter and capacitor using redistribution layer and micro bump layer
WO2018183739A1 (en) * 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269708B2 (en) 2015-12-18 2019-04-23 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
WO2023191784A1 (en) * 2022-03-30 2023-10-05 Intel Corporation Techniques for resonant rotary clocking for die-to-die communication
US20230336181A1 (en) * 2022-04-19 2023-10-19 Micron Technology, Inc. Divided quad clock-based inter-die clocking in a three-dimensional stacked memory device
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8547151B2 (en) * 2011-11-30 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase-locked loops that share a loop filter
US8896094B2 (en) * 2013-01-23 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for inductors and transformers in packages
US9230940B2 (en) * 2013-09-13 2016-01-05 Globalfoundries Inc. Three-dimensional chip stack for self-powered integrated circuit
US9543965B1 (en) * 2013-10-04 2017-01-10 Altera Corporation Interposer with embedded clock network circuitry
US10289604B2 (en) * 2014-08-07 2019-05-14 Wisconsin Alumni Research Foundation Memory processing core architecture
US9374037B2 (en) * 2014-10-30 2016-06-21 M/A-Com Technology Solutions Holdings, Inc. Voltage-controlled oscillator with mask-selectable performance
US9916409B2 (en) 2015-12-08 2018-03-13 International Business Machines Corporation Generating a layout for an integrated circuit
KR20170133043A (en) * 2016-05-25 2017-12-05 삼성전자주식회사 Three-dimensional inductor structure and stacked semiconductor device including the same
KR20180002939A (en) * 2016-06-29 2018-01-09 삼성전자주식회사 Memory device, memory package including the same, and memory module including the same
US10564664B2 (en) 2017-05-11 2020-02-18 International Business Machines Corporation Integrated skew control
US10348279B2 (en) * 2017-05-11 2019-07-09 International Business Machines Corporation Skew control
US10298217B2 (en) 2017-07-14 2019-05-21 International Business Machines Corporation Double compression avoidance
CN112286842B (en) * 2019-07-22 2023-07-04 苏州库瀚信息科技有限公司 Bus for memory controller and memory device interconnection
US11449453B2 (en) 2020-04-16 2022-09-20 Mediatek Inc. Multi-package system using configurable input/output interface circuits for single-ended intra-package communication and differential inter-package communication
US11410927B2 (en) * 2020-11-24 2022-08-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming thereof
JP2022144032A (en) 2021-03-18 2022-10-03 キオクシア株式会社 semiconductor storage device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5475345A (en) * 1994-12-29 1995-12-12 At&T Corp. Ultra-fast MOS device circuits
US5747982A (en) * 1996-12-05 1998-05-05 Lucent Technologies Inc. Multi-chip modules with isolated coupling between modules
US7508280B2 (en) * 2005-07-19 2009-03-24 Lc Tank Llc Frequency adjustment techniques in coupled LC tank circuits
US20110050336A1 (en) * 2009-09-01 2011-03-03 National Taiwan University Multi-chip stack structure and signal transmission method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7274264B2 (en) * 2004-12-22 2007-09-25 Agere Systems Inc. Low-power-dissipation CMOS oscillator circuits with capacitively coupled frequency control
US7511588B2 (en) 2005-07-19 2009-03-31 Lctank Llc Flux linked LC tank circuits forming distributed clock networks

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5475345A (en) * 1994-12-29 1995-12-12 At&T Corp. Ultra-fast MOS device circuits
US5747982A (en) * 1996-12-05 1998-05-05 Lucent Technologies Inc. Multi-chip modules with isolated coupling between modules
US7508280B2 (en) * 2005-07-19 2009-03-24 Lc Tank Llc Frequency adjustment techniques in coupled LC tank circuits
US20110050336A1 (en) * 2009-09-01 2011-03-03 National Taiwan University Multi-chip stack structure and signal transmission method thereof

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103779317B (en) * 2012-10-25 2017-12-05 联华电子股份有限公司 Semiconductor structure and the method for reducing signal interference in semiconductor structure
CN103779317A (en) * 2012-10-25 2014-05-07 联华电子股份有限公司 Semiconductor structure and method for reducing signal interference in semiconductor structure
US9960133B2 (en) 2013-03-08 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Filter and capacitor using redistribution layer and micro bump layer
US11410952B2 (en) 2013-03-08 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Filter and capacitor using redistribution layer and micro bump layer
US10714441B2 (en) 2013-03-08 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Filter and capacitor using redistribution layer and micro bump layer
WO2014209693A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Power management in multi-die assemblies
US9391453B2 (en) 2013-06-26 2016-07-12 Intel Corporation Power management in multi-die assemblies
US10079489B2 (en) 2013-06-26 2018-09-18 Intel Corporation Power management in multi-die assemblies
US10269708B2 (en) 2015-12-18 2019-04-23 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
WO2018183739A1 (en) * 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11860415B2 (en) 2018-02-26 2024-01-02 Adeia Semiconductor Bonding Technologies Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11894345B2 (en) 2018-08-28 2024-02-06 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
WO2023191784A1 (en) * 2022-03-30 2023-10-05 Intel Corporation Techniques for resonant rotary clocking for die-to-die communication
US20230336181A1 (en) * 2022-04-19 2023-10-19 Micron Technology, Inc. Divided quad clock-based inter-die clocking in a three-dimensional stacked memory device
US11955981B2 (en) * 2022-04-19 2024-04-09 Micron Technology, Inc. Divided quad clock-based inter-die clocking in a three-dimensional stacked memory device

Also Published As

Publication number Publication date
US9160346B2 (en) 2015-10-13
WO2012125237A3 (en) 2012-11-08
US20130336082A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
US9160346B2 (en) Area and power efficient clock generation
US8963595B2 (en) Phase-locked loops that share a loop filter and frequency divider
US7237217B2 (en) Resonant tree driven clock distribution grid
US9129935B1 (en) Multi-chip packages with reduced power distribution network noise
US8836443B2 (en) Integrated circuits with configurable inductors
US6943294B2 (en) Integrating passive components on spacer in stacked dies
JP5893148B2 (en) Voltage controlled oscillator with low phase noise
KR100288183B1 (en) Clock distribution network utilizing local deskewing clock generator circuitry
US11417637B2 (en) Stacked decoupling capacitors with integration in a substrate
WO2011106055A1 (en) Coordinating memory operations using memory-device generated reference signals
US11495382B2 (en) High Q-factor inductor
US9543965B1 (en) Interposer with embedded clock network circuitry
Chung et al. All-digital delay-locked loop for 3D-IC die-to-die clock synchronization
JP2000165234A (en) Pll circuit
US8836390B2 (en) Phase-locked loops that share a loop filter and frequency divider
US11757436B2 (en) System for signal propagation and method of operating the same
US20150171790A1 (en) Variable frequency oscillator with specialized inverter stages
TWI730523B (en) Self-calibration system single chip
JP2018049956A (en) Semiconductor device
US9154144B2 (en) Stacked CMOS phase-locked loop
Kim et al. A study on wide-band frequency synthesizer for advanced wireless communication
US20180226393A1 (en) Electrostatic discharge protection of an integrated circuit clock
US8729939B2 (en) Charging/discharging circuit and PLL circuit using the same
JP2010045075A (en) Semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12758107

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 14002267

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12758107

Country of ref document: EP

Kind code of ref document: A2