WO2011119729A2 - Airflow management for low particulate count in a process tool - Google Patents

Airflow management for low particulate count in a process tool Download PDF

Info

Publication number
WO2011119729A2
WO2011119729A2 PCT/US2011/029623 US2011029623W WO2011119729A2 WO 2011119729 A2 WO2011119729 A2 WO 2011119729A2 US 2011029623 W US2011029623 W US 2011029623W WO 2011119729 A2 WO2011119729 A2 WO 2011119729A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
wafer
transfer section
chamber
process area
Prior art date
Application number
PCT/US2011/029623
Other languages
French (fr)
Other versions
WO2011119729A3 (en
Inventor
Eric H. Lenz
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR20127027648A priority Critical patent/KR20130010903A/en
Priority to CN201180025217.2A priority patent/CN102906855B/en
Priority to SG2012070306A priority patent/SG184199A1/en
Priority to JP2013501433A priority patent/JP5734409B2/en
Publication of WO2011119729A2 publication Critical patent/WO2011119729A2/en
Publication of WO2011119729A3 publication Critical patent/WO2011119729A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/42Auxiliary equipment or operation thereof
    • B01D46/44Auxiliary equipment or operation thereof controlling filtration
    • B01D46/446Auxiliary equipment or operation thereof controlling filtration by pressure measuring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening
    • Y10T137/863Access and outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Definitions

  • semiconductor processing and, in a specific exemplary embodiment, to a system and method of controlling particulate count within a processing chamber.
  • transfer modules that move the wafers, for example, through slots or ports that are provided in adjacent walls of the interfaced chambers.
  • Transfer modules are generally used in conjunction with a variety of wafer processing modules (PMs), which may include semiconductor etching systems, material deposition systems, and flat panel display etching systems.
  • PMs wafer processing modules
  • Integrated circuits formed in the process chambers have generally followed "Moore's Law,” meaning that the number of devices that fit onto a single integrated circuit chip doubles every two years.
  • Contemporary IC fabrication facilities ("fabs") routinely produce 65 nm (0.065 ⁇ ) feature size devices and smaller. Future fabs will soon be producing devices having even smaller feature sizes.
  • the reduced feature sizes are reduced contamination and particle budgets as even a single 30 nm particle can be a killer defect for a given IC.
  • Fig. 1 A is an exploded perspective view of an exemplary substrate processing tool, including an equipment front-end module (EFEM), a process module, and an electronics enclosure, incorporating at least aspects of the inventive subject matter described herein;
  • EFEM equipment front-end module
  • process module a process module
  • electronics enclosure incorporating at least aspects of the inventive subject matter described herein;
  • Fig. IB is a perspective view of the process module of Fig. 1A including a process chamber;
  • Fig. 2 is a perspective view of an exemplary clock-arm substrate carrier mechanism used within the process chamber of Fig. IB;
  • Fig. 3 is a perspective view of an exemplary substrate traverser mechanism to be used in conjunction with the clock-arm substrate carrier mechanism of Fig. 2 and within the process chamber of Fig. IB;
  • Fig. 4 is a plan view of the clock-arm substrate carrier mechanism of Fig. 2 used in conjunction with the substrate traverser mechanism of Fig. 3 in an exemplary embodiment;
  • Fig. 5A is a perspective view of a lower portion of the process chamber showing exemplary process chamber exhaust and drain locations used with the exemplary clock-arm substrate carrier mechanism and the substrate traverser mechanism of Fig. 4 and located within the process module of Fig. IB;
  • Fig. 5B is a perspective view showing an exemplary process chamber exhaust and drain system used within the process module of Fig. IB;
  • Fig. 6 is an exemplary air handling schematic showing volumetric flow rates into and out from the EFEM and the process module of Fig. 1A;
  • Fig. 7A is an exemplary perspective view and an exemplary plan view of solid components of the process chamber of Fig. IB used in
  • Fig. 7B is a perspective view and a side elevational view (both views on one side of a line of symmetry) of a fluid domain of the process chamber of Fig. IB used in the computational fluid dynamic modeling utilizing the solid components of Fig. 7A;
  • Fig. 7C is a computed particle trace for a perspective view, a plan view, and a side elevational view (all three views on one side of a line of symmetry) of general air flow motion within the process chamber of Fig. IB;
  • Fig. 7D is a computed pressure field for a perspective view and a plan view (both views on one side of a line of symmetry) to verify locations of negative pressure within the process chamber of Fig. IB;
  • Fig. 7E is a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from a high-efficiency particulate air filter (HEP A) inlet within the process chamber of Fig. IB;
  • HEP A high-efficiency particulate air filter
  • Fig. 7F is a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from a hood slit in the chamber inlet within the process chamber of Fig. IB to outlet locations as indicated by Figs. 5A and 5B;
  • Fig. 7G is a computed velocity flow field for a side elevational view
  • Fig. 7H is a computed pressure field for a side elevational view (on one side of a line of symmetry) of pressure gradients from the HEPA inlet and the hood slit within the process chamber of Fig. IB.
  • the term "or” may be construed in either an inclusive or an exclusive sense.
  • the term "exemplary” is construed merely to mean an example of something or an exemplar and not necessarily a preferred or ideal means of accomplishing a goal.
  • substrate transport mechanisms and related contamination-related reduction techniques the embodiments are given merely for clarity in disclosure.
  • any type of substrate transport mechanism can employ various embodiments of the system described herein and is considered as being within a scope of the present inventive subject matter.
  • substrate is simply chosen as a convenient term referring to any of various substrate types used in the semiconductor and allied industries.
  • Substrate types may therefore include silicon wafers, compound wafers, thin film head assemblies, polyethylene- terephthalate (PET) films, photomask blanks and reticles, or numerous other types of substrates known in the art.
  • PET polyethylene- terephthalate
  • a method and system to provide filtered air is disclosed that reduces particulate contamination from contact with substrates being transported or processed in a process tool such as, for example, a wafer-cleaning tool used in the
  • the method and system further maintain chemical and vapor containment in a substrate pass-through design while allowing for demand variations of the chemical area of a process chamber within the tool.
  • a filtering unit provides air from above the substrates.
  • the filtering unit can be moved for maintenance and has a gap above the substrate transport and processing area making the unit easy to move while reducing vibration transfer.
  • air enters the chemical section of the process chamber through a slot designed to provide a pressure difference between the chemical section and a substrate transfer section.
  • Substrates exit the chemical zone through slots that minimize airflow from a lower region of the chamber.
  • the dominant airflow into the chemical region is through an upper slot that reduces particles from being swept up from lower surfaces of the chamber.
  • the substrate transport mechanism passes through to the chemical area of the chamber, the substrates pass through two slits in which a pressure differential is maintained thus pulling particles away from the chemical area while keeping chemical vapors from the non-chemical area.
  • embodiments further reduce or prevent chemical vapors from leaving chemical regions, and provide for sweeping of air from high particle regions reaching the substrates.
  • airflow created by a designed pressure differential reduces or prevents particles from migrating from multiple linear substrate transporters having exposed linear belts.
  • multiple substrate carriers driven by linear belt drive slides tend to be particle generators due to friction and moving mechanical parts. Particles generated from the slides or belts are ideally prevented from getting on the substrates.
  • airflow requirements were determined across a horizontal slot that substrates traverse to connect them to the linear slides. A required airflow velocity was determined for particles sizes less than about 50 ⁇ to flow faster than a terminal velocity of the particle size ranges of interest. Particles larger than 50 ⁇ would fall at high enough rates that they could not traverse the horizontal slot. Baffling was designed to make the slot flow uniform even with the draw for the slit being at one end.
  • a system to provide airflow management in a substrate production tool includes a housing to couple the substrate production tool to a fan filter unit to provide filtered air to the housing, a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism, a substrate transfer section coupled below the housing and in airflow communication with the facility connection, and a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots.
  • a chamber substantially containing the substrate transfer section and the substrate process area is coupled to the housing to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The chamber maintains a low pressure in the substrate process area relative to the substrate transfer section.
  • a system to provide airflow management in a wafer process tool includes a fan filter unit to provide filtered air to the wafer process tool, a facility connection to couple the wafer process tool to a reduced pressure exhaust mechanism of a wafer fabrication facility, a wafer transfer section coupled below the fan filter unit and in airflow communication with the facility connection, and a wafer process area having a chemical process section.
  • the wafer process area is coupled to the wafer transfer section by one or more wafer transport slots.
  • a process chamber substantially containing the wafer transfer section and the wafer process area is coupled to the fan filter unit to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The process chamber maintains a low pressure in the wafer process area relative to the wafer transfer section.
  • a method of providing airflow management system in a substrate production tool includes providing a first mechanism to couple the substrate production tool to a fan filter unit to provide filtered air to the substrate production tool and providing a second mechanism to couple the substrate production tool to a reduced pressure exhaust mechanism to provide an exhaust for excess gas flow within the substrate production tool.
  • a substrate process area of the substrate production tool is maintained at a lower pressure than a pressure of the substrate transfer section of the substrate production tool while the substrate process area is maintained at a higher pressure than a pressure of the reduced pressure exhaust mechanism.
  • the substrate transfer section is maintained at a higher pressure than the pressure of the reduced pressure exhaust mechanism.
  • a processing tool 100 (commonly referred to as a process tool or other substrate production tool) is shown to include an equipment front-end module (EFEM) 110, a process module 130, and an electronics enclosure 150.
  • EFEM equipment front-end module
  • the process module 130 includes a process chamber 13 l(or other chamber types in which substrates are located, such as, for example, an in-situ metrology chamber).
  • the process chamber may include a substrate transfer section and a substrate process area, both defined in detail, below, in which various processes are performed on a batch of substrates.
  • the processes may include various types of, for example, substrate cleaning and wet-etch (e.g., chemical etch) steps known independently in the semiconductor and related art fields.
  • the process module 130 is generally enclosed to reduce any particulate, organic, or other
  • the enclosure (not shown) minimizes a risk of hazardous interactions between an equipment operator and moving mechanisms within the process module 130, thereby increasing safety of the operator.
  • Operating power is supplied to the EFEM 110 and the process module 130 by the electronics enclosure 150.
  • the EFEM 110 is shown to include a number of substrate load stations 11 1, a first operator control interface 115 A, and a second operator control interface 115B. From one of these control interfaces, an operator may input and run, for example, process recipes for a particular batch of substrates.
  • the EFEM 110 is also shown to include a front opening unified pod (FOUP) 113 placed on one of the substrate load stations 111.
  • the FOUP 113 is a particular type of plastic enclosure designed to hold semiconductor wafers (e.g., generally silicon wafers (Si) but may also include various other wafer types formed from elemental semiconductor materials such as germanium (Ge), or compound semiconductor materials such as gallium-arsenide (GaAs) or indium arsenide (InAs)).
  • the FOUP 113 holds the wafers (not shown) securely and safely in a controlled environment. Although not shown explicitly in Fig. 1 A, a skilled artisan will recognize readily that a FOUP may simultaneously be present on each of the substrate load stations 111. One or more robots (not shown) may be associated with each FOUP. Once the FOUP 113 is placed on one of the substrate load stations 111, the robot (not shown) within the EFEM 110 may directly access the wafers contained within the FOUP 113. The EFEM 110 thus allows an operator to load and unload substrates from the FOUP 113 into the process chamber 131 via, for example, a two-bladed or four-blade robot (not shown but known independently in the art).
  • one robot that can be employed is, for example, a model FC06N, manufactured by Kawasaki (USA), Inc. of Wixom, Michigan, USA.
  • the robot may incorporate a collapsive end-effector having four 3.8 mm blades with an approximate 10 mm spacing between adjacent blades. The 10 mm spacing is matched to the wafer-to-wafer spacing in a typical FOUP. Details of various transport processes occurring within the process chamber 131 are described in more detail with reference to Figs. 4A, 4B, and 5, below.
  • substrates are transported by the robots from the FOUP 113 to one of a number of substrate carriers 135 (i.e., rotary-mounted substrate carriers) located on a clock-arm substrate carrier mechanism (not shown explicitly in either Fig. 1A or Fig. IB but described in detail with reference to Fig. 2, below).
  • substrate carriers 135 i.e., rotary-mounted substrate carriers
  • clock-arm substrate carrier mechanism not shown explicitly in either Fig. 1A or Fig. IB but described in detail with reference to Fig. 2, below.
  • the substrate is loaded or unloaded into or out of the process chamber 131 through a substrate transport slot 133.
  • a high-efficiency particulate air (HEP A) filter placed within a fan filter unit (FFU) 137 provides substantially clean air within the process chamber 131.
  • the FFU 137 is located above the process chamber 131 to provide airflow and particular pressure gradients within the chamber to reduce particulate contamination on and around substrates.
  • the airflow partially reduces particulate contamination by a producing a boundary layer above the substrate through which small particles are unable to pass. Both large and small particles are then swept out of the process chamber 131 and into an exhaust system, described below. Improved chemical containment is also achieved through use of the produced pressure gradients (described in more detail, below).
  • the FFU 137 is described as employing a HEP A filter herein, a skilled artisan will recognize that other filter types (e.g., an ultra-low particulate air (ULPA) filter) could readily be substituted for the HEPA filter with added fan capacity as needed to account for a higher pressure-drop across, for example, the ULPA filter.
  • ULPA ultra-low particulate air
  • Calculation of the airflow and pressure gradients produced by the FFU 137 is discussed with reference to Figs. 6 - 7H, below.
  • the process chamber 131 is also shown to include ionizer bars 139 that run parallel to a long axis of the FFU 137.
  • the ionizer bars 139 reduce electrostatic charges that would otherwise accumulate on substrates within the process chamber 131 caused by friction generated by the airflow across the substrates from the FFU 137. A substrate with a charge more readily attracts oppositely charged particles.
  • the ability of an ionizer to reduce charge on any surface is time dependent. Therefore, the ionizer bars 139 can be located relative to the substrates (located beneath the ionizer bars) where a relatively long residence time (e.g., 1 second to 5 seconds but at least partially dependent on localized airflow) assists in producing an intended reduction in electrostatic charge on the substrates.
  • the ionizer bars 139 can be located in other positions within the process chamber 131, may be unipolar (i.e., producing anions or cations) or bipolar (i.e., a balanced ion generator), may be of other sizes and shapes, and may be instantiated in numerous positions within the process chamber 131 above the substrates.
  • the ionizer bars 139 are approximately 64 inches (about 1.63 m) in length.
  • the FFU 137 has a volumetric flow rate of approximately 910 cubic feet per minute (cfm, or about 25.8 m 3 per minute) with a face velocity from an outlet side of the HEPA filter being approximately 90 feet per minute (fpm, or about 27.4 m per minute). In other embodiments, the FFU 137 has a volumetric flow rate of approximately 1300 cubic feet per minute (about 36.8 m 3 per minute).
  • the HEPA filter can be formed from a tetrafluoroethylene (TFE) material with a 99.99995% filter efficiency at 0.3 ⁇ .
  • TFE tetrafluoroethylene
  • the ULPA filter described above, can have an even higher efficiency (as measured at 0.12 ⁇ ).
  • the FFU 137 and the process chamber 131 are designed so that less than five particles at a size of 55 nm and lower are added due to substrate transport considerations.
  • a first chamber exhaust pipe 141 and a second chamber exhaust pipe 143 draw particulates and fluids (e.g., excess process gases, such as air produced by the FFU 137, and excess process liquids) from opposite sides of the process chamber 131 into a cross-tube assembly 145.
  • a chamber exhaust stack 147 provides makeup airflow allowing particulates and fluids entering the cross-tube assembly 145 to be readily drawn into an exhaust/drain system (not shown) within the fabrication facility.
  • the chamber exhaust stack 147 may optionally be coupled to an exhaust connection within the fabrication facility to provide an air draw. More detail on the exhaust system is given, below, with reference to Figs. 5A and 5B.
  • Fig. 2 shows an exemplary embodiment of a clock-arm substrate carrier mechanism 200.
  • the clock-arm substrate carrier mechanism 200 is shown to include a number of rotary arms 201, with each end of the rotary arms 201 having an associated one of the substrate carriers 135, an inner track section 203, an outer track section 205, and substrate lifters 207.
  • Each of the rotary arms 201 may be driven independently and, thus, may be started, stopped, and accelerated independently of the remaining ones of the rotary arms 201.
  • the clock-arm substrate carrier mechanism 200 can be adapted to handle any number of arms.
  • the number of arms will be at least partially dependent upon a physical size of, for example, a diameter of the outer track section 205 and a physical size of each the substrate carriers 135.
  • the rotary arms 201 and the substrate carriers 135 may be scaled as necessary to adapt to a given substrate size.
  • the substrate carriers 135 may be designed to accommodate 300 mm silicon wafers, 100 mm gallium arsenide (GaAs) wafers, or a next generation of 450 mm wafers.
  • the outer track section 205 is physically arranged to accommodate a 30 inch (approximately 760 mm) radius from midpoints of the rotary arms 201 to a center of the substrate carriers 135. As discussed, above, the outer track section 205 can be sized appropriately depending upon the number of rotary arms employed and the size of the substrates handled.
  • the substrate lifters 207 may be of any general type commonly known and used in, for example, the semiconductor industry. As shown, two instantiations of the substrate lifters 207 are spaced approximately 180° apart from one another. In other embodiments (not shown), there may a higher number of substrate lifters 207 used.
  • one or both of the substrate lifters 207 may be rotated 180° to correct for the 180° rotation of a substrate through the clock-arm substrate carrier mechanism 200. The rotation occurs would thus occur between when moving a substrate between the clock arm carrier and the linear carrier as discussed, below.
  • the 180° rotation occurs on the moving of a substrate from the clock carrier into the linear carrier and on the moving a substrate from the linear carrier to the clock carrier.
  • an external robot may place a wafer to or from a substrate carrier (e.g., a wafer boat or the FOUP 113) onto one of the substrate lifters 207.
  • the selected one of the substrate lifters 207 then lowers the substrate onto to the particular one of the substrate carriers 135 and the lifter continues to lower itself far enough to avoid any collisions with any of the rotary arms 201 or any other moving mechanisms contained within the clock-arm substrate carrier mechanism 200.
  • the clock-arm substrate carrier mechanism 200 further includes an upper chemical-release head 211 and a lower chemical-release head 213 situated so as to spray or otherwise apply chemicals (e.g., such as various combinations of the cleaning or etching chemicals) as a substrate passes in proximity to the upper chemical-release head 211 and a lower chemical-release head 213.
  • an upper chemical-release head 211 and a lower chemical-release head 213 may be arranged to apply chemicals to both sides of a substrate simultaneously.
  • any number of chemical-release heads may be utilized.
  • 211 and a lower chemical-release head 213 are each designed in a "pie-section" shape, having a wider cross-sectional width at an outer periphery of the clock- arm substrate carrier mechanism 200 than at an inner periphery.
  • the pie-section shape accommodates a higher angular velocity on the outermost portion of the substrate as compared with the inner portion.
  • more chemicals may be delivered to an outer portion of the substrate through, for example, an increased number of spray nozzles directed at the substrate, thus insuring uniform chemical coverage over each face of the substrate.
  • the clock-arm substrate carrier mechanism 200 can provide for continuous flow manufacturing and lends itself to processing without significant temporal gaps between successive substrates.
  • wet chemical cleaning or etching can involve a number of various steps. Starting and stopping wet chemistry is hard to control, wasteful, and inefficient.
  • the clock-arm substrate carrier mechanism 200 processes substrates in a continuous mode by having each of the substrate carriers 135 travel in a full 360° arc. Unlike various prior art systems that provide only linear systems requiring a 180° return in which no wafer cleaning or processing occurs, the clock-arm substrate carrier mechanism 200 may run parallel cleaning processes on opposing sides simultaneously. Consequently, chemical control can be shared, thereby reducing control system overhead and redundant circuitry. As such, chemical savings can be as much as 300% (i.e., a four-time reduction in chemical usage) from contemporary linear systems.
  • the independent control of the substrate carriers 135 further allows a carrier to accelerate to catch up in a process flow once a carrier has been loaded or unloaded, also described in more detail, below.
  • an exemplary embodiment of a substrate traverser mechanism 300 is shown to include a pair of upper tracks 301, a pair of lower tracks 303, a pair of right-mounted substrate carriers 305, and a pair of left-mounted substrate carriers 307.
  • the substrate carriers are movable in different planes that are parallel to one another as well as being in planes parallel to the rotary arms 201 of the clock-arm substrate carrier mechanism 200.
  • Each of the carriers is also shown as holding a semiconductor substrate 311 merely to assist in describing the overall movement and transport of substrates, below.
  • An indication of where the substrate traverser mechanism 300 is located in reference to the substrate transport slot 133 is also shown in Fig. 3.
  • Each of the pair of right-mounted substrate carriers 305 and the pair of left-mounted substrate carriers 307 is driven in a linear manner independently by a motor 309.
  • the motor can be selected from a number of motor types.
  • each of the motors 309 may be a standard NEMA 23 frame dimensions such as an SM2315D servo motor with an integral encoder (available from Animatics Corporation, 3200 Patrick Henry Drive, Santa Clara, California, USA).
  • the carriers are driven by the motor 309 associated with a given carrier by a linear actuator (e.g., a linear belt drive system).
  • a linear actuator e.g., a linear belt drive system
  • Such linear actuator systems are known independently in the art.
  • a Festo ® EGC-50 belt driven linear actuator manufactured by FESTO KG, Ruiter Strasse 82, Esslingen, Federal Republic of Germany
  • a Festo ® EGC-50 belt driven linear actuator manufactured by FESTO KG, Ruiter Strasse 82, Esslingen, Federal Republic of Germany
  • the substrate traverser mechanism 300 is shown to have only a particular number of tracks, substrate carriers, motors, and associated drive mechanisms. However, a skilled artisan will recognize that the concepts described herein may readily be extrapolated to any number or tracks and substrate carriers.
  • an exemplary embodiment shows a plan view 400 of the clock-arm substrate carrier mechanism 200 ⁇ see Fig. 2) in conjunction with the substrate traverser mechanism 300 ⁇ see Fig. 3).
  • the substrate traverser mechanism 300 operates above the clock-arm substrate carrier mechanism 200.
  • one of the rotary arms 201 is temporarily stopped above one of the substrate lifters 207 (e.g., the lifter located opposite the substrate transport slot 133).
  • the substrate lifter 207 raises the semiconductor substrate 311 from the substrate carrier 135 located on the rotary arm 201.
  • one of the substrate carriers on the substrate traverser mechanism 300 for example, one of the right- mounted substrate carriers 305, is traversed to a position behind (i.e., at or near an extreme position of the traverser end opposite the substrate transport slot 133) the substrate lifter 207.
  • the substrate lifter 207 then raises the semiconductor substrate 311 high enough to clear an uppermost carrier surface of the right- mounted substrate carrier 305.
  • the carrier then moves laterally to receive (i.e., to center the carrier under the substrate-laden lifter) the semiconductor substrate 311 and the substrate lifter 207 lowers, thus placing the substrate onto the right- mounted substrate carrier 305.
  • the substrate lifter 207 continues to lower below a plane formed by a lowermost portion of the substrate carrier 135.
  • the rotary arm 201 previously stopped, may be moved to another position. Once the semiconductor substrate 311 is mounted onto the right- mounted substrate carrier 305, the substrate may be linearly transported to the substrate transport slot 133 and transferred back into a slot in the FOUP 113 ⁇ see Fig. 1 A) by the robot (not shown).
  • an unprocessed substrate may be removed, by the robot, from the FOUP 113 and placed on, for example, one of the left-mounted substrate carriers 307.
  • the left-mounted substrate carriers 307 may be considered as dirty-substrate carriers and the right-mounted substrate carriers 305 may be considered as clean-substrate carriers).
  • the unprocessed substrate may be placed on a substrate carrier of one of the rotary arms 201 that is now stopped.
  • the unprocessed substrate may be placed on the same substrate carrier 135 from which the processed substrate, described above, was just removed.
  • the unprocessed substrate may be placed on a substrate carrier on the opposite end of the rotary arm 201 from which the processed wafer was removed.
  • the unprocessed substrate may be placed on a substrate carrier on either end of any of the rotary arms 201.
  • additional rotary arms, substrate lifters, and linear substrate carriers may be added further to enhance substrate throughput.
  • the described design of the clock-arm substrate carrier mechanism 200 and the substrate traverser mechanism 300 allows for each hand- off of a substrate to be a single axis movement.
  • a hand-off requires two components, a first mechanism to transfer the substrate and a second mechanism to receive the substrate.
  • one of the two mechanisms is not moving (i.e., it is stationary) thus increasing reliability of substrate transfer operations with substantially reduced
  • the robot always has a relatively fixed location with which to move a substrate.
  • the fixed location is coupled with a generous time interval (due to the rotary arms 201 of the clock- arm substrate carrier mechanism 200 being independent from one another). Consequently, a high throughput of over 500 substrates per hour can readily be achieved.
  • all movements discussed herein are single axis allowing the clock-arm substrate carrier mechanism 200 and the substrate traverser mechanism 300 to be produced relatively inexpensively.
  • the c-shaped structure of the right-mounted substrate carriers 305 and the left-mounted substrate carriers 307 allows either of the substrate lifters 207 to be raised and lowered without interference from the substrate carriers.
  • the substrate lifter 207 is raised vertically, fingers of the substrate lifter 207 traverse slots in the substrate carrier 135.
  • the left-mounted substrate carrier 307 can be moved laterally until it is concentric (i.e., centered with) around the fingers of the substrate lifter 207 and, consequently, the semiconductor substrate 311.
  • the substrate lifter 207 then lowers and the semiconductor substrate 311 is then captured and held by the left-mounted substrate carrier 307.
  • c-shaped structure is not required for aspects of the inventive subject matter described herein to function, a skilled artisan will recognize some operational advantages of the c-shaped carrier. Additionally, the skilled artisan will appreciate that, since all of the rotary arms 201 can be moved independently of one another, when one of the arms stops to be either loaded or unloaded, the other arms may continue to move, thereby greatly increasing efficiency and throughput of the overall system.
  • FIG. 5A a perspective view of a lower chamber portion 500 of the process chamber 131 ⁇ see Fig. IB) shows exemplary process chamber exhaust and drain locations used with the exemplary clock-arm substrate carrier mechanism and substrate traverser mechanism of Fig. 4.
  • the lower chamber portion 500 is shown to include a pair of openings 501 for the substrate lifters 207 ⁇ see Fig. 2). Since the pair of openings 501 is not part of the exhaust or drain system, they are primarily shown for completeness of Fig. 5 A although an airflow of, for example, about 50 cfm (approximately 1.4 m 3 per minute) may be drawn through each of the pair of openings 501 to further reduce potential contamination on the substrates.
  • the lower chamber portion 500 is also shown to include a number of outer traverser exhaust ports 503 A, 503B, a number of process exhaust ports
  • the various exhaust ports and drain ports are arranged to allow airflow from the FFU 137 ⁇ see Fig. IB) to reduce particulate counts within the process chamber 131 as well as provide chemical containment.
  • Fig. 5B shows a perspective view of an exemplary process chamber exhaust and drain system 550 arranged to mechanically couple below the lower chamber portion 500 of Fig. 5 A.
  • Fig. 5B thus provides a skilled artisan with a better understanding of how various ones of the exhaust ports and drain ports of Fig. 5 A are interconnected in relationship with the process module 130 of Fig. IB.
  • the process chamber exhaust and drain system 550 is also shown to include an inlet process-drain manifold 551, a p-trap 553, and a secondary containment tray 555.
  • the inlet process-drain manifold 551 couples each of the process drain ports together below the cross-tube assembly 145.
  • the p-trap 553 provides a substantially gas-tight seal formed by standing liquid within a lowermost portion of the p-trap 553.
  • the standing liquid prevents any gases from a connection to the fabrication facility from back flowing into the process chamber 131 and thus reduces any chemical or other contamination from a back- flowed gas.
  • the secondary containment tray 555 catches liquids that might otherwise leak or drip from the process module 130.
  • PVDF polyvinylidene difluoride
  • CPVC chlorinated polyvinyl chloride
  • about 120 cfm (approximately 3.4 m 3 per minute) of volumetric airflow is drawn from each of the chemical zones from the process chambers 131 plus an additional 160 cfm (approximately 4.5 m 3 per minute) from non-chemical zones of both of the process chambers 131 (combined) for a total of about 400 cfm (approximately 11 m 3 per minute) from the chambers.
  • the airflow further helps reduce chemical overflow from one chamber to another and also thus reduces the amount of chemical vapor that might otherwise escape into the fabrication facility.
  • the total airflow can at least partially be broken down as follows.
  • Each of the outer traverser exhaust ports 503A draw about 24 cfm (approximately 0.68 m 3 per minute) and the outer traverser exhaust ports 503B each draw a 26 cfm (approximately 0.74 m 3 per minute).
  • Each of the inner traverser exhaust ports 507A draw about 12.5 cfm (approximately 0.35 m 3 per minute) and the inner traverser exhaust ports 507B each draw about 25 cfm (approximately 0.71 m 3 per minute).
  • the process exhaust ports 505A each draw about 48 cfm (approximately 1.4 m 3 per minute) and the process exhaust ports 505B each draw about 52 cfm (approximately 1.5 m 3 per minute).
  • An exhaust pressure entering the cross-tube assembly 145 from the first chamber exhaust pipe 141 is about 0.8 inches H 2 0 (approximately 1.5 torr) with a slightly more negative pressure of about 1.2 inches H 2 0 (approximately 2.2 torr) entering the cross-tube assembly 145 from the second chamber exhaust pipe 143.
  • the process drain ports 509 each draw about 6 cfm (approximately 0.17 m 3 per minute).
  • the negative exhaust pressure at a connection of the chamber exhaust stack 147 to a facility interface is about 1.7 inches H 2 0 (approximately 3.2 torr) at about 400 cfm (approximately 11 m 3 per minute) of volumetric draw.
  • a pressure sensor may be utilized within the chamber exhaust stack 147 to verify chamber airflow.
  • the pressure sensor can be hard- wired to the processing tool 100 at, for example, the first operator control interface 115A ⁇ see Fig. la) to prevent either system start-up or continued operation should the negative exhaust pressure fall below a predefined level.
  • an exemplary air handling schematic shows volumetric air flow rates into and out from the EFEM 110 and the process module 130 of Fig. 1A.
  • volumetric flow rates are approximate only and are given as an aid to understanding an overall design of the system. Other flow rates can be employed.
  • a volumetric flow rate of 910 cfm is generated by one or more fans 601 into a HEPA filter 603 (both of which are within the FFU 137).
  • cfm approximately 590 cfm (approximately 16.7 m 3 per minute) flows directly across the traverser volume 607, 176 cfm (approximately 4.98 m 3 per minute) flows into chamber volumes 609, and 145 cfm (approximately 4.10 m 3 per minute) of clean excess airflow returns to the facility ambient through a perimeter slit 753 ⁇ see Fig. 7B, below) under a hood coupled below the FFU 137.
  • the perimeter slit 753 is about 12 mm in height and formed around the perimeter of the hood. The excess airflow through the perimeter slit 753 has an exit velocity of about 1 m per second and helps assure that sufficient airflow is available at all times to the traverser and chamber volumes should the one or more fans 601 have a reduced volumetric air output.
  • an EFEM port 605 adds another 90 cfm (approximately 2.5 m 3 per minute) into the traverser volume 607, thus maintaining a pressure on any semiconductor substrates 311 ⁇ see Fig. 3) located on the substrate load stations ⁇ see Fig. 1 A) to be at a higher pressure than the traverser volume 607 and reducing or preventing any chemical or particulate contamination from back-flowing from the process chambers 131 into the EFEM 110 and, consequently, into the fabrication facility.
  • the traverser volume 607 is maintained at a positive pressure with reference to the chamber volumes 609.
  • the pressure is monitored by a traverser pressure gauge 619A.
  • the traverser pressure gauge 619A may be electronically coupled to one of the operator control interfaces (e.g., the first operator control interface 115A of Fig. 1A).
  • the 64 cfm volumetric airflow is generally in the same plane as the carriers and is kept at a velocity that prevents much turbulence in the chamber, but is at a greater velocity than the carrier velocity to prevent chemical vapor from being dragged out, between about 3 feet per second and 10 feet per second (approximately 1 m per second and 3 m per second).
  • the 60 cfm of airflow provides airflow to scrub particles from the rail slot volume 611 into a scrubbed exhaust 617.
  • the scrubbed exhaust 617 is maintained at a negative pressure with reference to the remainder of the system at about 1 to 2 inches of H 2 0 (approximately 1.9 to 3.7 torr).
  • the traverser volume 607 generates another 120 cfm from the pair of traverser exhaust fans 411 ⁇ see Fig. 4B).
  • Fig. 6 indicates the 120 cfm (approximately 3.4 m 3 per minute) is dissipated to ambient.
  • the exhaust from the pair of traverser exhaust fans 411 may optionally be directed to a floor-drain system volume 613.
  • the chamber volumes 609 are also monitored by a chamber pressure gauge 619B.
  • the traverser pressure gauge 619A and the chamber pressure gauge 619B assures that particulate contamination and chemical contamination are properly swept from the traverser volume 607 and chamber volumes 609, respectively as indicated, above.
  • an adjustable portion of the airflow is directed to the chemical head volumes 615 (relating to the chemical release heads of Fig. 2) and the rail slot volume 611 with an excess flow being directed to the scrubbed exhaust 617.
  • the chemical head volumes 615 relating to the chemical release heads of Fig. 2
  • the rail slot volume 611 with an excess flow being directed to the scrubbed exhaust 617.
  • substantially all other airflow returns through a floor drain system (FDS) 613 within the facility or the scrubbed exhaust 617.
  • FDS floor drain system
  • FIG. 7A an exemplary perspective view 700 (partially cut away) and an exemplary plan view 730 of solid components of the process chamber 131 of Fig. IB is shown.
  • the solid components are used in computational fluid dynamic (CFD) modeling of various airflows and pressure gradient determinations as described above with reference to Fig. 5B and Fig. 6.
  • the exemplary perspective view 700 is shown to include a housing area 703 for the FFU 137 ⁇ see Fig. IB) including the one or more fans 601 and the HEPA filter 603.
  • a hood 701 couples airflow from the housing area 703 to the substrate traverser mechanism (not shown explicitly so as to preserve clarity) and into the process chamber 131.
  • a carrier-arm passage slot 705 helps preserve a higher pressure in the traverser volume 607 relative to the chamber volumes 609 thus aiding in chemical containment of outer portions of the process chamber 131.
  • the rotary arms 201 of Fig. 2 move through the carrier-arm passage slot 705 during operation.
  • the exemplary plan view 730 is shown to include chemical areas 731 in which the chemical heads of Fig. 2 may be located.
  • a perspective view 750 and a side elevational view 770 show a fluid domain of the process chamber of Fig. IB used in the computational fluid dynamic modeling utilizing the solid components of Fig. 7A.
  • Fig. 7B provides an overall understanding of the various CFD model flow diagrams and pressure diagrams that follow.
  • a combination of a primary air inlet 751 from the FFU 137 and a secondary air inlet 757 from the EFEM 110 delivers airflow into the process chamber 131 of Fig. IB.
  • the perimeter slit 753, described above with reference to Fig. 6, provides a clean excess airflow 755 back into the fabrication facility.
  • the upper chemical-release head 211 and process exhaust ports 505 A, 505B are shown to assist a skilled artisan in understanding relationships between components of the various figures described herein (e.g., Figs. 1B-5B). As discussed with reference to Fig. 6, each of the various exhaust airflows described above are eventually substantially routed to an FDS outlet, thus providing for an exhaust airflow outlet 759.
  • Fig. 7C through Fig. 7H are included as an aid to assist a artisan skilled in CFD modeling techniques to more fully understand and appreciate various aspects of the inventive subject matter described with reference to Fig. 5B and Fig. 6, above.
  • Fig. 7C shows a computed particle trace for a perspective view 750, a plan view 790, and a side elevational view 770 (all three views on one side of a line of symmetry) within the fluid domain of general airflow motion within the process chamber of Fig. IB.
  • the computed particle trace confirms the generalized descriptions given above with regard to the air handling schematic of Fig. 6.
  • Fig. 7D shows a computed pressure field for a perspective view 750 and a plan view 790 (both views on one side of a line of symmetry) to verify locations of relative pressure differences within the process chamber 131.
  • the computed pressure field verifies, for example, both particulate and chemical confinement regions. Both chemical vapors and smaller particulates (e.g., less than 50 ⁇ ) are substantially contained in areas having reduced pressure (i.e., a more negative pressure relative to other surrounding volumes).
  • Fig. 7E shows a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from the HEPA inlet within the process chamber while Fig. 7F similarly shows general air flow motion from a hood slit in the chamber inlet to the various outlets.
  • Fig. 7G shows a computed velocity flow field for a side elevational view (on one side of a line of symmetry) of general air flow motion from the HEPA inlet and the perimeter slit 753 ⁇ see Fig. 7B) around one of the ionizer bars 139 within a portion of the process chamber.
  • Fig. 7H shows a computed pressure field for a side elevational view (on one side of a line of symmetry and without the ionizer bars 139) of pressure gradients from the HEPA inlet and the perimeter slit 753 within the process chamber.
  • FIG. 7G shows a computed velocity flow field for a side elevational view (on one side of a line of symmetry) of general air flow motion from the HEPA inlet and the perimeter slit 753 ⁇ see Fig. 7B) around one of the ionizer bars 139 within a portion of the process chamber.
  • Fig. 7H shows a computed pressure field for a side elevational view (on one side of
  • the exemplary system for airflow management in a process tool can be implemented on various tools and at multiple points in a process line.
  • the system can readily be incorporated into a plurality of both process and metrology tools in various portions of a typical fabrication facility (e.g., in front- end-of-line, back-end-of-line, and test operations).
  • structures and functionality presented as separate resources in the exemplary configurations may be implemented as a combined structure or resource.
  • structures and functionality presented as a single resource may be implemented as separate resources.

Abstract

In various exemplary embodiments described herein, a system and related method to provide airflow management system in a substrate production tool includes a housing to couple the substrate production tool to a fan filter unit to provide filtered air to the housing, a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism, a substrate transfer section coupled below the housing and in airflow communication with the facility connection, and a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots. A chamber substantially containing the substrate transfer section and the substrate process area is coupled to the housing to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The chamber maintains a low pressure in the substrate process area relative to the substrate transfer section.

Description

AIRFLOW MANAGEMENT FOR LOW
PARTICULATE COUNT IN A PROCESS TOOL
TECHNICAL FIELD
The present application relates generally to the field of
semiconductor processing and, in a specific exemplary embodiment, to a system and method of controlling particulate count within a processing chamber.
BACKGROUND
In the manufacture of semiconductor devices, process chambers are frequently interfaced to permit transfer of wafers or substrates, for example, between the interfaced chambers. The transfer is typically performed via transfer modules that move the wafers, for example, through slots or ports that are provided in adjacent walls of the interfaced chambers. Transfer modules are generally used in conjunction with a variety of wafer processing modules (PMs), which may include semiconductor etching systems, material deposition systems, and flat panel display etching systems.
Semiconductor device geometries (i.e., integrated circuit design rules) have decreased dramatically in size since such devices were first introduced several decades ago. Integrated circuits (ICs) formed in the process chambers have generally followed "Moore's Law," meaning that the number of devices that fit onto a single integrated circuit chip doubles every two years. Contemporary IC fabrication facilities ("fabs") routinely produce 65 nm (0.065 μιη) feature size devices and smaller. Future fabs will soon be producing devices having even smaller feature sizes. Commensurate with the reduced feature sizes are reduced contamination and particle budgets as even a single 30 nm particle can be a killer defect for a given IC.
Perhaps more importantly, from a yield and cost basis standpoint, the types of equipment (e.g., process tools) used in the fabrication process is becoming a primary technology driver. The fabrication process must be effective, but it must also be fast and not add to the total particle or
contamination budget. Contemporary throughput demands for current generations of 300 mm wafers in many applications are 360 wafers per hour or higher. Currently, systems use only a single carrier linear wafer motion requiring a non-productive time period while the wafer carrier is returned to a starting point in a process tool. Thus, wafer handling is slow. Proposed solutions to increase throughput have focused on joining a plurality of process tools in parallel. While such solutions may increase wafer throughput, they do so at the expense of tool footprint, increased equipment costs, reduced reliability, and, in many cases, increased particle generation from wafer transport mechanisms within the tools. Accordingly, improvements are needed in the field of semiconductor processing with a special emphasis on equipment reliability, throughput, and efficiency.
BRIEF DESCRIPTION OF DRAWINGS
Various ones of the appended drawings merely illustrate exemplary embodiments of the present invention and cannot be considered as limiting its scope.
Fig. 1 A is an exploded perspective view of an exemplary substrate processing tool, including an equipment front-end module (EFEM), a process module, and an electronics enclosure, incorporating at least aspects of the inventive subject matter described herein;
Fig. IB is a perspective view of the process module of Fig. 1A including a process chamber;
Fig. 2 is a perspective view of an exemplary clock-arm substrate carrier mechanism used within the process chamber of Fig. IB;
Fig. 3 is a perspective view of an exemplary substrate traverser mechanism to be used in conjunction with the clock-arm substrate carrier mechanism of Fig. 2 and within the process chamber of Fig. IB;
Fig. 4 is a plan view of the clock-arm substrate carrier mechanism of Fig. 2 used in conjunction with the substrate traverser mechanism of Fig. 3 in an exemplary embodiment;
Fig. 5A is a perspective view of a lower portion of the process chamber showing exemplary process chamber exhaust and drain locations used with the exemplary clock-arm substrate carrier mechanism and the substrate traverser mechanism of Fig. 4 and located within the process module of Fig. IB; Fig. 5B is a perspective view showing an exemplary process chamber exhaust and drain system used within the process module of Fig. IB;
Fig. 6 is an exemplary air handling schematic showing volumetric flow rates into and out from the EFEM and the process module of Fig. 1A;
Fig. 7A is an exemplary perspective view and an exemplary plan view of solid components of the process chamber of Fig. IB used in
computational fluid dynamic (CFD) modeling;
Fig. 7B is a perspective view and a side elevational view (both views on one side of a line of symmetry) of a fluid domain of the process chamber of Fig. IB used in the computational fluid dynamic modeling utilizing the solid components of Fig. 7A;
Fig. 7C is a computed particle trace for a perspective view, a plan view, and a side elevational view (all three views on one side of a line of symmetry) of general air flow motion within the process chamber of Fig. IB;
Fig. 7D is a computed pressure field for a perspective view and a plan view (both views on one side of a line of symmetry) to verify locations of negative pressure within the process chamber of Fig. IB;
Fig. 7E is a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from a high-efficiency particulate air filter (HEP A) inlet within the process chamber of Fig. IB;
Fig. 7F is a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from a hood slit in the chamber inlet within the process chamber of Fig. IB to outlet locations as indicated by Figs. 5A and 5B;
Fig. 7G is a computed velocity flow field for a side elevational view
(on one side of a line of symmetry) of general air flow motion from the HEPA inlet and the hood slit around a chamber ionizer bar within the process chamber of Fig. IB; and
Fig. 7H is a computed pressure field for a side elevational view (on one side of a line of symmetry) of pressure gradients from the HEPA inlet and the hood slit within the process chamber of Fig. IB.
DETAILED DESCRIPTION The description that follows includes illustrative systems, methods, and techniques that embody various aspects of the inventive subject matter discussed herein. In the following description, for purposes of explanation, numerous specific details are set forth to provide an understanding of various embodiments of the inventive subject matter. It will be evident, however, to those skilled in the art that embodiments of the inventive subject matter may be practiced without these specific details. Further, well-known operations, structures, and techniques have not been shown in detail.
As used herein, the term "or" may be construed in either an inclusive or an exclusive sense. Similarly, the term "exemplary" is construed merely to mean an example of something or an exemplar and not necessarily a preferred or ideal means of accomplishing a goal. Additionally, although various exemplary embodiments discussed below focus on substrate transport mechanisms and related contamination-related reduction techniques, the embodiments are given merely for clarity in disclosure. Thus, any type of substrate transport mechanism can employ various embodiments of the system described herein and is considered as being within a scope of the present inventive subject matter.
Moreover, as used herein, the term "substrate" is simply chosen as a convenient term referring to any of various substrate types used in the semiconductor and allied industries. Substrate types may therefore include silicon wafers, compound wafers, thin film head assemblies, polyethylene- terephthalate (PET) films, photomask blanks and reticles, or numerous other types of substrates known in the art.
In various exemplary embodiments described in detail herein, a method and system to provide filtered air is disclosed that reduces particulate contamination from contact with substrates being transported or processed in a process tool such as, for example, a wafer-cleaning tool used in the
semiconductor industry. The method and system further maintain chemical and vapor containment in a substrate pass-through design while allowing for demand variations of the chemical area of a process chamber within the tool. A filtering unit provides air from above the substrates. The filtering unit can be moved for maintenance and has a gap above the substrate transport and processing area making the unit easy to move while reducing vibration transfer. In an exemplary embodiment, air enters the chemical section of the process chamber through a slot designed to provide a pressure difference between the chemical section and a substrate transfer section. Substrates exit the chemical zone through slots that minimize airflow from a lower region of the chamber. The dominant airflow into the chemical region is through an upper slot that reduces particles from being swept up from lower surfaces of the chamber. Where the substrate transport mechanism passes through to the chemical area of the chamber, the substrates pass through two slits in which a pressure differential is maintained thus pulling particles away from the chemical area while keeping chemical vapors from the non-chemical area. Various ones of the exemplary
embodiments described herein thus allow for air velocities on surfaces of the substrates to be low while the substrates go through the slits separating the various regions within the chamber. Various ones of the exemplary
embodiments further reduce or prevent chemical vapors from leaving chemical regions, and provide for sweeping of air from high particle regions reaching the substrates.
In another exemplary embodiment, airflow created by a designed pressure differential reduces or prevents particles from migrating from multiple linear substrate transporters having exposed linear belts. As described herein, multiple substrate carriers driven by linear belt drive slides tend to be particle generators due to friction and moving mechanical parts. Particles generated from the slides or belts are ideally prevented from getting on the substrates. Using governing equations for particle terminal velocities, airflow requirements were determined across a horizontal slot that substrates traverse to connect them to the linear slides. A required airflow velocity was determined for particles sizes less than about 50 μιη to flow faster than a terminal velocity of the particle size ranges of interest. Particles larger than 50 μιη would fall at high enough rates that they could not traverse the horizontal slot. Baffling was designed to make the slot flow uniform even with the draw for the slit being at one end.
Thus, in an exemplary embodiment, a system to provide airflow management in a substrate production tool is disclosed. The system includes a housing to couple the substrate production tool to a fan filter unit to provide filtered air to the housing, a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism, a substrate transfer section coupled below the housing and in airflow communication with the facility connection, and a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots. A chamber substantially containing the substrate transfer section and the substrate process area is coupled to the housing to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The chamber maintains a low pressure in the substrate process area relative to the substrate transfer section.
In another exemplary embodiment, a system to provide airflow management in a wafer process tool is disclosed. The system includes a fan filter unit to provide filtered air to the wafer process tool, a facility connection to couple the wafer process tool to a reduced pressure exhaust mechanism of a wafer fabrication facility, a wafer transfer section coupled below the fan filter unit and in airflow communication with the facility connection, and a wafer process area having a chemical process section. The wafer process area is coupled to the wafer transfer section by one or more wafer transport slots. A process chamber substantially containing the wafer transfer section and the wafer process area is coupled to the fan filter unit to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The process chamber maintains a low pressure in the wafer process area relative to the wafer transfer section.
In another exemplary embodiment, a method of providing airflow management system in a substrate production tool is disclosed. The method includes providing a first mechanism to couple the substrate production tool to a fan filter unit to provide filtered air to the substrate production tool and providing a second mechanism to couple the substrate production tool to a reduced pressure exhaust mechanism to provide an exhaust for excess gas flow within the substrate production tool. A substrate process area of the substrate production tool is maintained at a lower pressure than a pressure of the substrate transfer section of the substrate production tool while the substrate process area is maintained at a higher pressure than a pressure of the reduced pressure exhaust mechanism. The substrate transfer section is maintained at a higher pressure than the pressure of the reduced pressure exhaust mechanism.
With reference to Fig. 1 A, an exploded perspective view of pieces of equipment used to process substrates, such as semiconductor wafers, is shown. A processing tool 100 (commonly referred to as a process tool or other substrate production tool) is shown to include an equipment front-end module (EFEM) 110, a process module 130, and an electronics enclosure 150.
In operation, the EFEM 110, the process module 130, and the electronics enclosure 150 are unified as a single unit. The process module 130 includes a process chamber 13 l(or other chamber types in which substrates are located, such as, for example, an in-situ metrology chamber). The process chamber may include a substrate transfer section and a substrate process area, both defined in detail, below, in which various processes are performed on a batch of substrates. The processes may include various types of, for example, substrate cleaning and wet-etch (e.g., chemical etch) steps known independently in the semiconductor and related art fields. Additionally, the process module 130 is generally enclosed to reduce any particulate, organic, or other
contamination of substrates within the process module 130 and the process chamber 131. Further, the enclosure (not shown) minimizes a risk of hazardous interactions between an equipment operator and moving mechanisms within the process module 130, thereby increasing safety of the operator. Operating power is supplied to the EFEM 110 and the process module 130 by the electronics enclosure 150.
The EFEM 110 is shown to include a number of substrate load stations 11 1, a first operator control interface 115 A, and a second operator control interface 115B. From one of these control interfaces, an operator may input and run, for example, process recipes for a particular batch of substrates. The EFEM 110 is also shown to include a front opening unified pod (FOUP) 113 placed on one of the substrate load stations 111. The FOUP 113 is a particular type of plastic enclosure designed to hold semiconductor wafers (e.g., generally silicon wafers (Si) but may also include various other wafer types formed from elemental semiconductor materials such as germanium (Ge), or compound semiconductor materials such as gallium-arsenide (GaAs) or indium arsenide (InAs)). The FOUP 113 holds the wafers (not shown) securely and safely in a controlled environment. Although not shown explicitly in Fig. 1 A, a skilled artisan will recognize readily that a FOUP may simultaneously be present on each of the substrate load stations 111. One or more robots (not shown) may be associated with each FOUP. Once the FOUP 113 is placed on one of the substrate load stations 111, the robot (not shown) within the EFEM 110 may directly access the wafers contained within the FOUP 113. The EFEM 110 thus allows an operator to load and unload substrates from the FOUP 113 into the process chamber 131 via, for example, a two-bladed or four-blade robot (not shown but known independently in the art). Although not limited to a particular robot type, one robot that can be employed is, for example, a model FC06N, manufactured by Kawasaki (USA), Inc. of Wixom, Michigan, USA. In a specific exemplary embodiment, the robot may incorporate a collapsive end-effector having four 3.8 mm blades with an approximate 10 mm spacing between adjacent blades. The 10 mm spacing is matched to the wafer-to-wafer spacing in a typical FOUP. Details of various transport processes occurring within the process chamber 131 are described in more detail with reference to Figs. 4A, 4B, and 5, below.
Referring now to Fig. IB and with concurrent reference to Fig. 1 A, substrates (not shown) are transported by the robots from the FOUP 113 to one of a number of substrate carriers 135 (i.e., rotary-mounted substrate carriers) located on a clock-arm substrate carrier mechanism (not shown explicitly in either Fig. 1A or Fig. IB but described in detail with reference to Fig. 2, below). The substrate is loaded or unloaded into or out of the process chamber 131 through a substrate transport slot 133.
A high-efficiency particulate air (HEP A) filter placed within a fan filter unit (FFU) 137 provides substantially clean air within the process chamber 131. The FFU 137 is located above the process chamber 131 to provide airflow and particular pressure gradients within the chamber to reduce particulate contamination on and around substrates. The airflow partially reduces particulate contamination by a producing a boundary layer above the substrate through which small particles are unable to pass. Both large and small particles are then swept out of the process chamber 131 and into an exhaust system, described below. Improved chemical containment is also achieved through use of the produced pressure gradients (described in more detail, below).
Although the FFU 137 is described as employing a HEP A filter herein, a skilled artisan will recognize that other filter types (e.g., an ultra-low particulate air (ULPA) filter) could readily be substituted for the HEPA filter with added fan capacity as needed to account for a higher pressure-drop across, for example, the ULPA filter. Calculation of the airflow and pressure gradients produced by the FFU 137 is discussed with reference to Figs. 6 - 7H, below. The process chamber 131 is also shown to include ionizer bars 139 that run parallel to a long axis of the FFU 137. The ionizer bars 139 reduce electrostatic charges that would otherwise accumulate on substrates within the process chamber 131 caused by friction generated by the airflow across the substrates from the FFU 137. A substrate with a charge more readily attracts oppositely charged particles. As is known to a skilled artisan, the ability of an ionizer to reduce charge on any surface (e.g., the substrate) is time dependent. Therefore, the ionizer bars 139 can be located relative to the substrates (located beneath the ionizer bars) where a relatively long residence time (e.g., 1 second to 5 seconds but at least partially dependent on localized airflow) assists in producing an intended reduction in electrostatic charge on the substrates. The ionizer bars 139 can be located in other positions within the process chamber 131, may be unipolar (i.e., producing anions or cations) or bipolar (i.e., a balanced ion generator), may be of other sizes and shapes, and may be instantiated in numerous positions within the process chamber 131 above the substrates. In a specific exemplary embodiment, the ionizer bars 139 are approximately 64 inches (about 1.63 m) in length.
In a specific exemplary embodiment, the FFU 137 has a volumetric flow rate of approximately 910 cubic feet per minute (cfm, or about 25.8 m3 per minute) with a face velocity from an outlet side of the HEPA filter being approximately 90 feet per minute (fpm, or about 27.4 m per minute). In other embodiments, the FFU 137 has a volumetric flow rate of approximately 1300 cubic feet per minute (about 36.8 m3 per minute). The HEPA filter can be formed from a tetrafluoroethylene (TFE) material with a 99.99995% filter efficiency at 0.3 μιη. A skilled artisan will recognize that the ULPA filter, described above, can have an even higher efficiency (as measured at 0.12 μιη). The FFU 137 and the process chamber 131 are designed so that less than five particles at a size of 55 nm and lower are added due to substrate transport considerations.
With continued reference to Fig. IB, a first chamber exhaust pipe 141 and a second chamber exhaust pipe 143 draw particulates and fluids (e.g., excess process gases, such as air produced by the FFU 137, and excess process liquids) from opposite sides of the process chamber 131 into a cross-tube assembly 145. In an exemplary embodiment, a chamber exhaust stack 147 provides makeup airflow allowing particulates and fluids entering the cross-tube assembly 145 to be readily drawn into an exhaust/drain system (not shown) within the fabrication facility. In other exemplary embodiments, the chamber exhaust stack 147 may optionally be coupled to an exhaust connection within the fabrication facility to provide an air draw. More detail on the exhaust system is given, below, with reference to Figs. 5A and 5B.
Fig. 2 shows an exemplary embodiment of a clock-arm substrate carrier mechanism 200. The clock-arm substrate carrier mechanism 200 is shown to include a number of rotary arms 201, with each end of the rotary arms 201 having an associated one of the substrate carriers 135, an inner track section 203, an outer track section 205, and substrate lifters 207. Each of the rotary arms 201 may be driven independently and, thus, may be started, stopped, and accelerated independently of the remaining ones of the rotary arms 201.
Additionally, although only four of the rotary arms 201 are shown, the clock-arm substrate carrier mechanism 200 can be adapted to handle any number of arms. The number of arms will be at least partially dependent upon a physical size of, for example, a diameter of the outer track section 205 and a physical size of each the substrate carriers 135. The rotary arms 201 and the substrate carriers 135 may be scaled as necessary to adapt to a given substrate size. For example, the substrate carriers 135 may be designed to accommodate 300 mm silicon wafers, 100 mm gallium arsenide (GaAs) wafers, or a next generation of 450 mm wafers.
In a specific exemplary embodiment, the outer track section 205 is physically arranged to accommodate a 30 inch (approximately 760 mm) radius from midpoints of the rotary arms 201 to a center of the substrate carriers 135. As discussed, above, the outer track section 205 can be sized appropriately depending upon the number of rotary arms employed and the size of the substrates handled.
The substrate lifters 207 may be of any general type commonly known and used in, for example, the semiconductor industry. As shown, two instantiations of the substrate lifters 207 are spaced approximately 180° apart from one another. In other embodiments (not shown), there may a higher number of substrate lifters 207 used.
Additionally, one or both of the substrate lifters 207 may be rotated 180° to correct for the 180° rotation of a substrate through the clock-arm substrate carrier mechanism 200. The rotation occurs would thus occur between when moving a substrate between the clock arm carrier and the linear carrier as discussed, below. When only one of the substrate lifters 207 is rotating 180°, the 180° rotation occurs on the moving of a substrate from the clock carrier into the linear carrier and on the moving a substrate from the linear carrier to the clock carrier.
In general operation, once a particular one of the substrate carriers 135 is positioned over one of the substrate lifters 207, an external robot (not shown) may place a wafer to or from a substrate carrier (e.g., a wafer boat or the FOUP 113) onto one of the substrate lifters 207. The selected one of the substrate lifters 207 then lowers the substrate onto to the particular one of the substrate carriers 135 and the lifter continues to lower itself far enough to avoid any collisions with any of the rotary arms 201 or any other moving mechanisms contained within the clock-arm substrate carrier mechanism 200.
With continued reference to Fig. 2, the clock-arm substrate carrier mechanism 200 further includes an upper chemical-release head 211 and a lower chemical-release head 213 situated so as to spray or otherwise apply chemicals (e.g., such as various combinations of the cleaning or etching chemicals) as a substrate passes in proximity to the upper chemical-release head 211 and a lower chemical-release head 213. Utilizing at least two heads allows chemicals to be applied to both sides of a wafer in a single pass without a need to invert the substrate. Alternatively, the upper chemical-release head 211 and a lower chemical-release head 213 may be arranged to apply chemicals to both sides of a substrate simultaneously. As will be recognizable to a skilled artisan, any number of chemical-release heads may be utilized.
In a specific exemplary embodiment, the upper chemical -release head
211 and a lower chemical-release head 213 are each designed in a "pie-section" shape, having a wider cross-sectional width at an outer periphery of the clock- arm substrate carrier mechanism 200 than at an inner periphery. The pie-section shape accommodates a higher angular velocity on the outermost portion of the substrate as compared with the inner portion. Thus, more chemicals may be delivered to an outer portion of the substrate through, for example, an increased number of spray nozzles directed at the substrate, thus insuring uniform chemical coverage over each face of the substrate.
As a result of various features described herein, the clock-arm substrate carrier mechanism 200 can provide for continuous flow manufacturing and lends itself to processing without significant temporal gaps between successive substrates. As noted above, wet chemical cleaning or etching can involve a number of various steps. Starting and stopping wet chemistry is hard to control, wasteful, and inefficient. The clock-arm substrate carrier mechanism 200 processes substrates in a continuous mode by having each of the substrate carriers 135 travel in a full 360° arc. Unlike various prior art systems that provide only linear systems requiring a 180° return in which no wafer cleaning or processing occurs, the clock-arm substrate carrier mechanism 200 may run parallel cleaning processes on opposing sides simultaneously. Consequently, chemical control can be shared, thereby reducing control system overhead and redundant circuitry. As such, chemical savings can be as much as 300% (i.e., a four-time reduction in chemical usage) from contemporary linear systems.
Within the process chamber 131 {see Fig. IB), at least two parallel processes occur simultaneously: chemical control and substrate motion. As described in more detail with reference to Fig. 3, below, independent control of velocities and accelerations of the substrate carriers 135 allows for an exit step and for loading and unloading one or more substrates substantially
simultaneously. The independent control of the substrate carriers 135 further allows a carrier to accelerate to catch up in a process flow once a carrier has been loaded or unloaded, also described in more detail, below.
With reference now to Fig. 3, an exemplary embodiment of a substrate traverser mechanism 300 is shown to include a pair of upper tracks 301, a pair of lower tracks 303, a pair of right-mounted substrate carriers 305, and a pair of left-mounted substrate carriers 307. The substrate carriers, as shown, are movable in different planes that are parallel to one another as well as being in planes parallel to the rotary arms 201 of the clock-arm substrate carrier mechanism 200. Each of the carriers is also shown as holding a semiconductor substrate 311 merely to assist in describing the overall movement and transport of substrates, below. An indication of where the substrate traverser mechanism 300 is located in reference to the substrate transport slot 133 is also shown in Fig. 3.
Each of the pair of right-mounted substrate carriers 305 and the pair of left-mounted substrate carriers 307 is driven in a linear manner independently by a motor 309. The motor can be selected from a number of motor types. For example, in a specific exemplary embodiment, each of the motors 309 may be a standard NEMA 23 frame dimensions such as an SM2315D servo motor with an integral encoder (available from Animatics Corporation, 3200 Patrick Henry Drive, Santa Clara, California, USA). Although not shown explicitly, the carriers are driven by the motor 309 associated with a given carrier by a linear actuator (e.g., a linear belt drive system). Such linear actuator systems are known independently in the art. For example, a Festo® EGC-50 belt driven linear actuator (manufactured by FESTO KG, Ruiter Strasse 82, Esslingen, Federal Republic of Germany) may be employed as a carrier drive mechanism for the substrate traverser mechanism 300.
As described herein in various exemplary embodiments, the substrate traverser mechanism 300 is shown to have only a particular number of tracks, substrate carriers, motors, and associated drive mechanisms. However, a skilled artisan will recognize that the concepts described herein may readily be extrapolated to any number or tracks and substrate carriers.
Referring now to Fig. 4, an exemplary embodiment shows a plan view 400 of the clock-arm substrate carrier mechanism 200 {see Fig. 2) in conjunction with the substrate traverser mechanism 300 {see Fig. 3). In this exemplary embodiment, the substrate traverser mechanism 300 operates above the clock-arm substrate carrier mechanism 200.
An exemplary operation of the combined clock-arm and traverser mechanism is now described with concurrent reference to Figs. 2 and 4. After a substrate has been processed in the process chamber 131 {see Fig. IB), one of the rotary arms 201 is temporarily stopped above one of the substrate lifters 207 (e.g., the lifter located opposite the substrate transport slot 133). The substrate lifter 207 raises the semiconductor substrate 311 from the substrate carrier 135 located on the rotary arm 201. If not already in place, one of the substrate carriers on the substrate traverser mechanism 300, for example, one of the right- mounted substrate carriers 305, is traversed to a position behind (i.e., at or near an extreme position of the traverser end opposite the substrate transport slot 133) the substrate lifter 207. The substrate lifter 207 then raises the semiconductor substrate 311 high enough to clear an uppermost carrier surface of the right- mounted substrate carrier 305. The carrier then moves laterally to receive (i.e., to center the carrier under the substrate-laden lifter) the semiconductor substrate 311 and the substrate lifter 207 lowers, thus placing the substrate onto the right- mounted substrate carrier 305. The substrate lifter 207 continues to lower below a plane formed by a lowermost portion of the substrate carrier 135. At this point in time, the rotary arm 201, previously stopped, may be moved to another position. Once the semiconductor substrate 311 is mounted onto the right- mounted substrate carrier 305, the substrate may be linearly transported to the substrate transport slot 133 and transferred back into a slot in the FOUP 113 {see Fig. 1 A) by the robot (not shown).
Substantially concurrent with the substrate removal process just described, an unprocessed substrate may be removed, by the robot, from the FOUP 113 and placed on, for example, one of the left-mounted substrate carriers 307. (Recall, with reference again to Fig. 3, that the left-mounted substrate carriers 307 may be considered as dirty-substrate carriers and the right-mounted substrate carriers 305 may be considered as clean-substrate carriers). Using one of the substrate lifters 207, the unprocessed substrate may be placed on a substrate carrier of one of the rotary arms 201 that is now stopped. For example, the unprocessed substrate may be placed on the same substrate carrier 135 from which the processed substrate, described above, was just removed. (Recall, with continued reference to Fig. 3, that each of the substrate carriers on the substrate traverser mechanism 300 is moved laterally at a different elevational height than one another thus avoiding interference between the processed substrate being removed from the process chamber 131 and the unprocessed substrate coming into the process chamber 131.) Alternatively, the unprocessed substrate may be placed on a substrate carrier on the opposite end of the rotary arm 201 from which the processed wafer was removed. In yet another alternative, the unprocessed substrate may be placed on a substrate carrier on either end of any of the rotary arms 201. As a skilled artisan will recognize, additional rotary arms, substrate lifters, and linear substrate carriers may be added further to enhance substrate throughput.
Further, the described design of the clock-arm substrate carrier mechanism 200 and the substrate traverser mechanism 300 allows for each hand- off of a substrate to be a single axis movement. For example, a hand-off requires two components, a first mechanism to transfer the substrate and a second mechanism to receive the substrate. However, as described herein, one of the two mechanisms is not moving (i.e., it is stationary) thus increasing reliability of substrate transfer operations with substantially reduced
communications issues between the two mechanisms (e.g., less stringent timing issues since one mechanism is not moving). Thus, the robot always has a relatively fixed location with which to move a substrate. The fixed location is coupled with a generous time interval (due to the rotary arms 201 of the clock- arm substrate carrier mechanism 200 being independent from one another). Consequently, a high throughput of over 500 substrates per hour can readily be achieved. Additionally, except for the robot, all movements discussed herein are single axis allowing the clock-arm substrate carrier mechanism 200 and the substrate traverser mechanism 300 to be produced relatively inexpensively.
Notice that the c-shaped structure of the right-mounted substrate carriers 305 and the left-mounted substrate carriers 307 allows either of the substrate lifters 207 to be raised and lowered without interference from the substrate carriers. As the substrate lifter 207 is raised vertically, fingers of the substrate lifter 207 traverse slots in the substrate carrier 135. As the substrate lifter 207 continues to be raised, the left-mounted substrate carrier 307 can be moved laterally until it is concentric (i.e., centered with) around the fingers of the substrate lifter 207 and, consequently, the semiconductor substrate 311. The substrate lifter 207 then lowers and the semiconductor substrate 311 is then captured and held by the left-mounted substrate carrier 307. Although the c- shaped structure is not required for aspects of the inventive subject matter described herein to function, a skilled artisan will recognize some operational advantages of the c-shaped carrier. Additionally, the skilled artisan will appreciate that, since all of the rotary arms 201 can be moved independently of one another, when one of the arms stops to be either loaded or unloaded, the other arms may continue to move, thereby greatly increasing efficiency and throughput of the overall system.
Referring now to Fig. 5A, a perspective view of a lower chamber portion 500 of the process chamber 131 {see Fig. IB) shows exemplary process chamber exhaust and drain locations used with the exemplary clock-arm substrate carrier mechanism and substrate traverser mechanism of Fig. 4.
Although not actually a part of the exhaust or drain system, the lower chamber portion 500 is shown to include a pair of openings 501 for the substrate lifters 207 {see Fig. 2). Since the pair of openings 501 is not part of the exhaust or drain system, they are primarily shown for completeness of Fig. 5 A although an airflow of, for example, about 50 cfm (approximately 1.4 m3 per minute) may be drawn through each of the pair of openings 501 to further reduce potential contamination on the substrates.
The lower chamber portion 500 is also shown to include a number of outer traverser exhaust ports 503 A, 503B, a number of process exhaust ports
505 A, 505B, a number of inner traverser exhaust ports 507A, 507B and a pair of process drain ports 509. The various exhaust ports and drain ports are arranged to allow airflow from the FFU 137 {see Fig. IB) to reduce particulate counts within the process chamber 131 as well as provide chemical containment.
Additional details are provided with reference to Figs. 7A-7G, below, regarding analysis of the airflow and pressure gradients within the process chamber 131.
Fig. 5B shows a perspective view of an exemplary process chamber exhaust and drain system 550 arranged to mechanically couple below the lower chamber portion 500 of Fig. 5 A. Fig. 5B thus provides a skilled artisan with a better understanding of how various ones of the exhaust ports and drain ports of Fig. 5 A are interconnected in relationship with the process module 130 of Fig. IB. The process chamber exhaust and drain system 550 is also shown to include an inlet process-drain manifold 551, a p-trap 553, and a secondary containment tray 555. The inlet process-drain manifold 551 couples each of the process drain ports together below the cross-tube assembly 145. The p-trap 553 provides a substantially gas-tight seal formed by standing liquid within a lowermost portion of the p-trap 553. The standing liquid prevents any gases from a connection to the fabrication facility from back flowing into the process chamber 131 and thus reduces any chemical or other contamination from a back- flowed gas. The secondary containment tray 555 catches liquids that might otherwise leak or drip from the process module 130. In an exemplary embodiment, polyvinylidene difluoride (PVDF) materials can be utilized for the chemical drain lines while chlorinated polyvinyl chloride (CPVC) materials can be utilized for the various airflow lines. A skilled artisan will recognize that other materials, known independently in the art, may be employed as well.
In a specific exemplary embodiment, about 120 cfm (approximately 3.4 m3 per minute) of volumetric airflow is drawn from each of the chemical zones from the process chambers 131 plus an additional 160 cfm (approximately 4.5 m3 per minute) from non-chemical zones of both of the process chambers 131 (combined) for a total of about 400 cfm (approximately 11 m3 per minute) from the chambers. In addition to reducing particulate contamination on the substrates within the process chambers 131, the airflow further helps reduce chemical overflow from one chamber to another and also thus reduces the amount of chemical vapor that might otherwise escape into the fabrication facility. In this specific exemplary embodiment, the total airflow can at least partially be broken down as follows. Each of the outer traverser exhaust ports 503A draw about 24 cfm (approximately 0.68 m3 per minute) and the outer traverser exhaust ports 503B each draw a 26 cfm (approximately 0.74 m3 per minute). Each of the inner traverser exhaust ports 507A draw about 12.5 cfm (approximately 0.35 m3 per minute) and the inner traverser exhaust ports 507B each draw about 25 cfm (approximately 0.71 m3 per minute). The process exhaust ports 505A each draw about 48 cfm (approximately 1.4 m3 per minute) and the process exhaust ports 505B each draw about 52 cfm (approximately 1.5 m3 per minute).
A negative gauge pressure of about 0.5 inches H20 (approximately 0.9 torr) exists near each of the process exhaust ports 505 A in the first chamber exhaust pipe 141 and the second chamber exhaust pipe 143 with a slightly more negative gauge pressure of about 0.6 inches H20 (approximately 1.1 torr) that exists in exhaust pipes near each of the process exhaust ports 505B. An exhaust pressure entering the cross-tube assembly 145 from the first chamber exhaust pipe 141 is about 0.8 inches H20 (approximately 1.5 torr) with a slightly more negative pressure of about 1.2 inches H20 (approximately 2.2 torr) entering the cross-tube assembly 145 from the second chamber exhaust pipe 143. The process drain ports 509 each draw about 6 cfm (approximately 0.17 m3 per minute). The negative exhaust pressure at a connection of the chamber exhaust stack 147 to a facility interface is about 1.7 inches H20 (approximately 3.2 torr) at about 400 cfm (approximately 11 m3 per minute) of volumetric draw.
Although not shown explicitly, a pressure sensor may be utilized within the chamber exhaust stack 147 to verify chamber airflow. The pressure sensor can be hard- wired to the processing tool 100 at, for example, the first operator control interface 115A {see Fig. la) to prevent either system start-up or continued operation should the negative exhaust pressure fall below a predefined level.
Referring now concurrently to Fig. IB and Fig. 6, an exemplary air handling schematic shows volumetric air flow rates into and out from the EFEM 110 and the process module 130 of Fig. 1A. A skilled artisan will recognize that all volumetric flow rates are approximate only and are given as an aid to understanding an overall design of the system. Other flow rates can be employed. In this exemplary embodiment, a volumetric flow rate of 910 cfm (approximately 25.8 m3 per minute) is generated by one or more fans 601 into a HEPA filter 603 (both of which are within the FFU 137). From the original 910 cfm, roughly 590 cfm (approximately 16.7 m3 per minute) flows directly across the traverser volume 607, 176 cfm (approximately 4.98 m3 per minute) flows into chamber volumes 609, and 145 cfm (approximately 4.10 m3 per minute) of clean excess airflow returns to the facility ambient through a perimeter slit 753 {see Fig. 7B, below) under a hood coupled below the FFU 137. In an exemplary embodiment, the perimeter slit 753 is about 12 mm in height and formed around the perimeter of the hood. The excess airflow through the perimeter slit 753 has an exit velocity of about 1 m per second and helps assure that sufficient airflow is available at all times to the traverser and chamber volumes should the one or more fans 601 have a reduced volumetric air output.
With continued reference to Fig. IB and Fig. 6, an EFEM port 605 adds another 90 cfm (approximately 2.5 m3 per minute) into the traverser volume 607, thus maintaining a pressure on any semiconductor substrates 311 {see Fig. 3) located on the substrate load stations {see Fig. 1 A) to be at a higher pressure than the traverser volume 607 and reducing or preventing any chemical or particulate contamination from back-flowing from the process chambers 131 into the EFEM 110 and, consequently, into the fabrication facility. The traverser volume 607 is maintained at a positive pressure with reference to the chamber volumes 609. The pressure is monitored by a traverser pressure gauge 619A. The traverser pressure gauge 619A may be electronically coupled to one of the operator control interfaces (e.g., the first operator control interface 115A of Fig. 1A).
Due to the positive pressure within the traverser volume 607, 64 cfm (approximately 1.8 m3 per minute) of air flows from the traverser volume 607 into the chamber volumes 609 and 60 cfm (approximately 1.7 m3 per minute) of air flows into the rail slot volume 611 (containing the inner track section 203 and the outer track section 205, see Fig. 2). The 64 cfm volumetric airflow is generally in the same plane as the carriers and is kept at a velocity that prevents much turbulence in the chamber, but is at a greater velocity than the carrier velocity to prevent chemical vapor from being dragged out, between about 3 feet per second and 10 feet per second (approximately 1 m per second and 3 m per second). Since the inner track section 203 and the outer track section 205 mechanically guide the rotary arms 201, some particulate contamination is generated where the respective surfaces of the sliding members meet. Therefore, the 60 cfm of airflow provides airflow to scrub particles from the rail slot volume 611 into a scrubbed exhaust 617. The scrubbed exhaust 617 is maintained at a negative pressure with reference to the remainder of the system at about 1 to 2 inches of H20 (approximately 1.9 to 3.7 torr). The traverser volume 607 generates another 120 cfm from the pair of traverser exhaust fans 411 {see Fig. 4B). Fig. 6 indicates the 120 cfm (approximately 3.4 m3 per minute) is dissipated to ambient. However, a skilled artisan will recognize that the exhaust from the pair of traverser exhaust fans 411 may optionally be directed to a floor-drain system volume 613. The remaining 436 cfm
(approximately 12.3 m3 per minute) generated into the traverser volume 607 is directed into the floor-drain system volume 613.
With reference again to the chamber volumes 609, the chamber volumes 609 are also monitored by a chamber pressure gauge 619B. The traverser pressure gauge 619A and the chamber pressure gauge 619B assures that particulate contamination and chemical contamination are properly swept from the traverser volume 607 and chamber volumes 609, respectively as indicated, above.
Of the 240 cfm (approximately 6.8 m3 per minute) entering the chamber volumes 609, an adjustable portion of the airflow is directed to the chemical head volumes 615 (relating to the chemical release heads of Fig. 2) and the rail slot volume 611 with an excess flow being directed to the scrubbed exhaust 617. Other than the 145 cfm of clean excess airflow returning to the facility ambient through the perimeter slit 753, substantially all other airflow (which is potentially contaminated by chemicals or particulates) returns through a floor drain system (FDS) 613 within the facility or the scrubbed exhaust 617.
Referring now to Fig. 7A and with continuing reference to Fig. 6, an exemplary perspective view 700 (partially cut away) and an exemplary plan view 730 of solid components of the process chamber 131 of Fig. IB is shown. The solid components are used in computational fluid dynamic (CFD) modeling of various airflows and pressure gradient determinations as described above with reference to Fig. 5B and Fig. 6. The exemplary perspective view 700 is shown to include a housing area 703 for the FFU 137 {see Fig. IB) including the one or more fans 601 and the HEPA filter 603. A hood 701 couples airflow from the housing area 703 to the substrate traverser mechanism (not shown explicitly so as to preserve clarity) and into the process chamber 131. A carrier-arm passage slot 705 helps preserve a higher pressure in the traverser volume 607 relative to the chamber volumes 609 thus aiding in chemical containment of outer portions of the process chamber 131. The rotary arms 201 of Fig. 2 move through the carrier-arm passage slot 705 during operation. The exemplary plan view 730 is shown to include chemical areas 731 in which the chemical heads of Fig. 2 may be located.
With reference now to Fig. 7B, a perspective view 750 and a side elevational view 770 (both views on one side of a line of symmetry) show a fluid domain of the process chamber of Fig. IB used in the computational fluid dynamic modeling utilizing the solid components of Fig. 7A. Fig. 7B provides an overall understanding of the various CFD model flow diagrams and pressure diagrams that follow. In general terms, a combination of a primary air inlet 751 from the FFU 137 and a secondary air inlet 757 from the EFEM 110 delivers airflow into the process chamber 131 of Fig. IB. The perimeter slit 753, described above with reference to Fig. 6, provides a clean excess airflow 755 back into the fabrication facility. The upper chemical-release head 211 and process exhaust ports 505 A, 505B are shown to assist a skilled artisan in understanding relationships between components of the various figures described herein (e.g., Figs. 1B-5B). As discussed with reference to Fig. 6, each of the various exhaust airflows described above are eventually substantially routed to an FDS outlet, thus providing for an exhaust airflow outlet 759.
Fig. 7C through Fig. 7H are included as an aid to assist a artisan skilled in CFD modeling techniques to more fully understand and appreciate various aspects of the inventive subject matter described with reference to Fig. 5B and Fig. 6, above. For example, Fig. 7C shows a computed particle trace for a perspective view 750, a plan view 790, and a side elevational view 770 (all three views on one side of a line of symmetry) within the fluid domain of general airflow motion within the process chamber of Fig. IB. A skilled artisan will recognize that the computed particle trace confirms the generalized descriptions given above with regard to the air handling schematic of Fig. 6.
Fig. 7D shows a computed pressure field for a perspective view 750 and a plan view 790 (both views on one side of a line of symmetry) to verify locations of relative pressure differences within the process chamber 131. The computed pressure field verifies, for example, both particulate and chemical confinement regions. Both chemical vapors and smaller particulates (e.g., less than 50 μιη) are substantially contained in areas having reduced pressure (i.e., a more negative pressure relative to other surrounding volumes).
Fig. 7E shows a computed velocity flow field for a perspective view (on one side of a line of symmetry) of general air flow motion from the HEPA inlet within the process chamber while Fig. 7F similarly shows general air flow motion from a hood slit in the chamber inlet to the various outlets.
Fig. 7G shows a computed velocity flow field for a side elevational view (on one side of a line of symmetry) of general air flow motion from the HEPA inlet and the perimeter slit 753 {see Fig. 7B) around one of the ionizer bars 139 within a portion of the process chamber. Similarly, Fig. 7H shows a computed pressure field for a side elevational view (on one side of a line of symmetry and without the ionizer bars 139) of pressure gradients from the HEPA inlet and the perimeter slit 753 within the process chamber. A skilled artisan will appreciate that each of the computed flow and pressure fields shown by the flow and pressure diagrams of Figs. 7B through 7H are to be considered in light of the physical layout of the exemplary process chamber exhaust and drain system of Fig. 5B and the exemplary air handling schematic of Fig. 6 to more fully understand the various exemplary
embodiments described herein. A skilled artisan will further recognize, given the various descriptions provided herein, that the exemplary system for airflow management in a process tool can be implemented on various tools and at multiple points in a process line. The skilled artisan will further recognize that the system can readily be incorporated into a plurality of both process and metrology tools in various portions of a typical fabrication facility (e.g., in front- end-of-line, back-end-of-line, and test operations).
Moreover, although an overview of the inventive subject matter has been described with reference to specific exemplary embodiments, various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of aspects of the inventive subject matter. Such embodiments of the inventive subject matter may be referred to herein, individually or collectively, by the term "invention" merely for convenience and without intending to voluntarily limit the scope of this application to any single invention or inventive concept if more than one is, in fact, disclosed. The embodiments illustrated herein are described in sufficient detail to enable those skilled in the art to practice the teachings disclosed. Other embodiments may be used and derived therefrom, such that structural and logical substitutions and changes may be made without departing from the scope of this disclosure. The Detailed Description, therefore, is not to be taken in a limiting sense, and a scope of various embodiments is defined only by the appended claims, along with the full range of equivalents to which such claims are entitled.
Moreover, plural instances may be provided for structural elements or operations described herein as a single instance. Other allocations of
functionality are envisioned. The other allocations may fall within a scope of various embodiments of the present inventive subject matter. In general, structures and functionality presented as separate resources in the exemplary configurations may be implemented as a combined structure or resource. Similarly, structures and functionality presented as a single resource may be implemented as separate resources.
Additionally, many industries allied with the semiconductor industry could make use of the systems and techniques described herein. For example, a thin- film head (TFH) process in the data storage industry, an active matrix liquid crystal display (AMLCD) in the flat panel display industry, or the micro- electromechanical (MEM) industry could readily make use of the systems and techniques described. The term "semiconductor" should thus be recognized as including the aforementioned and related industries. These and other variations, modifications, additions, and improvements fall within a scope of the present invention as represented by the appended claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims

CLAIMS What is claimed is:
1. An airflow management system of a substrate production tool, the system comprising:
a housing to couple the substrate production tool to a fan filter unit, the fan filter unit to provide filtered air to the housing;
a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism;
a substrate transfer section coupled below the housing and in airflow
communication with the facility connection;
a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots; and
a chamber substantially containing the substrate transfer section and the
substrate process area, the chamber being coupled to the housing to receive the filtered air and coupled to the facility connection to provide an exhaust for excess gas flow, the chamber being configured to maintain a low pressure in the substrate process area relative to the substrate transfer section.
2. The system of claim 1, wherein the one or more substrate transfer slots are arranged to allow the low pressure in the substrate process area relative to the substrate transfer section.
3. The system of claim 1, wherein the chamber is further configured to maintain a high pressure in the substrate transfer section relative to the facility connection.
4. The system of claim 1, wherein the chamber is further configured to maintain a high pressure in the substrate process area relative to the facility connection.
5. The system of claim 1, wherein the chamber further includes a substrate port to receive a plurality of substrates into and out from the chamber from a substrate enclosure, the substrate port to be maintained at a low pressure relative to the substrate enclosure.
6. The system of claim 1, wherein the substrate process area includes a chemical process section.
7. The system of claim 6, wherein the chamber being configured to
maintain a low pressure in the substrate process area relative to the substrate transfer section is to substantially contain chemical vapors within the chemical process section from reaching the substrate transfer section.
8. The system of claim 1, further comprising a linear substrate traverser mechanism substantially contained within the substrate transfer section, the linear substrate traverser mechanism having a plurality of linear substrate carriers mounted thereon, each of the plurality of linear substrate carriers being configured to transport substrates in a plane substantially parallel to one another with each of the plurality of linear substrate carriers being in a different plane from one another.
9. An airflow management system of a wafer process tool, the system comprising:
a fan filter unit, the fan filter unit to provide filtered air to the wafer process tool; a facility connection to couple the wafer process tool to a reduced pressure
exhaust mechanism of a wafer fabrication facility;
a wafer transfer section coupled below the fan filter unit and in airflow
communication with the facility connection;
a wafer process area having a chemical process section, the wafer process area being coupled to the wafer transfer section by one or more wafer transport slots; and
a process chamber substantially containing the wafer transfer section and the wafer process area, the process chamber being coupled to the fan filter unit to receive the filtered air and coupled to the facility connection to provide an exhaust for excess gas flow, the process chamber being configured to maintain a low pressure in the wafer process area relative to the wafer transfer section.
10. The system of claim 9, wherein the process chamber being configured to maintain a low pressure in the wafer process area relative to the wafer transfer section is to substantially contain chemical vapors within the chemical process section from reaching the wafer transfer section.
11. The system of claim 9, wherein the one or more wafer transport slots are arranged to allow the low pressure in the wafer process area relative to the wafer transfer section.
12. The system of claim 9, further comprising a linear wafer traverser
mechanism substantially contained within the wafer transfer section, the linear wafer traverser mechanism having a plurality of linear wafer carriers mounted thereon, each of the plurality of linear wafer carriers being configured to transport wafers in a plane substantially parallel to one another with each of the plurality of linear wafer carriers being in a different plane from one another.
13. A method of providing airflow management system in a substrate production tool, the method comprising:
providing a first mechanism to couple the substrate production tool to a fan filter unit, the fan filter unit providing filtered air to the substrate production tool;
providing a second mechanism to couple the substrate production tool to a
reduced pressure exhaust mechanism, the reduced pressure exhaust mechanism providing an exhaust for excess gas flow within the substrate production tool;
maintaining a substrate process area of the substrate production tool at a lower pressure than a pressure of a substrate transfer section of the substrate production tool;
maintaining the substrate process area at a higher pressure than a pressure of the reduced pressure exhaust mechanism; and
maintaining the substrate transfer section at a higher pressure than the pressure of the reduced pressure exhaust mechanism.
14. The method of claim 13, further comprising providing one or more
substrate transfer slots between the substrate process area and the substrate transfer section to allow the low pressure in the substrate process area relative to the substrate transfer section.
15. The method of claim 13, further comprising arranging the substrate
transfer section and the substrate process area to be located substantially horizontal relative to one another to reduce particle transport between the substrate transfer section and the substrate process area.
PCT/US2011/029623 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool WO2011119729A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR20127027648A KR20130010903A (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool
CN201180025217.2A CN102906855B (en) 2010-03-24 2011-03-23 For the treatment of the airflow management of granule number low in instrument
SG2012070306A SG184199A1 (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool
JP2013501433A JP5734409B2 (en) 2010-03-24 2011-03-23 Air flow management system and method for providing an air flow management system for particle number reduction in a processing tool

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/730,868 2010-03-24
US12/730,868 US8893642B2 (en) 2010-03-24 2010-03-24 Airflow management for low particulate count in a process tool

Publications (2)

Publication Number Publication Date
WO2011119729A2 true WO2011119729A2 (en) 2011-09-29
WO2011119729A3 WO2011119729A3 (en) 2012-01-19

Family

ID=44654981

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/029623 WO2011119729A2 (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool

Country Status (7)

Country Link
US (2) US8893642B2 (en)
JP (1) JP5734409B2 (en)
KR (1) KR20130010903A (en)
CN (1) CN102906855B (en)
SG (1) SG184199A1 (en)
TW (1) TWI509724B (en)
WO (1) WO2011119729A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
CN112892093A (en) * 2021-01-25 2021-06-04 广东钜宏科技股份有限公司 FFU device with uniform air volume

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8383786B2 (en) * 2009-02-11 2013-02-26 Dow Agrosciences, Llc. Pesticidal compositions
US9958424B2 (en) 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4890780A (en) * 1984-11-22 1990-01-02 Hitachi, Ltd. Manufacturing apparatus
US20040144316A1 (en) * 2003-01-24 2004-07-29 Soo-Woong Lee Apparatus for processing a substrate
US20070224820A1 (en) * 2003-10-08 2007-09-27 Joung-Hyeon Lim Facility with Multi-Storied Process Chamber for Cleaning Substrates and Method for Cleaning Substrates Using the Facility
US20070295274A1 (en) * 2006-06-26 2007-12-27 Aaron Webb Batch Processing Platform For ALD and CVD

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (en) 1986-11-28 1989-11-24 Commissariat Energie Atomique PROCESS FOR PROCESSING, STORING AND / OR TRANSFERRING AN OBJECT INTO A HIGHLY CLEAN ATMOSPHERE, AND CONTAINER FOR CARRYING OUT SAID METHOD
JPH0237742A (en) 1988-07-28 1990-02-07 Nec Corp Semiconductor device manufacturing equipment
JP2706665B2 (en) 1990-01-18 1998-01-28 東京エレクトロン株式会社 Substrate transfer device and processing device
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (en) * 1990-11-17 1993-02-09 Tokyo Electron Ltd Washing device
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (en) 1991-03-19 1992-10-15 Fujitsu Ltd Transfer robot between vacuum chambers
JP3309416B2 (en) 1992-02-13 2002-07-29 松下電器産業株式会社 Connected clean space device
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (en) 1993-04-12 1999-04-15 마스다 쇼오이치로오 Load storing equipment with cleaning device
DE4340522A1 (en) 1993-11-29 1995-06-01 Leybold Ag Device and method for the gradual and automatic loading and unloading of a coating system
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997035690A1 (en) 1996-03-22 1997-10-02 Komatsu Ltd. Robot for handling
JP3218425B2 (en) 1996-03-25 2001-10-15 東京エレクトロン株式会社 Processing method and processing apparatus
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (en) 1997-02-26 2003-08-18 富士通株式会社 manufacturing device
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR20010023014A (en) 1997-08-28 2001-03-26 씨브이씨 프로덕츠 인코포레이티드 Wafer handler for multi-station tool
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
KR100625485B1 (en) 1998-02-18 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 End effector for wafer handler in processing system
JP2000021947A (en) 1998-06-30 2000-01-21 Sony Corp Dry type processor
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
JP3212951B2 (en) 1998-10-22 2001-09-25 兼房株式会社 Split cutting tip saw
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (en) * 1998-12-01 2000-06-23 Fujitsu Ltd Carrying device and manufacturing device
EP1135795B1 (en) 1998-12-02 2008-03-12 Newport Corporation Specimen holding robotic arm end effector
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (en) 2000-06-07 2001-12-10 Asm Int Device for treating a wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (en) 2001-01-22 2004-05-27 주식회사 라셈텍 Semiconducter manufacturing apparatus
JP4683453B2 (en) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 Vacuum processing equipment
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (en) 2001-08-31 2011-11-24 東京エレクトロン株式会社 Processing system
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (en) 2002-02-04 2003-08-15 Seiko Instruments Inc Vacuum processor
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (en) 2002-12-12 2004-07-08 Seiko Epson Corp Manufacturing method for semiconductor device
JP2004200329A (en) 2002-12-17 2004-07-15 Tokyo Electron Ltd Substrate processing apparatus and method therefor
JP4468021B2 (en) 2003-03-25 2010-05-26 キヤノン株式会社 Load lock system, exposure processing system, and device manufacturing method
JP4290454B2 (en) 2003-03-28 2009-07-08 三井化学株式会社 Method for producing gas diffusion electrode, electrolytic cell and electrolysis method
JP3680083B2 (en) 2003-09-26 2005-08-10 バンドー化学株式会社 Transmission belt pulley and belt transmission device
JP2005131772A (en) * 2003-10-31 2005-05-26 Ebara Corp Polishing device
US20080003171A1 (en) 2004-04-20 2008-01-03 Smith William L Microbial Control Using Hypochlorous Acid Vapor
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (en) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd system
KR20060066415A (en) * 2004-12-13 2006-06-16 삼성전자주식회사 Apparatus for transferring a substrate
KR100782380B1 (en) 2005-01-24 2007-12-07 삼성전자주식회사 Device for making semiconductor
KR101279819B1 (en) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 Radial-biased polishing pad
CN100362620C (en) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 Loading umloading device of semiconductor processing piece and its loading and unloading method
US20070218197A1 (en) 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
KR100814238B1 (en) 2006-05-03 2008-03-17 위순임 Substrate transfer equipment and substrate processing system using the same
TWI476855B (en) 2006-05-03 2015-03-11 Gen Co Ltd Substrate transferring apparatus and high speed substrate processing system using the same
KR100818044B1 (en) 2006-05-04 2008-03-31 위순임 Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (en) 2007-05-02 2008-02-15 피에스케이 주식회사 A unit and method for transferring substrates, and an apparatus and method for treating substrates with the unit
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US7644757B2 (en) 2007-07-02 2010-01-12 Stinger Wellhand Protection, Inc. Fixed-point packoff element with primary seal test capability
KR20230079518A (en) 2007-07-17 2023-06-07 브룩스 오토메이션 인코퍼레이티드 A substrate transport apparatus
JP4359640B2 (en) 2007-09-25 2009-11-04 東京エレクトロン株式会社 Substrate transfer apparatus and downflow control method
JP4251580B1 (en) * 2008-01-08 2009-04-08 Tdk株式会社 Containment transport system
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (en) 2009-08-24 2011-03-03 Wang Lvsha Ballast control apparatus and ballast apparatus configured for high intensity gas discharge lamp
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4890780A (en) * 1984-11-22 1990-01-02 Hitachi, Ltd. Manufacturing apparatus
US20040144316A1 (en) * 2003-01-24 2004-07-29 Soo-Woong Lee Apparatus for processing a substrate
US20070224820A1 (en) * 2003-10-08 2007-09-27 Joung-Hyeon Lim Facility with Multi-Storied Process Chamber for Cleaning Substrates and Method for Cleaning Substrates Using the Facility
US20070295274A1 (en) * 2006-06-26 2007-12-27 Aaron Webb Batch Processing Platform For ALD and CVD

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9321000B2 (en) 2010-03-24 2016-04-26 Lam Research Corporation Airflow management for low particulate count in a process tool
CN112892093A (en) * 2021-01-25 2021-06-04 广东钜宏科技股份有限公司 FFU device with uniform air volume

Also Published As

Publication number Publication date
JP5734409B2 (en) 2015-06-17
TW201207981A (en) 2012-02-16
TWI509724B (en) 2015-11-21
SG184199A1 (en) 2012-10-30
US8893642B2 (en) 2014-11-25
JP2013526009A (en) 2013-06-20
CN102906855B (en) 2015-09-02
US20110232771A1 (en) 2011-09-29
WO2011119729A3 (en) 2012-01-19
US9321000B2 (en) 2016-04-26
US20150040757A1 (en) 2015-02-12
KR20130010903A (en) 2013-01-29
CN102906855A (en) 2013-01-30

Similar Documents

Publication Publication Date Title
US9321000B2 (en) Airflow management for low particulate count in a process tool
US8282698B2 (en) Reduction of particle contamination produced by moving mechanisms in a process tool
US8562272B2 (en) Substrate load and unload mechanisms for high throughput
CN110770890B (en) Indexable side cartridge devices, heated side cartridge devices, systems, and methods
US7396412B2 (en) Coat/develop module with shared dispense
JP5391070B2 (en) Stocker and method for storing a plurality of flat substrates
KR20220120714A (en) Substrate processing systems, apparatus, and methods with factory interface environmental controls
US9117870B2 (en) High throughput cleaner chamber
JP6061484B2 (en) Substrate cleaning apparatus and substrate processing apparatus having the same
WO2006069348A2 (en) Coat/develop module with shared dispense
TWI747239B (en) Substrate processing apparatus
US20040266208A1 (en) Method for preventing particle-based contamination of substrates and structure therefor
CN115775760A (en) Equipment front end module

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180025217.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11760144

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2013501433

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127027648

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11760144

Country of ref document: EP

Kind code of ref document: A2