WO2011095217A1 - Method and process for metallic stamp replication for large area nanopatterns - Google Patents

Method and process for metallic stamp replication for large area nanopatterns Download PDF

Info

Publication number
WO2011095217A1
WO2011095217A1 PCT/EP2010/051399 EP2010051399W WO2011095217A1 WO 2011095217 A1 WO2011095217 A1 WO 2011095217A1 EP 2010051399 W EP2010051399 W EP 2010051399W WO 2011095217 A1 WO2011095217 A1 WO 2011095217A1
Authority
WO
WIPO (PCT)
Prior art keywords
stamp
recited
metal
layer
imprint
Prior art date
Application number
PCT/EP2010/051399
Other languages
French (fr)
Inventor
Matthias Keil
Gang Luo
Ye Zhou
Original Assignee
Obducat Ab
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Obducat Ab filed Critical Obducat Ab
Priority to PCT/EP2010/051399 priority Critical patent/WO2011095217A1/en
Priority to US13/576,411 priority patent/US20120297856A1/en
Priority to EP10706563A priority patent/EP2531888A1/en
Priority to JP2012551510A priority patent/JP2013518740A/en
Priority to CN201080061817XA priority patent/CN102713752A/en
Priority to KR1020127023157A priority patent/KR101698838B1/en
Publication of WO2011095217A1 publication Critical patent/WO2011095217A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates to replication of metal stamps assisted by imprinting lithography technology using an intermediate polymer stamp (IPS) consisting of micro- and nano-structures.
  • IPS intermediate polymer stamp
  • the invention of the imprint lithography by Kondo at NTT, a low-cost and high throughput manufacturing process, has been widely adopted in many applications, such as photonics, magnetic data storage, display, nano- micro-electromechanical system (NEMSs, MEMSs), nano- micro-electronics, biotech and chemical synthesis.
  • NEMSs, MEMSs nano- micro-electromechanical system
  • one of the key issues in the invented technique is to fabricate the imprint stamp with Nanopatterns in high resolution, large area, at a low cost, and it is simultaneously capable of pattering arbitrary nanostructures over a large area with long-range order at a low cost (J. J. Wang, et al. J. lightwave technol., vol.
  • NIL Nano Imprint Lithography
  • a nickel stamp not only provides high mechanical strength and durability but also enables cost-effective manufacturing via electroforming process (J. K. Luo, et al., Mater. Lett., vol. 58, pp. 2306-2309, 2004; T. Haatainen, et al., Microelectron. Eng., vol. 83, pp. 948-950, 2006; S. H.
  • the suitable molds for electroforming should be conductive as well as anti-adhesive to the electroformed nickel stamp in order to facilitate multiple copies of nickel stamps from one original mold.
  • a silicon stamp J. Kouba, et al., J. Phys. Conference Series, Vol. 34, p. 897 (2006)
  • a quartz stamp Y. Hirai, et al., Jpn. J. Appl. Phys. Vol. 41 , p. 4186 (2002)
  • a concentrated alkaline solvent has to be used to dissolve the templates.
  • the original mold was destroyed and only capable of providing one-nickel stamp.
  • Another well-known method is to use a structured and developed electron beam resist served directly as a galvanic form for nickel electroforming.
  • Electron Beam Lithography (EBL)-resist master is the key issue to reduce the manufacturing cost of Nano-lmprint Lithography (NIL) and thereby to further promote application of NIL technology in the industry.
  • EBL Electron Beam Lithography
  • NIL Nano-lmprint Lithography
  • electroforming via a familiar process facilitated more copies of the Ni-stamp, which have identical structures to that of the original EBL-master.
  • one EBL-master only provides one "father” Ni- stamp with inverse features via electroforming.
  • a "mother” stamp with identical structures to the EBL-master was obtained.
  • One aspect of the present invention is provided by a method for obtaining a metal stamp having the same structure as a master stamp from at least one intermediate stamp, comprising the steps of providing a first imprint layer on top of a first carrier substrate, imprinting structures in the first imprint layer using a master stamp to obtain a first intermediate stamp, providing a conductive layer on top of the structured first intermediate stamp to obtain a seed layer, plating metal on top of the seed layer to obtain a metal stamp, and separating the first intermediate stamp from the metal stamp.
  • Another aspect of the present invention is related to method for obtaining a metal stump with a structure inverse to that of the master stamp, where the metal stamp is obtained from at least two intermediate stamps according to the following steps: providing a second imprint layer on top of a second carrier layer, using the said first intermediate stamp to imprint structures in the second imprint layer in order to obtain a second intermediate stamp, providing a conductive layer on top of the second intermediate stamp to obtain a seed layer, plating metal on top of the seed layer to obtain a metal stamp, and separating the second intermediate stamp from the metal stamp.
  • the first and second carrier substrates may comprise a polymer material, while the first carrier substrate may comprises a transparent material.
  • the second carrier substrate may comprise transparent or nontransparent material, wherein the carrier substrates may comprises glass, a semiconductor material or metals.
  • first and second imprint layers may be coated on top of the carrier substrates.
  • Anti-sticking molecules may be provided in the resist before obtaining the seed layer and therefore the seed layer thickness of at least one atomic conductive layer may be sputtered on top of the structures in the first intermediate stamp.
  • the seed layer may be sputtered on top of the structures of the second intermediate stamp.
  • the conductive material may be a metal composed of at least one of the metals nickel, gold, silver, titanium, copper and aluminum.
  • the metal stamp may be electroplated on top of the conductive layer.
  • the structures imprinted in the imprint layer may comprise micro and nano- structures sizes greater than 5 nm.
  • the first imprint layer and the second imprint layer material is conductive polymer
  • a seed layer is not necessary. In this case the sputtering step is not performed, and the metal stamp is electroplated directly on top of the conductive polymer directly.
  • the separation step between the intermediate stamps and the metal stamp may be achieved by mechanical demolding. It may also be mentioned that manufacturing of any of the metal stamps above may be performed at constant temperature in the range of 15-100 0 C, preferably 20-70 0 C.
  • Fig. 1 shows a schematic diagram of stamp replication process via electroforming using IPS as the galvanic-template.
  • Fig. 2 shows a schematic diagram of stamp replication process via a two-step imprint process using the IPS imprinted substrate as galvanic-template.
  • Fig. 3 displays Scan Electron Microscope (SEM) and Atomic Force Microscope (AFM) images of the produced nickel stamp with photonic crystal structures.
  • Fig. 4 displays SEM and AFM images of the produced nickel stamp with magnetic storage media structures.
  • Fig. 5 displays SEM and AFM images of the imprinted Si-substrate.
  • Fig. 6 displays SEM images acquired on the replicated nickel stamp.
  • the method includes pattern transfer to an intermediate polymer stamp (IPS) where an IPS can either be directly used as a galvanic-master stamp to replicate the metal stamp (one-step imprinting), which has nanostructures identical to those of the original master stamp-
  • IPS intermediate polymer stamp
  • the IPS may also be used further to imprint a resist, such as, but not limited to, a thermoplastic/UV-curable resist on substrates (two-step imprinting). In this fashion the nanostructures on electroformed metal stamp will be inversed to that of the original master stamp.
  • the invention offers a significant extension of the life-time of the original master stamp since the imprinting and demoulding only happens between the soft polymer material and the master stamp, thereby avoiding fracturing of the hard material and contaminants such as dust particles present at the interface between the master stamp and the IPS will be enclosed by the IPS.
  • the direct electroforming from the IPS- based master stamp will result in easy separation between the master stamp and the metal stamp after electroforming. It has been shown that using IPS based
  • nanoimprinting about 1000 IPS using one master stamp can be produced without contaminating or damaging the master stamp, which means that 1000 metal stamps could be replicated via electroforming based on one master stamp.
  • the selected IPS material is UV-transparent, even if the original master stamp is opaque or UV- nontransparent, the UV-imprints can still be performed between the master stamp and IPS and also between the IPS and other opaque/UV-nontransparent substrates.
  • Conformability of the IPS makes it capable of adapting to the non-planar master stamp or substrate;
  • IPS Using an IPS avoids fractures on the hard material. For example, if some
  • the nickel-stamp with structures identical to those of the original stamp is readily obtained via electroforming from an IPS according to figure 1.
  • Figure 1 shows a schematic diagram of the stamp replication process via electroforming using IPS as the galvanic-template.
  • the nickel stamp contains nanostructures identical to those of the original master stamp. It should be emphasized that either an additional fluorocarbon film via plasma-enhanced CVD, (such as shown by U. S. Patent No.
  • a release layer deposited onto the imprinted substrate prior to the metallization of a seed layer is very crucial, since without the release layer the substrate resist was peeled off from the substrate and adhered strongly onto the electroformed Ni-stamps.
  • a releasing film e.g. plasma enhanced Chemical
  • the original master stamp was obtained, for instance, by a combined e-beam recording (EBR) and electroforming process.
  • a nickel stamp obtained in this fashion consists of an array of width 230 nm PCS with a pitch of 450 nm and a depth of 130 nm across 4-inch patterned area.
  • An acrylate imprint resist was coated onto a polycarbonate polymer sheet and then used as the substrate for nanoimprinting. After demoulding the IPS, it was inspected by AFM, SEM and an optical microscope. The surface of the IPS was further modified by depositing a thin ( ⁇ 6 nm) fluorocarbon film via plasma enhanced chemical vapor deposition. Then, a nickel seed layer was sputtered onto the IPS prior to electroforming.
  • the thickness of the sputtered Ni-seed layer was 10 nm. Since we adopted nickel as the seed layer, the definition of the nanostructures should be maintained well. It could be seen that the replicated nickel-stamp has identical structures to that of the original master stamp, and the replicated features showed long-range order as well as high fidelity (Fig. 3).
  • Example 2 Nickel stamp with magnetic storage media nanostructures
  • An original nickel imprint was produced by a combined e-beam recording technique and an electroforming process.
  • the patterns In a data track area the patterns have dimensions of 40 nm in width and 120nm in pitch.
  • the imprinted IPS was inspected with SEM, using an acrylate imprint resist on a polycarbonate polymer sheet. The inverse nanofeatures were transferred with good fidelity.
  • the electroforming After sputtering of a thin film ( ⁇ 1 Onm) of nickel, the electroforming was performed. The big advantage of sputtering a thin metal layer instead of thick layer is to avoid hole-inclusion due to narrow nanochannels with high aspect ratio and with high pattern density.
  • the electroformed nickel stamp with nanostructures identical to those of the original master stamp was obtained (Fig. 4).
  • Nickel stamp with photonic crystal structures The stamp consists of an array of dots with 200 nm in diameter with a pitch of 460 nm by 3-inch area.
  • the IPS which comprises an acrylate imprint resist on a polycarbonate carrier polymer sheet, was imprinted onto master stamp.
  • the IPS was further used to transfer the patterns onto the Si-wafer, which was pre-coated with an epoxy imprint resist (Fig. 5).
  • the imprinted Si-wafer was finally used as a mold for electroforming to obtain the nickel stamp replica, which comprises structures inverse to those on the original stamp.

Abstract

A method and process for obtaining a metal stamp from an intermediate polymer stamp comprising the steps of providing a first imprint layer on top of a first polymer layer, imprinting structures to obtain an intermediate stamp. A conductive layer is provided on top of the structures to obtain a seed layer if the imprinted polymer is a non-conductive, plating metal on top of the intermediate polymer stamp to obtain a metal stamp then separating the intermediate stamp from the metal stamp. This invention demonstrates stamp replication in high throughput and at low cost.

Description

Method and Process for Metallic Stamp Replication for Large Area Nanopatterns Field of invention: The present invention relates to replication of metal stamps assisted by imprinting lithography technology using an intermediate polymer stamp (IPS) consisting of micro- and nano-structures.
Background of prior art:
The invention of the imprint lithography by Kondo at NTT, a low-cost and high throughput manufacturing process, (Kondo, M., Patent no. JP 22389, 1979) has been widely adopted in many applications, such as photonics, magnetic data storage, display, nano- micro-electromechanical system (NEMSs, MEMSs), nano- micro-electronics, biotech and chemical synthesis. However, one of the key issues in the invented technique is to fabricate the imprint stamp with Nanopatterns in high resolution, large area, at a low cost, and it is simultaneously capable of pattering arbitrary nanostructures over a large area with long-range order at a low cost (J. J. Wang, et al. J. lightwave technol., vol. 23, pp. 474-485, 2005; B. Heidari, et al, J. Vac. Sci. Technol., B 17(6), pp 2961-2964, Nov/Dec 1999. However, stamp replication is still a crucial issue in Nano Imprint Lithography (NIL). It is known that the conventional silicon stamp manufacturing with nanoscale patterns is based on high-cost e-beam lithography, and then followed by either dry etching or metal lift-off. However, the silicon stamp is too brittle to be used for compression or injection template for mass production and therefore is not suitable for high volume production in industrial application fields. For instance, Hirai et al. found that the Si-stamp could rarely be used more than 20 times in the imprint process, where the high-pressure shock applied to the master stamp captivity repeatedly (Y. Hirai, et al., Jpn. J. Appl. Phys., vol. 41 , pp. 4186-4189, 2002). A nickel stamp on the other hand, not only provides high mechanical strength and durability but also enables cost-effective manufacturing via electroforming process (J. K. Luo, et al., Mater. Lett., vol. 58, pp. 2306-2309, 2004; T. Haatainen, et al., Microelectron. Eng., vol. 83, pp. 948-950, 2006; S. H. Hong, et al., Microelectron. Eng., vol. 84, pp. 977-979, 2007). However, the suitable molds for electroforming should be conductive as well as anti-adhesive to the electroformed nickel stamp in order to facilitate multiple copies of nickel stamps from one original mold. Although some attempts were made using a silicon stamp (J. Kouba, et al., J. Phys. Conference Series, Vol. 34, p. 897 (2006)) or a quartz stamp (Y. Hirai, et al., Jpn. J. Appl. Phys. Vol. 41 , p. 4186 (2002)) as electroforming templates in order to facilitate separation, a concentrated alkaline solvent has to be used to dissolve the templates. In this case, the original mold was destroyed and only capable of providing one-nickel stamp. Another well-known method is to use a structured and developed electron beam resist served directly as a galvanic form for nickel electroforming.
Summary of the invention:
It has been found by the inventors that replicating a maximum amount of nickel stamps based on one Electron Beam Lithography (EBL)-resist master is the key issue to reduce the manufacturing cost of Nano-lmprint Lithography (NIL) and thereby to further promote application of NIL technology in the industry. Nowadays, electroforming via a familiar process facilitated more copies of the Ni-stamp, which have identical structures to that of the original EBL-master. This means that one EBL-master only provides one "father" Ni- stamp with inverse features via electroforming. On the other hand, by performing additional electroforming based on a "father" stamp, a "mother" stamp with identical structures to the EBL-master was obtained. Incidentally, we found that only 10 mother stamps could be produced by this manner due to the more and more severe demoulding damages between father and mother stamps at duration of separation, especially as densely spaced small features are patterned with large a area and high aspect ratio. According to this invention we introduced a novel method to copy large quantities of nickel stamps from the original master via combined NIL and electroforming process. If patterns identical to those of the original stamp were required, then a one-step NIL was performed, otherwise a two-step NIL process will provide the reversed nanostructures on a nickel stamp after electroforming, which is probably more promising considering the fact that patterns inverse to those of the original mold are not readily obtained.
One aspect of the present invention is provided by a method for obtaining a metal stamp having the same structure as a master stamp from at least one intermediate stamp, comprising the steps of providing a first imprint layer on top of a first carrier substrate, imprinting structures in the first imprint layer using a master stamp to obtain a first intermediate stamp, providing a conductive layer on top of the structured first intermediate stamp to obtain a seed layer, plating metal on top of the seed layer to obtain a metal stamp, and separating the first intermediate stamp from the metal stamp. Another aspect of the present invention is related to method for obtaining a metal stump with a structure inverse to that of the master stamp, where the metal stamp is obtained from at least two intermediate stamps according to the following steps: providing a second imprint layer on top of a second carrier layer, using the said first intermediate stamp to imprint structures in the second imprint layer in order to obtain a second intermediate stamp, providing a conductive layer on top of the second intermediate stamp to obtain a seed layer, plating metal on top of the seed layer to obtain a metal stamp, and separating the second intermediate stamp from the metal stamp. The first and second carrier substrates may comprise a polymer material, while the first carrier substrate may comprises a transparent material.
The second carrier substrate may comprise transparent or nontransparent material, wherein the carrier substrates may comprises glass, a semiconductor material or metals.
Moreover, the first and second imprint layers may be coated on top of the carrier substrates.
Anti-sticking molecules may be provided in the resist before obtaining the seed layer and therefore the seed layer thickness of at least one atomic conductive layer may be sputtered on top of the structures in the first intermediate stamp.
For obtaining the metal stamp with inverse structure to that of the master stamp, the seed layer may be sputtered on top of the structures of the second intermediate stamp. The conductive material may be a metal composed of at least one of the metals nickel, gold, silver, titanium, copper and aluminum. The metal stamp may be electroplated on top of the conductive layer.
Also, the structures imprinted in the imprint layer may comprise micro and nano- structures sizes greater than 5 nm.
If the first imprint layer and the second imprint layer material is conductive polymer, a seed layer is not necessary. In this case the sputtering step is not performed, and the metal stamp is electroplated directly on top of the conductive polymer directly.
Additionally, the separation step between the intermediate stamps and the metal stamp may be achieved by mechanical demolding. It may also be mentioned that manufacturing of any of the metal stamps above may be performed at constant temperature in the range of 15-100 0 C, preferably 20-70 0 C.
Brief Description of the Figures:
Fig. 1 shows a schematic diagram of stamp replication process via electroforming using IPS as the galvanic-template.
Fig. 2 shows a schematic diagram of stamp replication process via a two-step imprint process using the IPS imprinted substrate as galvanic-template. Fig. 3 displays Scan Electron Microscope (SEM) and Atomic Force Microscope (AFM) images of the produced nickel stamp with photonic crystal structures.
Fig. 4 displays SEM and AFM images of the produced nickel stamp with magnetic storage media structures.
Fig. 5 displays SEM and AFM images of the imprinted Si-substrate. Fig. 6 displays SEM images acquired on the replicated nickel stamp.
Detailed description of the invention
A method for quantitative and cost-effective replication of metal stamps via combined nanoimprint lithography and electroforming has been proposed. The method includes pattern transfer to an intermediate polymer stamp (IPS) where an IPS can either be directly used as a galvanic-master stamp to replicate the metal stamp (one-step imprinting), which has nanostructures identical to those of the original master stamp- The IPS may also be used further to imprint a resist, such as, but not limited to, a thermoplastic/UV-curable resist on substrates (two-step imprinting). In this fashion the nanostructures on electroformed metal stamp will be inversed to that of the original master stamp. The invention offers a significant extension of the life-time of the original master stamp since the imprinting and demoulding only happens between the soft polymer material and the master stamp, thereby avoiding fracturing of the hard material and contaminants such as dust particles present at the interface between the master stamp and the IPS will be enclosed by the IPS. The direct electroforming from the IPS- based master stamp will result in easy separation between the master stamp and the metal stamp after electroforming. It has been shown that using IPS based
nanoimprinting about 1000 IPS using one master stamp can be produced without contaminating or damaging the master stamp, which means that 1000 metal stamps could be replicated via electroforming based on one master stamp. Furthermore, since the selected IPS material is UV-transparent, even if the original master stamp is opaque or UV- nontransparent, the UV-imprints can still be performed between the master stamp and IPS and also between the IPS and other opaque/UV-nontransparent substrates.
The advantages of using an IPS to transfer the nanostructures from the original imprint stamp onto nickel stamps are:
1 ) Conformability of the IPS makes it capable of adapting to the non-planar master stamp or substrate;
2) Since the IPS is UV-transparent, even though the original stamp is opaque, it still enables UV processing;
3) Using an IPS avoids fractures on the hard material. For example, if some
dust/particles are present between the IPS and the substrate, then they will be enclosed into the polymer without causing cracks on the stamp;
4) The demoulding only occurs between the polymer and the hard material thereby avoiding demolding damage.
The nickel-stamp with structures identical to those of the original stamp is readily obtained via electroforming from an IPS according to figure 1.
Figure 1 shows a schematic diagram of the stamp replication process via electroforming using IPS as the galvanic-template. The nickel stamp contains nanostructures identical to those of the original master stamp. It should be emphasized that either an additional fluorocarbon film via plasma-enhanced CVD, (such as shown by U. S. Patent No.
5,244,730, "Plasma deposition of fluorocarbon"; US Patent No. 6184572 - "Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices" and US Patent No. 5698901 - "Semiconductor device with amorphous carbon layer for reducing wiring delay" for semiconductor device
applications) or other releasing layers should preferably adhere onto the IPS prior to the metalized seed layer, since otherwise the separation between the IPS and the electroformed nickel stamp will be hard. This means that the stamp will experience a strong shearing force during the separation. As an example, Hong, S. et al
(Microelectronics, Eng. Vol. 84, p. 977, 2007) has to separate the hot embossed thermoplastic PVC film from electroformed nickel stamp in an organic solvent to soften the polymer film. It is believed that as the patterned area is enlarged (e.g., 3-4 inch) the surface area coated by metal seed layer is relatively increased, which in turn leads to the increased shearing force at the separation. Thus there is a high risk that the increased shearing force is sufficient to fracture the nanostructures of the polymer material thereby making the fractured material will fill into the cavities of nanostructures on the nickel stamp. In this case, an expensive and advanced cleaning method is required (e.g., downstream plasma treatment) to clean the stamp.
If a stamp with features inverse to that of the original master stamp is desired, two-step imprint processes were performed. The first imprint produces an IPS and then the second imprint was performed on the substrate with pre-coated imprint resist. Then the imprinted substrate was used as a template for electroforming and the nickel stamp with reversed features compared to that on the original stamp was obtained. A schematic diagram of the stamp replication process via a two-step imprint process is shown in figure 2. Finally, by using the technology of the applicant, such as the IPS method for yield improvement and combined thermal and UV nanoimprint disclosed in the European patent. EP 1731962 to imprint the UV curable or thermosetting materials, precise pattern transfer with long range order across a large area was facilitated on the nickel stamps via electroforming. However, one point the applicant wished to emphasize is that a release layer deposited onto the imprinted substrate prior to the metallization of a seed layer is very crucial, since without the release layer the substrate resist was peeled off from the substrate and adhered strongly onto the electroformed Ni-stamps. In contrast by adopting a releasing film, e.g. plasma enhanced Chemical
VaporDeposition (CVD) of a fluorocarbon film onto the imprinted substrate prior to metallization of the seed layer, this problem has been solved. Thereby, after the final electroformed nickel stamps were formed, only simple cleaning methods, such as electrochemical cathode cleaning and plasma etching were required. Experimental Examples:
Fabrication process for Ni-stamp replication from the IPS Example 1 : Nickel stamp with photonic crystal structures (PCS)
The original master stamp was obtained, for instance, by a combined e-beam recording (EBR) and electroforming process. A nickel stamp obtained in this fashion consists of an array of width 230 nm PCS with a pitch of 450 nm and a depth of 130 nm across 4-inch patterned area. An acrylate imprint resist was coated onto a polycarbonate polymer sheet and then used as the substrate for nanoimprinting. After demoulding the IPS, it was inspected by AFM, SEM and an optical microscope. The surface of the IPS was further modified by depositing a thin (~6 nm) fluorocarbon film via plasma enhanced chemical vapor deposition. Then, a nickel seed layer was sputtered onto the IPS prior to electroforming. The thickness of the sputtered Ni-seed layer was 10 nm. Since we adopted nickel as the seed layer, the definition of the nanostructures should be maintained well. It could be seen that the replicated nickel-stamp has identical structures to that of the original master stamp, and the replicated features showed long-range order as well as high fidelity (Fig. 3). Example 2: Nickel stamp with magnetic storage media nanostructures
An original nickel imprint was produced by a combined e-beam recording technique and an electroforming process. In a data track area the patterns have dimensions of 40 nm in width and 120nm in pitch. The imprinted IPS was inspected with SEM, using an acrylate imprint resist on a polycarbonate polymer sheet. The inverse nanofeatures were transferred with good fidelity. After sputtering of a thin film (~1 Onm) of nickel, the electroforming was performed. The big advantage of sputtering a thin metal layer instead of thick layer is to avoid hole-inclusion due to narrow nanochannels with high aspect ratio and with high pattern density. The electroformed nickel stamp with nanostructures identical to those of the original master stamp was obtained (Fig. 4).
Fabrication process for Ni-stamp replication from the two-step imprint Example 3: Nickel stamp with photonic crystal structures The stamp consists of an array of dots with 200 nm in diameter with a pitch of 460 nm by 3-inch area. The IPS, which comprises an acrylate imprint resist on a polycarbonate carrier polymer sheet, was imprinted onto master stamp. The IPS was further used to transfer the patterns onto the Si-wafer, which was pre-coated with an epoxy imprint resist (Fig. 5). The imprinted Si-wafer was finally used as a mold for electroforming to obtain the nickel stamp replica, which comprises structures inverse to those on the original stamp.
Example 4: Nickel stamp with magnetic storage media nanostructures
In order to obtain the inverse nickel replica with magnetic storage media structures, we follow the same procedure as in example 3. The final nickel stamp with complementary structures to that on the original stamp was illustrated by Fig. 6.

Claims

Claims:
A method for obtaining a metal stamp with the same structure as a master stamp from at least one intermediate stamp, comprising the steps:
providing a first imprint layer on top of a first carrier substrate; imprinting structures in the first imprint layer using a master stamp to obtain a first intermediate stamp;
providing a conductive layer on top of the structured first intermediate stamp to obtain a seed layer;
plating metal on top of the seed layer to obtain a metal stamp; separating the first intermediate stamp from the metal stamp.
A method for obtaining a metal stamp with a structure inverse to that of a master stamp from at least two intermediate stamps, comprising the steps:
- providing a second imprint layer on top of a second carrier layer;
- using the said first intermediate stamp to imprint structures in the second imprint layer in order to obtain a second intermediate stamp;
- providing a conductive layer on top of the second intermediate stamp to obtain a seed layer;
- plating metal on top of the seed layer to obtain a metal stamp;
- separating the second intermediate stamp from the metal stamp.
Method according to the recited claims 1 -2, wherein the first and second carrier substrates comprise polymer material.
Method according to the recited claims 1 or 2, further comprising the step of providing anti-sticking molecules in the resist before obtaining the seed layer.
Method according to the recited claims 1 , wherein in the first carrier substrate comprises a transparent material. Method according to the recited claims 2, wherein the second carrier substrate comprises a transparent or a nontransparent material.
Method according to the recited claims 1 , 2, 5 and 6, wherein the carrier substrates comprises glass, a semiconductor material or metals.
Method according to the recited claims 1 -2, wherein the first and second imprint layers are coated on top of the carrier substrates.
Method according to the recited claims 1 -8, wherein the seed layer is sputtered on top of the structures in the first intermediate stamp.
Method according to the recited claims 1 -8, wherein the seed layer is sputtered on top of the structures in the second intermediate stamp.
Method according to the recited claims 1 -10, wherein the thickness of the seed layer is at least one atomic conductive layer.
Method according to the recited claim 11 , wherein the seed layer comprises conductive material.
Method according to the recited claim 12, wherein the conductive material comprises metal.
Method according to the recited claim 13, wherein the metal is composed of at least one of the metals nickel, gold, silver, titanium, copper and aluminum.
15. Method according to the recited claims 1 -10, wherein the metal stamp is electroplated on top of the conductive layer.
16. Method according to the recited claim 1 , wherein the first imprint layer material comprises a conductive polymer.
17. Method according to the recited claim 2, wherein the second imprint layer material comprises a conductive polymer. 18. Method according to the recited claim 17, wherein the metal stamp is electroplated on top of the conductive polymer.
19. Method according to the recited claims 1 -18, wherein the separation
between the intermediate stamp and the metal stamp is achieved by mechanical demolding.
20. Method according to the recited claims 1 -19, wherein the method is
performed at constant temperature in the range of 15-100 0 C, preferably 20-70 0 C.
21 . Method according to the recited claims 1-20, wherein the structures
imprinted in the imprint layer comprise micro and nano-structures.
22. Method according to the recited claims 1 -21 , wherein the structures have a size greater than 5 nm.
PCT/EP2010/051399 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns WO2011095217A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
PCT/EP2010/051399 WO2011095217A1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns
US13/576,411 US20120297856A1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns
EP10706563A EP2531888A1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns
JP2012551510A JP2013518740A (en) 2010-02-05 2010-02-05 Methods and processes for metal stamp replication for large area nanopatterns
CN201080061817XA CN102713752A (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns
KR1020127023157A KR101698838B1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2010/051399 WO2011095217A1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns

Publications (1)

Publication Number Publication Date
WO2011095217A1 true WO2011095217A1 (en) 2011-08-11

Family

ID=42357620

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2010/051399 WO2011095217A1 (en) 2010-02-05 2010-02-05 Method and process for metallic stamp replication for large area nanopatterns

Country Status (6)

Country Link
US (1) US20120297856A1 (en)
EP (1) EP2531888A1 (en)
JP (1) JP2013518740A (en)
KR (1) KR101698838B1 (en)
CN (1) CN102713752A (en)
WO (1) WO2011095217A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3011391B1 (en) * 2013-06-20 2018-07-18 Ev Group E. Thallner GmbH Mould with a mould pattern, and method for producing same

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8771529B1 (en) * 2010-09-30 2014-07-08 Seagate Technology Llc Method for imprint lithography
US9996053B2 (en) * 2011-09-19 2018-06-12 Crucible Intellectual Property, Llc Nano- and micro-replication for authentication and texturization
WO2017074264A1 (en) * 2015-10-27 2017-05-04 Agency For Science, Technology And Research Nanoinjection molding
EP3547026B1 (en) * 2018-03-28 2023-11-29 CSEM Centre Suisse d'Electronique et de Microtechnique SA Method for producing a metal stamp for embossing a nano- and/or microstructure on a metal device as well as uses thereof and devices made therewith
KR102142981B1 (en) * 2018-05-29 2020-08-11 한국기계연구원 Method of manufacturing metal layer having nano pattern
CN114178067B (en) * 2022-01-14 2023-04-28 苏州新维度微纳科技有限公司 Nanometer stamping colloid sputtering device and method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5422389A (en) 1977-07-21 1979-02-20 Toyama Chem Co Ltd Novel 7alpha-methoxycephalosporins and their preparation
US5244730A (en) 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5698901A (en) 1994-09-12 1997-12-16 Nec Corporation Semiconductor device with amorphous carbon layer for reducing wiring delay
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
WO2002003142A2 (en) * 2000-06-30 2002-01-10 President And Fellows Of Harvard College Electric microcontact printing method and apparatus
EP1731962A1 (en) 2005-06-10 2006-12-13 Obducat AB Pattern replication with intermediate stamp

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030071016A1 (en) * 2001-10-11 2003-04-17 Wu-Sheng Shih Patterned structure reproduction using nonsticking mold
TW200511296A (en) * 2003-09-01 2005-03-16 Matsushita Electric Ind Co Ltd Method for manufacturing stamper, stamper and optical recording medium
EP1731961B1 (en) * 2005-06-10 2008-11-05 Obducat AB Template replication method
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
EP2199855B1 (en) * 2008-12-19 2016-07-20 Obducat Methods and processes for modifying polymer material surface interactions
US20120126458A1 (en) * 2009-05-26 2012-05-24 King William P Casting microstructures into stiff and durable materials from a flexible and reusable mold

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5422389A (en) 1977-07-21 1979-02-20 Toyama Chem Co Ltd Novel 7alpha-methoxycephalosporins and their preparation
US5244730A (en) 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5698901A (en) 1994-09-12 1997-12-16 Nec Corporation Semiconductor device with amorphous carbon layer for reducing wiring delay
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
WO2002003142A2 (en) * 2000-06-30 2002-01-10 President And Fellows Of Harvard College Electric microcontact printing method and apparatus
EP1731962A1 (en) 2005-06-10 2006-12-13 Obducat AB Pattern replication with intermediate stamp

Non-Patent Citations (12)

* Cited by examiner, † Cited by third party
Title
B. HEIDARI ET AL., J. VAC. SCI. TECHNOL., vol. B 17, no. 6, 1999, pages 2961 - 2964
CORSAT F ET AL: "Imprint Technologies on Conductive Polymers and Metals for Interconnection and Bumping Purposes", 1ST ELECTRONICS SYSTEMINTEGRATION TECHNOLOGY CONFERENCE, IEEE, PI, 1 September 2006 (2006-09-01), pages 1336 - 1341, XP031008551, ISBN: 978-1-4244-0552-7 *
HONG, S. ET AL., MICROELECTRONICS, ENG., vol. 84, 2007, pages 977
J. J. WANG ET AL., J. LIGHTWAVE TECHNOL., vol. 23, 2005, pages 474 - 485
J. K. LUO ET AL., MATER. LETT., vol. 58, 2004, pages 2306 - 2309
J. KOUBA ET AL., J. PHYS. CONFERENCE SERIES, vol. 34, 2006, pages 897
KIM H ET AL: "Fabrication of metallic nano stamp to replicate patterned substrate using electron-beam recording, nanoimprinting, and electroforming", IEEE TRANSACTIONS ON MAGNETICS MAY 2009 INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS INC. USA, vol. 45, no. 5, May 2009 (2009-05-01), pages 2304 - 2307, XP002595495, DOI: DOI:10.1109/TMAG.2009.2016476 *
S. H. HONG ET AL., MICROELECTRON. ENG., vol. 84, 2007, pages 977 - 979
T. HAATAINEN ET AL., MICROELECTRON. ENG., vol. 83, 2006, pages 948 - 950
Y. HIRAI ET AL., JPN. J. APPL. PHYS., vol. 41, 2002, pages 4186
Y. HIRAI ET AL., JPN. J. APPL. PHYS., vol. 41, 2002, pages 4186 - 4189
YOUN ET AL: "A replication process of metallic micro-mold by using parylene embossing and electroplating", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL LNKD- DOI:10.1016/J.MEE.2007.05.005, vol. 85, no. 1, 20 November 2007 (2007-11-20), pages 161 - 167, XP022353975, ISSN: 0167-9317 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3011391B1 (en) * 2013-06-20 2018-07-18 Ev Group E. Thallner GmbH Mould with a mould pattern, and method for producing same
US11131021B2 (en) 2013-06-20 2021-09-28 Ev Group E. Thallner Gmbh Mould with a mould pattern, and device and method for producing same

Also Published As

Publication number Publication date
KR20120124476A (en) 2012-11-13
CN102713752A (en) 2012-10-03
EP2531888A1 (en) 2012-12-12
US20120297856A1 (en) 2012-11-29
JP2013518740A (en) 2013-05-23
KR101698838B1 (en) 2017-01-23

Similar Documents

Publication Publication Date Title
US20120297856A1 (en) Method and process for metallic stamp replication for large area nanopatterns
McClelland et al. Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold
JP5876059B2 (en) Method for fabricating highly ordered nanopillars or nanohole structures on large areas
Lan et al. Nanoimprint lithography
Hirai et al. Nano-imprint lithography using replicated mold by Ni electroforming
EP1731961A1 (en) Template replication method
US20060216413A1 (en) Mold and process of production thereof
KR100693992B1 (en) Nickel stamp structure for providing easy formation of self-assembled monolayer as anti-stiction layer, and manufacturing method thereof
US9139924B2 (en) Systems and processes for forming molds such as nickel molds
CN110891895B (en) Method for micro-and nano-fabrication by selective template removal
JP2010274650A (en) Manufacturing of metal stamp for duplicating technique
Zhou et al. A method for metallic stamp replication using nanoimprinting and electroforming techniques
Asif et al. Comparison of UV-curable materials for high-resolution polymer nanoimprint stamps
JP5272791B2 (en) Manufacturing method of mold for nanoimprint
JP2006303454A (en) Nano imprint mold and methods for manufacturing same, transcribing method of convexo-concave pattern, and manufacturing method of member with concave
JP4889316B2 (en) A manufacturing method of a three-dimensional structure, a three-dimensional structure, an optical element, a stencil mask, a manufacturing method of a finely processed product, and a manufacturing method of a fine pattern molded product.
JP2011093123A (en) Method of manufacturing structure with comb type structure, method of manufacturing mold for molding resin structure, and resin molding
KR101049218B1 (en) Micro pattern formation method using applied pressure elimination
TWI522229B (en) Method and process for metallic stamp replication for large area nanopatterns
KR20190133369A (en) Lithography Method Using Scanning Probe Microscope
KR100927481B1 (en) Method of manufacturing micro-nano metal structures
Sato et al. Development of film mold for roll to roll nanoimprintg process and its application
Park et al. Chemical patterning of sub-50-nm half pitches via nanoimprint lithography
Kreindl et al. Soft UV-NIL at the 12.5 nm Scale
Mizawa et al. Development of Functional Transcript Resin Sheets for Nanoimprint Applications

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080061817.X

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10706563

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 13576411

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2012551510

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127023157

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2010706563

Country of ref document: EP