WO2010042140A3 - Template having alignment marks formed of contrast material - Google Patents

Template having alignment marks formed of contrast material Download PDF

Info

Publication number
WO2010042140A3
WO2010042140A3 PCT/US2009/002959 US2009002959W WO2010042140A3 WO 2010042140 A3 WO2010042140 A3 WO 2010042140A3 US 2009002959 W US2009002959 W US 2009002959W WO 2010042140 A3 WO2010042140 A3 WO 2010042140A3
Authority
WO
WIPO (PCT)
Prior art keywords
alignment marks
contrast material
template
marks formed
high contrast
Prior art date
Application number
PCT/US2009/002959
Other languages
French (fr)
Other versions
WO2010042140A2 (en
Inventor
Kosta Selinidis
Byung-Jin Choi
Gerard Schmid
Ecron Thompson
Ian M. Mcmackin
Original Assignee
Molecular Imprints, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc. filed Critical Molecular Imprints, Inc.
Publication of WO2010042140A2 publication Critical patent/WO2010042140A2/en
Publication of WO2010042140A3 publication Critical patent/WO2010042140A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays

Abstract

Imprint lithography substrates may include alignment marks formed of high contrast material. Exemplary methods for forming alignment marks having high contrast material are described.
PCT/US2009/002959 2008-10-10 2009-05-13 Template having alignment marks formed of contrast material WO2010042140A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10430008P 2008-10-10 2008-10-10
US61/104,300 2008-10-10
US12/464,487 US8012395B2 (en) 2006-04-18 2009-05-12 Template having alignment marks formed of contrast material
US12/464,487 2009-05-12

Publications (2)

Publication Number Publication Date
WO2010042140A2 WO2010042140A2 (en) 2010-04-15
WO2010042140A3 true WO2010042140A3 (en) 2010-06-10

Family

ID=40940363

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/002959 WO2010042140A2 (en) 2008-10-10 2009-05-13 Template having alignment marks formed of contrast material

Country Status (2)

Country Link
US (1) US8012395B2 (en)
WO (1) WO2010042140A2 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
NL2005266A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
JP5769734B2 (en) * 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド Template with high contrast alignment mark
NL2005975A (en) * 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
KR101861644B1 (en) * 2010-09-24 2018-05-28 캐논 나노테크놀로지즈 인코퍼레이티드 High contrast alignment marks through multiple stage imprinting
JP6306501B2 (en) * 2011-04-25 2018-04-04 キヤノン ナノテクノロジーズ,インコーポレーテッド Template and method for aligning a template with a substrate
JP5831012B2 (en) * 2011-07-27 2015-12-09 大日本印刷株式会社 Alignment mark for imprint, template provided with the mark, and manufacturing method thereof
JP2014011254A (en) * 2012-06-28 2014-01-20 Dainippon Printing Co Ltd Alignment mark, template with the mark, and manufacturing method of the template
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
JP5989610B2 (en) 2013-08-05 2016-09-07 株式会社東芝 Mask set design method and mask set design program
SG11201604509UA (en) * 2013-12-10 2016-07-28 Canon Nanotechnologies Inc Imprint lithography template and method for zero-gap imprinting
JP6503211B2 (en) * 2015-03-27 2019-04-17 旭化成株式会社 Imprint molding mold and manufacturing method thereof
JP2016028442A (en) * 2015-10-08 2016-02-25 大日本印刷株式会社 Template
CN105353592B (en) * 2015-11-25 2018-09-21 武汉新芯集成电路制造有限公司 A kind of photoetching process alignment methods
JP6308281B2 (en) * 2016-10-21 2018-04-11 大日本印刷株式会社 Template manufacturing method
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11728173B2 (en) * 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US20230205080A1 (en) * 2021-12-27 2023-06-29 Canon Kabushiki Kaisha Template, method of forming a template, apparatus and method of manufacturing an article

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1760526A1 (en) * 2005-09-06 2007-03-07 Canon Kabushiki Kaisha Mold, imprint method, and process for producing chip
US20080067721A1 (en) * 2006-09-14 2008-03-20 Zhaoning Yu Nanoimprint molds and methods of forming the same

Family Cites Families (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1183056A (en) * 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) * 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (en) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück WATERPROOF PHOTOGRAPHIC PAPER AND METHOD FOR THE PRODUCTION THEREOF
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4637904A (en) * 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
JPS60111425A (en) 1983-11-22 1985-06-17 Toshiba Corp Formation of alignment mark
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
EP0234632B1 (en) 1986-02-13 1991-01-16 Koninklijke Philips Electronics N.V. Matrix for use in a replica process
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) * 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JP2823016B2 (en) 1986-12-25 1998-11-11 ソニー株式会社 Method of manufacturing transmission screen
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
US4908296A (en) * 1988-05-31 1990-03-13 E. I. Du Pont De Nemours And Company Photosensitive semi-aqueous developable ceramic coating composition
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) * 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (en) 1991-05-29 1993-12-24 Solems METHOD, DEVICE AND APPARATUS FOR TREATING A SUBSTRATE WITH A LOW PRESSURE PLASMA.
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (en) 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (en) 1992-04-28 2001-04-16 東京エレクトロン株式会社 Plasma processing equipment
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (en) * 1994-03-14 1995-09-21 Leybold Ag Device for the transport of substrates
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5477058A (en) * 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) * 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
DE69724269T2 (en) 1996-09-06 2004-06-09 Obducat Ab METHOD FOR ANISOTROPE ETCHING STRUCTURES IN CONDUCTIVE MATERIALS
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (en) * 1996-10-23 1998-05-15 Toshiba Corp Liquid crystal display element
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
FI109944B (en) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelectronic component and manufacturing method
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) * 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (en) * 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
US6379573B1 (en) * 1999-07-13 2002-04-30 University Of Honolulu Self-limiting isotropic wet etching process
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6387330B1 (en) * 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP1303792B1 (en) 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
EP2270592B1 (en) 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
AU2001280980A1 (en) 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (en) * 2000-08-19 2002-09-05 삼성전자 주식회사 Metal Via Contact of Semiconductor Devices and Method of Forming it
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
JP2004523906A (en) 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Templates for room-temperature and low-pressure micro and nano-transfer lithography
US6879162B2 (en) * 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
EP1405336A2 (en) 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (en) 2001-05-22 2002-12-04 Sharp Corp Pattern of metal film and manufacturing method therefor
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (en) * 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6965818B2 (en) * 2001-11-28 2005-11-15 Onan Corporation Mobile energy management system
JP2003202584A (en) 2002-01-08 2003-07-18 Toshiba Corp Liquid crystal display device
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
DE10307518B4 (en) 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
KR100486727B1 (en) * 2002-11-14 2005-05-03 삼성전자주식회사 Fabrication method of planar lens
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
TW200500811A (en) * 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
EP1606834B1 (en) 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP4322096B2 (en) 2003-11-14 2009-08-26 Tdk株式会社 RESIST PATTERN FORMING METHOD, MAGNETIC RECORDING MEDIUM, AND MAGNETIC HEAD MANUFACTURING METHOD
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
CN101379435A (en) 2004-06-03 2009-03-04 得克萨斯州大学系统董事会 System and method for improvement of alignment and overlay for microlithography
KR101193918B1 (en) 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 Fluid dispensing and drop-on-demand dispensing for nano-scale menufacturing
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
KR100618861B1 (en) * 2004-09-09 2006-08-31 삼성전자주식회사 Semiconductor device having local recess channel transistor and method of fabricating the same
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7029944B1 (en) * 2004-09-30 2006-04-18 Sharp Laboratories Of America, Inc. Methods of forming a microlens array over a substrate employing a CMP stop
JP2006133334A (en) 2004-11-02 2006-05-25 Seiko Epson Corp Member with recessed part, method for manufacturing member with projection part, the member with projection part, transmission-type screen and rear-type projector
US7292326B2 (en) 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
WO2006060757A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
KR20070086766A (en) 2004-12-01 2007-08-27 몰레큘러 임프린츠 인코퍼레이티드 Methods of exposure for the purpose of thermal management for imprint lithography processes
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
MY144847A (en) * 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
JP5306989B2 (en) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド Method for simultaneously patterning a substrate having a plurality of fields and alignment marks
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
JP5188192B2 (en) * 2007-02-20 2013-04-24 キヤノン株式会社 MOLD, MOLD MANUFACTURING METHOD, IMPRINT APPARATUS, IMPRINT METHOD, AND STRUCTURE MANUFACTURING METHOD USING IMPRINT METHOD
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US20090147237A1 (en) 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US20090148032A1 (en) 2007-12-05 2009-06-11 Molecular Imprints, Inc. Alignment Using Moire Patterns

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1760526A1 (en) * 2005-09-06 2007-03-07 Canon Kabushiki Kaisha Mold, imprint method, and process for producing chip
US20080067721A1 (en) * 2006-09-14 2008-03-20 Zhaoning Yu Nanoimprint molds and methods of forming the same

Also Published As

Publication number Publication date
WO2010042140A2 (en) 2010-04-15
US20090250840A1 (en) 2009-10-08
US8012395B2 (en) 2011-09-06

Similar Documents

Publication Publication Date Title
WO2010042140A3 (en) Template having alignment marks formed of contrast material
EP2162795A4 (en) Solvent-assisted layer formation for imprint lithography
WO2009114438A3 (en) Cyclohexanedimethanamine by direct amination of cyclohexanedimethanol
WO2009121038A3 (en) Shaped films of hydrogels fabricated using templates of patterned paper
WO2010053519A3 (en) Alignment for edge field nano-imprinting
AP2011005757A0 (en) Method for making tactile marks on a substrate.
EP2172320A4 (en) Mold, method for production of the mold, and method for production of substrate having replicated fine pattern
SG133553A1 (en) Alignment for imprint lithography
EG25151A (en) Process for hydrogen production.
TW200943007A (en) Method of providing alignment marks, device manufacturing method and lithographic apparatus
EP2374612A4 (en) Surface metal film material, process for producing surface metal film material, process for producing metal pattern material, and metal pattern material
MX2009012561A (en) Stevioside polymorphic and amorphous forms, methods for their formulation, and uses.
EP2511944A4 (en) Reflective-layer-equipped substrate for euv lithography, reflective mask blank for euv lithography, reflective mask for euv lithography, and process for producing reflective-layer-equipped substrate
WO2010047788A3 (en) Imprint lithography system and method
IL227499A0 (en) Chemical amplification resist composition, resist film using the composition, resist-coated mask blanks, resist pattern forming method, photomask and polymer compound
WO2011097514A3 (en) Templates having high contrast alignment marks
EP2267540A4 (en) Process for producing lithographic printing plate
EP2259137A4 (en) Original plate for lithographic printing plate, and method for production of lithographic printing plate using the same
EP2244127A4 (en) Fine pattern mask, method for producing the same, and method for forming fine pattern using the mask
FR2927083B1 (en) PROCESS FOR PREPARING THERMOPLASTIC COMPOSITIONS BASED ON SOLUBLE AMYLACEOUS MATERIAL.
EP2259141A4 (en) Process for producing lithographic printing plate
WO2011002518A3 (en) Chucking system with recessed support feature
WO2011016849A3 (en) Adjacent field alignment
EP1980578A4 (en) Process for producing modified propylene polymer, modified propylene polymer obtained by the production process, and composition containing the modified propylene polymer
HK1139379A1 (en) Method for the preparation of therapeutically valuable triphenylbutene derivatives

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09788767

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09788767

Country of ref document: EP

Kind code of ref document: A2