WO2010042140A2 - Template having alignment marks formed of contrast material - Google Patents

Template having alignment marks formed of contrast material Download PDF

Info

Publication number
WO2010042140A2
WO2010042140A2 PCT/US2009/002959 US2009002959W WO2010042140A2 WO 2010042140 A2 WO2010042140 A2 WO 2010042140A2 US 2009002959 W US2009002959 W US 2009002959W WO 2010042140 A2 WO2010042140 A2 WO 2010042140A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
patterning
alignment marks
contrast material
template
Prior art date
Application number
PCT/US2009/002959
Other languages
French (fr)
Other versions
WO2010042140A3 (en
Inventor
Kosta Selinidis
Byung-Jin Choi
Gerard Schmid
Ecron Thompson
Ian M. Mcmackin
Original Assignee
Molecular Imprints, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc. filed Critical Molecular Imprints, Inc.
Publication of WO2010042140A2 publication Critical patent/WO2010042140A2/en
Publication of WO2010042140A3 publication Critical patent/WO2010042140A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays

Definitions

  • Nano-fabrication includes the fabrication of very small structures that have features on the order of 100 nanometers or smaller.
  • One application in which nano-fabrication has had a sizeable impact is in the processing of integrated circuits.
  • the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, therefore nano-fabrication becomes increasingly important.
  • Nano- fabrication provides greater process control while allowing continued reduction of the minimum feature dimensions of the structures formed.
  • Other areas of development in which nano-fabrication has been employed include biotechnology, optical technology, mechanical systems, and the like.
  • An exemplary nano-fabrication technique in use today is commonly referred to as imprint lithography. Exemplary imprint lithography processes are described in detail in numerous publications, such as U.S.
  • An imprint lithography technique disclosed in each of the aforementioned U.S. patent publications and patent includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate.
  • the substrate may be coupled to a motion stage to obtain a desired positioning to facilitate the patterning process.
  • the patterning process uses a template spaced apart from the substrate and a formable liquid applied between the template and the substrate.
  • the formable liquid is solidified to form a rigid layer that has a pattern conforming to a shape of the surface of the template that contacts the formable liquid.
  • the template is separated from the rigid layer such that the template and the substrate are spaced apart.
  • the substrate and the solidified layer are then subjected to additional processes to transfer a relief image into the substrate that corresponds to the pattern in the solidified layer.
  • FIG. 1 illustrates a simplified side view of one embodiment of a lithographic system in accordance with the present invention.
  • FIG. 2 illustrates a simplified side view of the substrate shown in
  • FIG. 1 having a patterned layer positioned thereon.
  • FIGS. 3A and 3B illustrate exemplary embodiments of templates having alignment marks.
  • FIG. 4 illustrates a simplified elevation view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along one direction.
  • FIG. 5 illustrates a simplified elevation view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along one direction.
  • FIG. 6 illustrates a top down view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along two transverse directions.
  • FIGS. 7A-7E illustrate exemplary embodiments of templates having alignment marks that are visible during an alignment process.
  • FIGS. 8A-8K illustrate one embodiment of exemplary template formation having alignment marks that are visible during an alignment process.
  • FIGS. 9A and 9B illustrate exemplary embodiments of templates having alignment marks that are visible during an alignment process, the alignment marks having a protective layer.
  • FIGS. 10A-1 OH illustrate another embodiment of exemplary template formation.
  • FIGS. 11A-11 E, FIGS. 12A-12D and FIGS. 13A-13D illustrate exemplary replication processes to form replica templates having high contrast alignment marks.
  • FIG. 14 illustrates a top down view of an alignment mark.
  • FIG. 15A illustrates a magnified view of one embodiment of an alignment mark.
  • FIG. 15B illustrates a magnified view of another embodiment of an alignment mark, the alignment mark fragmented in a line space pattern.
  • FIG. 15C illustrates a magnified view of another embodiment of an alignment mark, the alignment mark fragmented in a square grid pattern.
  • FIG. 16 illustrates alignment marks staggered in a lock and key arrangement on an imprint field.
  • a lithographic system 10 used to form a relief pattern on a substrate 12.
  • Substrate 12 may be coupled to substrate chuck 14.
  • substrate chuck 14 is a vacuum chuck.
  • Substrate chuck 14, however, may be any chuck including, but not limited to, vacuum, pin-type, groove-type, electromagnetic, and/or the like. Exemplary chucks are described in U.S. Patent No. 6,873,087, which is hereby incorporated by reference.
  • Substrate 12 and substrate chuck 14 may be further supported by stage 16.
  • Stage 16 may provide motion along the x-, y-, and z-axes.
  • Stage 16, substrate 12, and substrate chuck 14 may also be positioned on a base (not shown).
  • Template 18 generally includes a mesa 20 extending therefrom towards substrate 12, mesa 20 having a patterning surface 22 thereon. Further, mesa 20 may be referred to as mold 20. Template 18 and/or mold 20 may be formed from such materials including, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire, and/or the like. As illustrated, patterning surface 22 comprises features defined by a plurality of spaced-apart recesses 24 and/or protrusions 26, though embodiments of the present invention are not limited to such configurations. Patterning surface 22 may define any original pattern that forms the basis of a pattern to be formed on substrate 12.
  • Template 18 may be coupled to chuck 28.
  • Chuck 28 may be configured as, but not limited to, vacuum, pin-type, groove-type, electromagnetic, and/or other similar chuck types. Exemplary chucks are further described in U.S. Patent No. 6,873,087, which is hereby incorporated by reference. Further, chuck 28 may be coupled to imprint head 30 such that chuck 28 and/or imprint head 30 may be configured to facilitate movement of template 18.
  • System 10 may further comprise a fluid dispense system 32.
  • Fluid dispense system 32 may be used to deposit polymerizable material 34 on substrate 12.
  • Polymerizable material 34 may be positioned upon substrate 12 using techniques such as drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and/or the like.
  • Polymerizable material 34 may be disposed upon substrate 12 before and/or after a desired volume is defined between mold 22 and substrate 12 depending on design considerations.
  • Polymerizable material 34 may comprise a monomer mixture as described in U.S. Patent No. 7,157,036 and U.S. Patent Publication No. 2005/0187339, all of which are hereby incorporated by reference.
  • system 10 may further comprise an energy source 38 coupled to direct energy 40 along path 42.
  • Imprint head 30 and stage 16 may be configured to position template 18 and substrate 12 in superimposition with path 42.
  • System 10 may be regulated by a processor 54 in communication with stage 16, imprint head 30, fluid dispense system 32, and/or source 38, and may operate on a computer readable program stored in memory 56.
  • Either imprint head 30, stage 16, or both may vary a distance between mold 20 and substrate 12 to define a desired volume therebetween that is filled by polymerizable material 34.
  • imprint head 30 may apply a force to template 18 such that mold 20 contacts polymerizable material 34.
  • source 38 produces energy 40, e.g., broadband ultraviolet radiation, causing polymerizable material 34 to solidify and/or cross-link conforming to shape of a surface 44 of substrate 12 and patterning surface 22, defining a patterned layer 46 on substrate 12.
  • Patterned layer 46 may comprise a residual layer 48 and a plurality of features shown as protrusions 50 and recessions 52, with protrusions 50 having thickness
  • One manner in which to locate the polymerizable material 34 between template 18 and substrate 12 may be by depositing a plurality of droplets of polymerizable material 34 on the surface of substrate 12. Thereafter, polymerizable material 34 may be concurrently contacted by both template 18 and substrate 12, spreading polymerizable material 34 on the surface of substrate 12. During this process, orientation of template 18 to substrate 12 may become a factor.
  • template 18 and/or substrate 12 may include alignment marks 60 to provide suitable orientation. Alignment marks 60 may be formed on patterning surface 22 of template 18 and/or substrate 12 or etched into template 18 and/or substrate 12 as illustrated in FIG. 3B.
  • desired alignment between template 18 and substrate 12 occurs upon alignment mark 60 of the template 18 being in superimposition with alignment mark 90 of the substrate 12.
  • desired alignment between template 18 and substrate 12 has not occurred, shown by the two marks being offset a distance O.
  • offset O is shown as being a linear offset in one direction, it should be understood that the offset may be linear along two directions shown as Oi and O 2 in FIG. 5.
  • the offset between template 18 and substrate 12 may also consist of an angular offset, shown in FIG. 6 as angle ⁇ .
  • Multiple alignment masks may also have other offsets in combination (e.g., magnification, skew, trapezoidal distortions, and the like).
  • the material comprising template 18 may have the same indices of refraction as polymehzable material 34. Even further, the indices of refraction may be in the range of wavelengths used for the alignment process. Alignment marks 60 of template 18 having the same indices of refraction as polymehzable material 34 may cause alignment marks 60 of template 18 to be invisible during the alignment process when in contact with polymehzable material 34. Invisibility of alignment marks 60 of template 18 may hinder the alignment process between template 18 and substrate 12 for patterning of polymehzable material 34. [0034] Isolation of the alignment marks 60 of template 18 and/or substrate
  • a moat (not shown) may be etched into template 18 during the pedestal making process to isolate polymerizable material 34 from alignment marks 60.
  • Moats, channels, and other similar features are further described in U.S. Serial No. 10/917,761 , U.S. Patent No. 7,077,992, U.S. Patent No. 7,041 ,604, U.S. Patent No. 6,916,584, and U.S. Patent No. 7,252,777, which are hereby incorporated by reference.
  • the minimum required space needed for such features may generally be large due to the width of the moat and/or channel, and the distance that may be needed between alignment marks 60 and the edges of mold 20.
  • alignment marks 60 may be formed to provide visibility during the alignment process.
  • FIGS. 7A-7E illustrate side views of embodiments of template 18a that include alignment marks 60a visible during the alignment process.
  • alignment marks 60a may be formed of a high contrast material.
  • High contrast materials may include, but are not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, combinations of these materials and/or the like.
  • High contrast materials may have an optical density suitable for use in alignment marks 60a when provided at thicknesses less than the thickness of patterned layer 48 (e.g., less than 25 nm) illustrated in FIG. 2.
  • a layer of high contrast material in alignment mark 60a may be such that at least a portion of alignment mark 60a resides in polymerizable material 34 during formation of patterned layer 48, and thus alignment mark 60a may not limit proximity of substrate 12 to surface of template 18.
  • Alignment marks 60a may be visible during the alignment process with or without the use of a trench or other similar isolation means. Alignment marks 60a may be embedded within mold 20, as illustrated in FIG. 7A, positioned on patterning surface 22a of mold 20, as illustrated by FIG.
  • FIGS. 7A-7E illustrate variations of template 18a having visible alignment marks 60a during the patterning process.
  • a first portion 61a of alignment marks 60a may be formed of high contrast material while a second portion 63a is substantially absent of high contrast material.
  • the first portion may be integral to alignment mark 60a, or as illustrated in FIGS. 7A and 7B, the first portion may be localized.
  • the first portion having high contrast material may be localized at patterning surface 22a.
  • high contrast material may be used to substantially coat alignment marks 60a.
  • high contrast material may be applied throughout template 18b and not localized on alignment marks 60a.
  • high contrast material may coat patterning surface 48a of template 18b.
  • high contrast material may be integral within template 18b.
  • FIGS. 8A-8K illustrate simplified side views of exemplary formation of template 18a having high-contrast alignment marks 60a.
  • template 18a may be formed from a multi-layer substrate 64 and comprise mesa 20a having high-contrast alignment marks 60a.
  • Contrast material used to form alignment marks 60a may be generally compatible with the imprint process used currently within the industry, and as such, contrast material may be cleaned after imprinting without substantial damage.
  • formation of alignment marks 60a may be within the same processing step as formation of features 24a and/or 24b. Formation within the same processing step may reduce overlay alignment error.
  • multi-layer substrate 64 may generally comprise a substrate layer 70, a contrast material layer 72, a hard mask layer 74, and resist 76.
  • Substrate layer 70 may be formed from materials including, but not limited to, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire, and/or the like.
  • Hard mask layer 74 may be formed from materials including, but not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, and/or the like.
  • Contrast material layer 72 may be formed from materials including, but not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, combinations of these materials and/or the like. It should be noted contrast material layer 72 may serve a dual purpose in that contrast material layer 72 may provide high contrast material for visibility of alignment mark during patterning of substrate 12 and also serve as a hard mask layer in addition to or in lieu of hard mask layer 74. [0044] Referring to FIG. 8B, contrast material layer 72, hard mask layer
  • Multi-layer substrate 64 may be further substantially removed of resist 76 and recoated with resist 78 as shown in FIG. 8C.
  • resist 76 may be removed using techniques including, but not limited to, resist strip and solvent wet processes, oxygen ash etch processes, UV ozonated processes, ozonated water, and the like. It should be noted that the multi-layer substrate 64 may be coated with resist 78 without complete removal of resist 76.
  • FIG. 8D at least a portion of features 24a and 26a of multi-layer substrate 64 may be exposed, as resist 78 substantially blocks (e.g., masks) processing of alignment marks 60a while the remaining features 24a and 26a are unblocked (e.g., unmasked). It should be noted that the steps shown in FIGS. 8C and 8D may be optional. For example, steps shown in FIGS. 8C and 8D may be used to limit the depth of alignment marks 60a. [0046]
  • Features 24a and 26a of multi-layer substrate 64 may be further etched into substrate 70 as illustrated in FIG. 8E. As features 24a and 26a are further etched into substrate 70, resist 78 may substantially block the etch process from altering alignment marks 60a.
  • the multi-layered substrate 64 may further be substantially removed of contrast material layer 72 and hard mask layer 74.
  • Contrast material layer 72 and/or hard mask layer 74 may be removed using techniques including, but not limited to, wet etching, RIE, ERIE, ICP, plasma etching, dry isotropic etching, and the like.
  • chromium based high contrast materials may be removed by wet etching with high selectivity using chromium etchants, such as, for example, Cr7S and Cr9S Chromium based films may also be removed with chlorine and O 2 based plasma processes.
  • the removal process may selectively remove contrast material layer 72 and/or hard mask layer 74 with minimal effects on features 24a and/or 26a. Additionally, as multi-layered substrate 64 is removed of contrast material layer 72 and/or hard mask layer 74, resist 78 may substantially block the removal process from altering alignment marks 60a. Protection provided by resist 78 during removal of contrast material layer 72 and/or hard mask layer 74 may provide alignment marks 60a to be independent from depth of the features 24a and 26a. For example, alignment mark 60a may have a depth Di while protrusions 26a may have a depth D 2 , wherein Di ⁇ D 2 . It should be noted that the step shown in FIG. 8F may be optional as contrast material layer 72 and/or hard mask layer 74 may remain over features 24 and 26.
  • multi-layer substrate 64 may be further substantially stripped of resist 78. Additionally, hard mask layer 74, shielded by resist 78, may be substantially stripped to expose at least a portion of alignment marks 60a.
  • additional processing of multi-layer substrate 64 may provide sidewalls 80a functioning to assist in substantially confining polymerizable material 34 between template 18 and the substrate 12 in the desired volume during polymerization and/or cross-linking as described above (see FIG. 1 ).
  • sidewalls 80a may be formed by methods further described in U.S. Patent Application Serial No. 11/762,278, which is hereby incorporated by reference.
  • the resulting template 18 comprises mesa 20 having alignment marks 60a formed of contrast material.
  • Sidewalls 80a may be formed prior to patterning of alignment marks 60a and/or formed subsequent to patterning of alignment marks 60a.
  • sidewalls 80a may be formed prior to patterning of alignment marks 60a such that sidewalls 80a may be formed from a single substrate in contrast to multi-layer substrate 64 which may simplify formation.
  • FIGS. 8H-8K illustrates an exemplary process wherein sidewalls
  • Multi-layer substrate 64 may be coated with a resist 82 and a hard mask layer 84 as illustrated by FIG. 8H. Portions of resist 82 and hard mask layer 84 are removed such that alignment marks 60a and features 24a and 26a remain substantially shielded by resist 82 and hard mask layer 84 as illustrated by FIG. 8I. During the steps shown in FIGS. 8J-8K, sidewalls 80a may be formed.
  • an protective layer 87 may be used to form alignment marks 60b that are visible during the alignment process.
  • Protective layer 87 may be localized within alignment mark 60b, or as illustrated in FIGS. 9A and 9B, protective layer 87 may coat alignment marks 60b.
  • a first portion 61 b of alignment mark 60b may include high contrast material
  • a second portion 63b of alignment mark 60b may be substantially free of high contrast material
  • protective layer 87 may coat first portion 61 b and second portion 63b of alignment mark 60b.
  • FIG. 10A-10K illustrate simplified side views of another exemplary formation of template 18b from a multi-layered substrate 86b having a protective layer 87 (e.g., oxide layer).
  • the resulting template 18b (see FIG. 10H) formed from multi-layer substrate 86b comprises mesa 20b having high-contrast alignment marks 60b. Additionally, formation of alignment marks 60b may be within the same processing step as formation of features 24b and/of 26b. Formation within the same processing step may reduce overlay alignment error.
  • multi-layer substrate 86b may comprise a substrate layer 70b, a hard mask layer 74b, and a resist layer 76b, and may be patterned to include features 24b and 26b and/or alignment marks 60b.
  • Multilayer substrate 86 may be further substantially stripped of resist 76b as illustrated in FIG. 10B and recoated with protective layer 87 (e.g., oxide layer), as illustrated in FIG. 10C.
  • protective layer 87 e.g., oxide layer
  • a second resist layer 88b may then be placed to substantially shield alignment marks 60b as illustrated in FIG. 10D.
  • Second resist layer 88b may be selectively etched such that a portion of second resist layer 88b protects alignment marks 60b as illustrated in FIG. 10E.
  • features 24b and 26b may be further etched into substrate 70.
  • hard mask layer 74b may be removed.
  • hard mask layer 74b may be removed using techniques including, but not limited to, dry isotropic etching (e.g., xenon diflouride gas), wet etching (e.g., KOH), and the like.
  • dry isotropic etching e.g., xenon diflouride gas
  • wet etching e.g., KOH
  • the multi-layer substrate 86 may be substantially stripped of resist 88 exposing alignment marks 60b to provide template 18b having alignment marks 60b wherein at least a portion of alignment marks 60 may be formed of high contrast material.
  • Additional processing of template 18b may provide sidewalls functioning to assist in substantially confining polymerizable material 34 between template 18b and substrate 12 in the desired volume during polymerization and/or cross-linking as described above (see FIG. 1 ).
  • sidewalls 80b may be formed by methods further described in U.S. Patent Application Serial No. 11/762,278, which is hereby incorporated by reference. It should be noted sidewalls may be formed prior to formation of features 24b and 26b and/or alignment marks 60b or sidewalls may be formed subsequent to formation of features 24b and 26b and/or alignment marks 60b.
  • Alignment marks having high contrast material may also be formed during replica patterning of device to have substantially the same pattern as a master template. Forming master templates using e-beam lithography or other methods may be time consuming and expensive. As such, replicas of the master template may be used as working templates.
  • FIGS. 11A-11 E, FIGS. 12A-12D and FIGS. 13A-13D illustrate exemplary replication processes to form replica templates 18d from master template 18c. Replica templates 18d include high contrast alignment marks 60c.
  • any standard photomask process may be used to create master template 18c including, but not limited to, variable shaped electron beam, Gaussian electron beam, laser writing and other similar processes. Master template 18c may then be used to form features 24c and 26c, as well as alignment marks 60c within substrate 12c as illustrated in FIG. 11 A.
  • imprint lithography techniques such as those described herein, may be used to form features 24c and 26c, as well as alignment marks 60c within substrate 12c.
  • Substrate 12c may include substrate layer 70c, resist layer 76c and a temporary hard mask layer 74c.
  • Hard mask layer 74c may be used to improve resist exposure and etch pattern transfer depending on design considerations. It should be noted, and as described in detail above, hard mask layer 74c may be formed of high contrast material. Alternatively, a separate high contrast material layer may be used in conjunction with hard mask layer 74c to form replica template 18d.
  • features 24c and 26c and/or alignment marks 60c may be further etched in hard mask layer 74c and/or substrate layer 70c. Portions of hard mask layer 74c may removed, as illustrated in FIG. 11C, and features 24c and 26c and/or alignment marks 60c further etched into substrate layer 70c. Resist may be removed to form replica template 18d having alignment marks 60c with at least a portion of alignment marks 60c being formed of high contrast material.
  • Replica template 18d may be further processed localizing high contrast material.
  • replica template 18d may be further processed such that only alignment marks 60c include high contrast material.
  • FIGS. 12A- 12D illustrate an exemplary process for localizing high contrast material in alignment marks 60c.
  • a second resist layer 88c may be positioned (e.g., imprinted) on replica template 18d.
  • Second resist layer 88c may include one or more alignment blocks 91.
  • Alignment blocks 91 may be positioned in superimposition with alignment marks 60c to substantially block removal of high contrast material from alignment marks 60 during etching and/or stripping.
  • second resist layer 88c may be stripped exposing at least a portion of hard mask layer 74c of features 26c. Etching may remove a first portion of hard mask layer 74c as illustrated in FIG. 12C while alignment blocks 91 may block etching of at least a second portion of hard mask layer 74c. For example, alignment blocks 91 may block alignment marks 60c from having hard mask layer 74c removed. Resist layer 88c may then be removed providing replica template 18d having high contrast material localized in alignment marks 60c.
  • FIGS. 13A-13D illustrate another exemplary process for localizing high contrast material in alignment marks 60c of template 18d.
  • a second resist layer 88d may be may be positioned on replica template 18d using techniques such as spin-coating. Second resist layer 88d may be developed to form alignment blocks 91a that substantially block alignment marks 60c from further processing.
  • hard mask layer 74c may be stripped while alignment blocks 91a substantially block stripping of hard mask layer 74c of alignment marks 60c. Resist layer 88d may then be removed providing replica template 18d having high contrast material localized in alignment marks 60c.
  • Alignment marks 60a and/or 60b formed of contrast material may provide enough visibility to perform alignment even in the presence of polymerizable material 34. Additionally, as illustrated in FIG. 14 and FIGS. 15A and 15B, features 92 may be altered in an effort to pass more UV wavelength, while providing longer wavelengths of energy used for alignment to be absorbed, reflected, and/or diffracted. For example, as illustrated in FIG. 14 and more particularly in FIG. 15A, alignment marks 60a may generally have features 92 around 1 ⁇ m in width. These features 92 may be fragmented into small repeating sub-features 94 ranging in size from 50 nm to 200 nm. Fragmentation of alignment marks 60a may reduce the contact area of the contrast material to polymerizable material 34 (see FIG. 1 ) during curing.
  • FIGS. 15B and 15C illustrate two embodiments of alignment marks
  • features 92a of alignment mark 60a are fragmented by line space to provide repeating line sub-features 94 ranging in size from 50 nm to 200 nm.
  • features 92b of alignment mark 60b are fragmented in square grid pattern sub-features 94 providing repeating squares ranging in size from 50 nm to 200 nm.
  • Space allocation for alignment marks 60 may be further reduced by staggering alignment marks 60.
  • alignments marks 60 are staggered in a lock and key arrangement on an imprint field 96.
  • the staggered layout on the imprint field 96 may utilize the same horizontal scribe spacing for alignment marks 60 placed in the top and bottom perimeter edges of the field 96.
  • the same vertical scribe spacing may be used for the alignment marks 60 placed in the left and right perimeter edges of the field 96.
  • the staggered layout reduces the scribe width while maintaining alignment marks 60 in each of the four corners 98a, 98b, 98c, and 98d of each section 100a-100d of the imprint field 96.
  • alignment marks 60 in corners 98b and 98d of section 100a align in a lock and key arrangement with alignment marks 60 in corners 98a and 98c of section 100b.
  • alignment marks 60 in corners 98c and 98d of section 100a align in a lock and key arrangement with alignment marks 60 in corners 98a and 98b of section 100c.
  • the staggered layout on the imprint field 96 may include the use of alignment marks 60 formed of contrast material. Additionally, or in lieu of the high-contrast material, the staggered layout on the imprint field 96 may include the use of moats as described in U.S. Patent Application Serial No. 10/917,761 , which is hereby incorporated by reference.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Abstract

Imprint lithography substrates may include alignment marks formed of high contrast material. Exemplary methods for forming alignment marks having high contrast material are described.

Description

TEMPLATE HAVING ALIGNMENT MARKS FORMED OF CONTRAST MATERIAL
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This application claims the benefit under 35 U.S.C. § 119(e)(1 ) of
U.S. Provisional No. 61/104,300, filed October 10, 2008, which is hereby incorporated by reference. This application claims the benefit of U.S. Application Serial No. 12/464,487 filed May 12, 2009, which is hereby incorporated by reference.
BACKGROUND INFORMATION
[0002] Nano-fabrication includes the fabrication of very small structures that have features on the order of 100 nanometers or smaller. One application in which nano-fabrication has had a sizeable impact is in the processing of integrated circuits. The semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, therefore nano-fabrication becomes increasingly important. Nano- fabrication provides greater process control while allowing continued reduction of the minimum feature dimensions of the structures formed. Other areas of development in which nano-fabrication has been employed include biotechnology, optical technology, mechanical systems, and the like. [0003] An exemplary nano-fabrication technique in use today is commonly referred to as imprint lithography. Exemplary imprint lithography processes are described in detail in numerous publications, such as U.S. Patent Publication No. 2004/0065976, U.S. Patent Publication No. 2004/0065252, and U.S. Patent No. 6,936,194, all of which are hereby incorporated by reference. [0004] An imprint lithography technique disclosed in each of the aforementioned U.S. patent publications and patent, includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate. The substrate may be coupled to a motion stage to obtain a desired positioning to facilitate the patterning process. The patterning process uses a template spaced apart from the substrate and a formable liquid applied between the template and the substrate. The formable liquid is solidified to form a rigid layer that has a pattern conforming to a shape of the surface of the template that contacts the formable liquid. After solidification, the template is separated from the rigid layer such that the template and the substrate are spaced apart. The substrate and the solidified layer are then subjected to additional processes to transfer a relief image into the substrate that corresponds to the pattern in the solidified layer.
BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS [0005] So that the present invention may be understood in more detail, a description of embodiments of the invention is provided with reference to the embodiments illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention, and are therefore not to be considered limiting of the scope. [0006] FIG. 1 illustrates a simplified side view of one embodiment of a lithographic system in accordance with the present invention. [0007] FIG. 2 illustrates a simplified side view of the substrate shown in
FIG. 1 having a patterned layer positioned thereon.
[0008] FIGS. 3A and 3B illustrate exemplary embodiments of templates having alignment marks.
[0009] FIG. 4 illustrates a simplified elevation view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along one direction.
[0010] FIG. 5 illustrates a simplified elevation view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along one direction.
[0011] FIG. 6 illustrates a top down view of the template in superimposition with the substrate, both shown in FIG. 1 , showing misalignment along two transverse directions.
[0012] FIGS. 7A-7E illustrate exemplary embodiments of templates having alignment marks that are visible during an alignment process.
[0013] FIGS. 8A-8K illustrate one embodiment of exemplary template formation having alignment marks that are visible during an alignment process.
[0014] FIGS. 9A and 9B illustrate exemplary embodiments of templates having alignment marks that are visible during an alignment process, the alignment marks having a protective layer.
[0015] FIGS. 10A-1 OH illustrate another embodiment of exemplary template formation. [0016] FIGS. 11A-11 E, FIGS. 12A-12D and FIGS. 13A-13D illustrate exemplary replication processes to form replica templates having high contrast alignment marks.
[0017] FIG. 14 illustrates a top down view of an alignment mark.
[0018] FIG. 15A illustrates a magnified view of one embodiment of an alignment mark.
[0019] FIG. 15B illustrates a magnified view of another embodiment of an alignment mark, the alignment mark fragmented in a line space pattern.
[0020] FIG. 15C illustrates a magnified view of another embodiment of an alignment mark, the alignment mark fragmented in a square grid pattern.
[0021] FIG. 16 illustrates alignment marks staggered in a lock and key arrangement on an imprint field.
DETAILED DESCRIPTION
[0022] Referring to the figures, and particularly to FIG. 1 , illustrated therein is a lithographic system 10 used to form a relief pattern on a substrate 12. Substrate 12 may be coupled to substrate chuck 14. As illustrated, substrate chuck 14 is a vacuum chuck. Substrate chuck 14, however, may be any chuck including, but not limited to, vacuum, pin-type, groove-type, electromagnetic, and/or the like. Exemplary chucks are described in U.S. Patent No. 6,873,087, which is hereby incorporated by reference.
[0023] Substrate 12 and substrate chuck 14 may be further supported by stage 16. Stage 16 may provide motion along the x-, y-, and z-axes. Stage 16, substrate 12, and substrate chuck 14 may also be positioned on a base (not shown).
[0024] Spaced-apart from substrate 12 is a template 18. Template 18 generally includes a mesa 20 extending therefrom towards substrate 12, mesa 20 having a patterning surface 22 thereon. Further, mesa 20 may be referred to as mold 20. Template 18 and/or mold 20 may be formed from such materials including, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire, and/or the like. As illustrated, patterning surface 22 comprises features defined by a plurality of spaced-apart recesses 24 and/or protrusions 26, though embodiments of the present invention are not limited to such configurations. Patterning surface 22 may define any original pattern that forms the basis of a pattern to be formed on substrate 12.
[0025] Template 18 may be coupled to chuck 28. Chuck 28 may be configured as, but not limited to, vacuum, pin-type, groove-type, electromagnetic, and/or other similar chuck types. Exemplary chucks are further described in U.S. Patent No. 6,873,087, which is hereby incorporated by reference. Further, chuck 28 may be coupled to imprint head 30 such that chuck 28 and/or imprint head 30 may be configured to facilitate movement of template 18.
[0026] System 10 may further comprise a fluid dispense system 32. Fluid dispense system 32 may be used to deposit polymerizable material 34 on substrate 12. Polymerizable material 34 may be positioned upon substrate 12 using techniques such as drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and/or the like. Polymerizable material 34 may be disposed upon substrate 12 before and/or after a desired volume is defined between mold 22 and substrate 12 depending on design considerations. Polymerizable material 34 may comprise a monomer mixture as described in U.S. Patent No. 7,157,036 and U.S. Patent Publication No. 2005/0187339, all of which are hereby incorporated by reference.
[0027] Referring to FIGS. 1 and 2, system 10 may further comprise an energy source 38 coupled to direct energy 40 along path 42. Imprint head 30 and stage 16 may be configured to position template 18 and substrate 12 in superimposition with path 42. System 10 may be regulated by a processor 54 in communication with stage 16, imprint head 30, fluid dispense system 32, and/or source 38, and may operate on a computer readable program stored in memory 56.
[0028] Either imprint head 30, stage 16, or both may vary a distance between mold 20 and substrate 12 to define a desired volume therebetween that is filled by polymerizable material 34. For example, imprint head 30 may apply a force to template 18 such that mold 20 contacts polymerizable material 34. After the desired volume is filled with polymerizable material 34, source 38 produces energy 40, e.g., broadband ultraviolet radiation, causing polymerizable material 34 to solidify and/or cross-link conforming to shape of a surface 44 of substrate 12 and patterning surface 22, defining a patterned layer 46 on substrate 12. Patterned layer 46 may comprise a residual layer 48 and a plurality of features shown as protrusions 50 and recessions 52, with protrusions 50 having thickness
U and residual layer having a thickness t2.
[0029] The above-mentioned system and process may be further employed in imprint lithography processes and systems referred to in U.S. Patent
No. 6,932,934, U.S. Patent Publication No. 2004/0124566, U.S. Patent
Publication No. 2004/0188381 , and U.S. Patent Publication No. 2004/0211754, each of which is hereby incorporated by reference.
[0030] One manner in which to locate the polymerizable material 34 between template 18 and substrate 12 may be by depositing a plurality of droplets of polymerizable material 34 on the surface of substrate 12. Thereafter, polymerizable material 34 may be concurrently contacted by both template 18 and substrate 12, spreading polymerizable material 34 on the surface of substrate 12. During this process, orientation of template 18 to substrate 12 may become a factor.
[0031] Referring to FIG. 3, template 18 and/or substrate 12 may include alignment marks 60 to provide suitable orientation. Alignment marks 60 may be formed on patterning surface 22 of template 18 and/or substrate 12 or etched into template 18 and/or substrate 12 as illustrated in FIG. 3B.
[0032] Referring to FIG. 4, it is assumed that desired alignment between template 18 and substrate 12 occurs upon alignment mark 60 of the template 18 being in superimposition with alignment mark 90 of the substrate 12. For example, in FIG. 4, desired alignment between template 18 and substrate 12 has not occurred, shown by the two marks being offset a distance O. Further, although offset O is shown as being a linear offset in one direction, it should be understood that the offset may be linear along two directions shown as Oi and O2 in FIG. 5. In addition to, or instead of, the aforementioned linear offset in one or two directions, the offset between template 18 and substrate 12 may also consist of an angular offset, shown in FIG. 6 as angle Θ. Multiple alignment masks may also have other offsets in combination (e.g., magnification, skew, trapezoidal distortions, and the like).
[0033] The material comprising template 18 may have the same indices of refraction as polymehzable material 34. Even further, the indices of refraction may be in the range of wavelengths used for the alignment process. Alignment marks 60 of template 18 having the same indices of refraction as polymehzable material 34 may cause alignment marks 60 of template 18 to be invisible during the alignment process when in contact with polymehzable material 34. Invisibility of alignment marks 60 of template 18 may hinder the alignment process between template 18 and substrate 12 for patterning of polymehzable material 34. [0034] Isolation of the alignment marks 60 of template 18 and/or substrate
12 from polymehzable material 34 may facilitate visibility during the alignment process. For example, a moat (not shown) may be etched into template 18 during the pedestal making process to isolate polymerizable material 34 from alignment marks 60. Moats, channels, and other similar features are further described in U.S. Serial No. 10/917,761 , U.S. Patent No. 7,077,992, U.S. Patent No. 7,041 ,604, U.S. Patent No. 6,916,584, and U.S. Patent No. 7,252,777, which are hereby incorporated by reference. The minimum required space needed for such features, however, may generally be large due to the width of the moat and/or channel, and the distance that may be needed between alignment marks 60 and the edges of mold 20.
[0035] Instead of isolating alignment marks 60 from polymerizable material
34, alignment marks 60 may be formed to provide visibility during the alignment process. For simplicity in description, the following describes formation and use of template alignment marks, however, as one skilled in art would recognize, alignment marks having characteristics and formations as described herein may be provided in substrate 12. FIGS. 7A-7E illustrate side views of embodiments of template 18a that include alignment marks 60a visible during the alignment process. Generally, alignment marks 60a may be formed of a high contrast material. High contrast materials may include, but are not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, combinations of these materials and/or the like.
[0036] High contrast materials may have an optical density suitable for use in alignment marks 60a when provided at thicknesses less than the thickness of patterned layer 48 (e.g., less than 25 nm) illustrated in FIG. 2. For example, a layer of high contrast material in alignment mark 60a may be such that at least a portion of alignment mark 60a resides in polymerizable material 34 during formation of patterned layer 48, and thus alignment mark 60a may not limit proximity of substrate 12 to surface of template 18. [0037] Alignment marks 60a may be visible during the alignment process with or without the use of a trench or other similar isolation means. Alignment marks 60a may be embedded within mold 20, as illustrated in FIG. 7A, positioned on patterning surface 22a of mold 20, as illustrated by FIG. 7C, or a combination of both on patterning surface 22a and imbedded within mold 20. [0038] FIGS. 7A-7E illustrate variations of template 18a having visible alignment marks 60a during the patterning process. For example, in FIGS. 7A and 7B, a first portion 61a of alignment marks 60a may be formed of high contrast material while a second portion 63a is substantially absent of high contrast material. The first portion may be integral to alignment mark 60a, or as illustrated in FIGS. 7A and 7B, the first portion may be localized. For example, as illustrated in FIG. 7A, the first portion having high contrast material may be localized at patterning surface 22a. Alternatively, as illustrated in FIG. 7E, high contrast material may be used to substantially coat alignment marks 60a. [0039] As illustrated in FIGS. 7B and 7C, high contrast material may be applied throughout template 18b and not localized on alignment marks 60a. For example, high contrast material may coat patterning surface 48a of template 18b. Alternatively, high contrast material may be integral within template 18b. [0040] FIGS. 8A-8K illustrate simplified side views of exemplary formation of template 18a having high-contrast alignment marks 60a. For example, template 18a may be formed from a multi-layer substrate 64 and comprise mesa 20a having high-contrast alignment marks 60a. Contrast material used to form alignment marks 60a may be generally compatible with the imprint process used currently within the industry, and as such, contrast material may be cleaned after imprinting without substantial damage. Additionally, formation of alignment marks 60a may be within the same processing step as formation of features 24a and/or 24b. Formation within the same processing step may reduce overlay alignment error.
[0041] As illustrated in FIG. 8A, multi-layer substrate 64 may generally comprise a substrate layer 70, a contrast material layer 72, a hard mask layer 74, and resist 76. Substrate layer 70 may be formed from materials including, but not limited to, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire, and/or the like. [0042] Hard mask layer 74 may be formed from materials including, but not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, and/or the like.
[0043] Contrast material layer 72 may be formed from materials including, but not limited to, tantalum, tantalum nitride, tungsten, silicon carbide, amorphous silicon, chromium, chromium nitride, molybdenum, molybdenum suicide, titanium, titanium nitride, combinations of these materials and/or the like. It should be noted contrast material layer 72 may serve a dual purpose in that contrast material layer 72 may provide high contrast material for visibility of alignment mark during patterning of substrate 12 and also serve as a hard mask layer in addition to or in lieu of hard mask layer 74. [0044] Referring to FIG. 8B, contrast material layer 72, hard mask layer
74, and resist 76 may be patterned to include primary features (e.g., recessions 24a and protrusions 26a) and/or alignment marks 60a. Multi-layer substrate 64 may be further substantially removed of resist 76 and recoated with resist 78 as shown in FIG. 8C. For example, resist 76 may be removed using techniques including, but not limited to, resist strip and solvent wet processes, oxygen ash etch processes, UV ozonated processes, ozonated water, and the like. It should be noted that the multi-layer substrate 64 may be coated with resist 78 without complete removal of resist 76.
[0045] Referring to FIG. 8D, at least a portion of features 24a and 26a of multi-layer substrate 64 may be exposed, as resist 78 substantially blocks (e.g., masks) processing of alignment marks 60a while the remaining features 24a and 26a are unblocked (e.g., unmasked). It should be noted that the steps shown in FIGS. 8C and 8D may be optional. For example, steps shown in FIGS. 8C and 8D may be used to limit the depth of alignment marks 60a. [0046] Features 24a and 26a of multi-layer substrate 64 may be further etched into substrate 70 as illustrated in FIG. 8E. As features 24a and 26a are further etched into substrate 70, resist 78 may substantially block the etch process from altering alignment marks 60a.
[0047] As illustrated in FIG. 8F, the multi-layered substrate 64 may further be substantially removed of contrast material layer 72 and hard mask layer 74. Contrast material layer 72 and/or hard mask layer 74 may be removed using techniques including, but not limited to, wet etching, RIE, ERIE, ICP, plasma etching, dry isotropic etching, and the like. For example, chromium based high contrast materials may be removed by wet etching with high selectivity using chromium etchants, such as, for example, Cr7S and Cr9S Chromium based films may also be removed with chlorine and O2 based plasma processes. [0048] The removal process may selectively remove contrast material layer 72 and/or hard mask layer 74 with minimal effects on features 24a and/or 26a. Additionally, as multi-layered substrate 64 is removed of contrast material layer 72 and/or hard mask layer 74, resist 78 may substantially block the removal process from altering alignment marks 60a. Protection provided by resist 78 during removal of contrast material layer 72 and/or hard mask layer 74 may provide alignment marks 60a to be independent from depth of the features 24a and 26a. For example, alignment mark 60a may have a depth Di while protrusions 26a may have a depth D2, wherein Di ≠D2. It should be noted that the step shown in FIG. 8F may be optional as contrast material layer 72 and/or hard mask layer 74 may remain over features 24 and 26.
[0049] As illustrated in FIG. 8G, multi-layer substrate 64 may be further substantially stripped of resist 78. Additionally, hard mask layer 74, shielded by resist 78, may be substantially stripped to expose at least a portion of alignment marks 60a.
[0050] As illustrated in FIGS. 8H-8K, additional processing of multi-layer substrate 64 may provide sidewalls 80a functioning to assist in substantially confining polymerizable material 34 between template 18 and the substrate 12 in the desired volume during polymerization and/or cross-linking as described above (see FIG. 1 ). For example, sidewalls 80a may be formed by methods further described in U.S. Patent Application Serial No. 11/762,278, which is hereby incorporated by reference. The resulting template 18 comprises mesa 20 having alignment marks 60a formed of contrast material. Sidewalls 80a may be formed prior to patterning of alignment marks 60a and/or formed subsequent to patterning of alignment marks 60a. For example, sidewalls 80a may be formed prior to patterning of alignment marks 60a such that sidewalls 80a may be formed from a single substrate in contrast to multi-layer substrate 64 which may simplify formation.
[0051] FIGS. 8H-8K illustrates an exemplary process wherein sidewalls
80a may be formed in multi-layer substrate 64 subsequent to patterning of alignment marks 60a. Multi-layer substrate 64 may be coated with a resist 82 and a hard mask layer 84 as illustrated by FIG. 8H. Portions of resist 82 and hard mask layer 84 are removed such that alignment marks 60a and features 24a and 26a remain substantially shielded by resist 82 and hard mask layer 84 as illustrated by FIG. 8I. During the steps shown in FIGS. 8J-8K, sidewalls 80a may be formed.
[0052] Referring to FIGS. 9A and 9B, an protective layer 87 may be used to form alignment marks 60b that are visible during the alignment process. Protective layer 87 may be localized within alignment mark 60b, or as illustrated in FIGS. 9A and 9B, protective layer 87 may coat alignment marks 60b. For example, in FIG. 9A, a first portion 61 b of alignment mark 60b may include high contrast material, a second portion 63b of alignment mark 60b may be substantially free of high contrast material, and protective layer 87 may coat first portion 61 b and second portion 63b of alignment mark 60b. [0053] FIGS. 10A-10K illustrate simplified side views of another exemplary formation of template 18b from a multi-layered substrate 86b having a protective layer 87 (e.g., oxide layer). The resulting template 18b (see FIG. 10H) formed from multi-layer substrate 86b comprises mesa 20b having high-contrast alignment marks 60b. Additionally, formation of alignment marks 60b may be within the same processing step as formation of features 24b and/of 26b. Formation within the same processing step may reduce overlay alignment error. [0054] As illustrated in FIG. 10A, multi-layer substrate 86b may comprise a substrate layer 70b, a hard mask layer 74b, and a resist layer 76b, and may be patterned to include features 24b and 26b and/or alignment marks 60b. Multilayer substrate 86 may be further substantially stripped of resist 76b as illustrated in FIG. 10B and recoated with protective layer 87 (e.g., oxide layer), as illustrated in FIG. 10C. A second resist layer 88b may then be placed to substantially shield alignment marks 60b as illustrated in FIG. 10D. Second resist layer 88b may be selectively etched such that a portion of second resist layer 88b protects alignment marks 60b as illustrated in FIG. 10E.
[0055] As illustrated in FIG. 10F, features 24b and 26b may be further etched into substrate 70. As illustrated in FIG. 10G, hard mask layer 74b may be removed. For example, hard mask layer 74b may be removed using techniques including, but not limited to, dry isotropic etching (e.g., xenon diflouride gas), wet etching (e.g., KOH), and the like. [0056] As illustrated in FIG. 10H1 the multi-layer substrate 86 may be substantially stripped of resist 88 exposing alignment marks 60b to provide template 18b having alignment marks 60b wherein at least a portion of alignment marks 60 may be formed of high contrast material.
[0057] Additional processing of template 18b may provide sidewalls functioning to assist in substantially confining polymerizable material 34 between template 18b and substrate 12 in the desired volume during polymerization and/or cross-linking as described above (see FIG. 1 ). For example, sidewalls 80b may be formed by methods further described in U.S. Patent Application Serial No. 11/762,278, which is hereby incorporated by reference. It should be noted sidewalls may be formed prior to formation of features 24b and 26b and/or alignment marks 60b or sidewalls may be formed subsequent to formation of features 24b and 26b and/or alignment marks 60b.
[0058] Alignment marks having high contrast material may also be formed during replica patterning of device to have substantially the same pattern as a master template. Forming master templates using e-beam lithography or other methods may be time consuming and expensive. As such, replicas of the master template may be used as working templates. FIGS. 11A-11 E, FIGS. 12A-12D and FIGS. 13A-13D illustrate exemplary replication processes to form replica templates 18d from master template 18c. Replica templates 18d include high contrast alignment marks 60c.
[0059] For example, as illustrated in FIGS. 11A-11 E, any standard photomask process may be used to create master template 18c including, but not limited to, variable shaped electron beam, Gaussian electron beam, laser writing and other similar processes. Master template 18c may then be used to form features 24c and 26c, as well as alignment marks 60c within substrate 12c as illustrated in FIG. 11 A. For example, imprint lithography techniques, such as those described herein, may be used to form features 24c and 26c, as well as alignment marks 60c within substrate 12c.
[0060] Substrate 12c may include substrate layer 70c, resist layer 76c and a temporary hard mask layer 74c. Hard mask layer 74c may be used to improve resist exposure and etch pattern transfer depending on design considerations. It should be noted, and as described in detail above, hard mask layer 74c may be formed of high contrast material. Alternatively, a separate high contrast material layer may be used in conjunction with hard mask layer 74c to form replica template 18d.
[0061] As illustrated in FIG. 11 B, features 24c and 26c and/or alignment marks 60c may be further etched in hard mask layer 74c and/or substrate layer 70c. Portions of hard mask layer 74c may removed, as illustrated in FIG. 11C, and features 24c and 26c and/or alignment marks 60c further etched into substrate layer 70c. Resist may be removed to form replica template 18d having alignment marks 60c with at least a portion of alignment marks 60c being formed of high contrast material.
[0062] Replica template 18d may be further processed localizing high contrast material. For example, replica template 18d may be further processed such that only alignment marks 60c include high contrast material. FIGS. 12A- 12D illustrate an exemplary process for localizing high contrast material in alignment marks 60c.
[0063] As illustrated in FIG. 12A, a second resist layer 88c may be positioned (e.g., imprinted) on replica template 18d. Second resist layer 88c may include one or more alignment blocks 91. Alignment blocks 91 may be positioned in superimposition with alignment marks 60c to substantially block removal of high contrast material from alignment marks 60 during etching and/or stripping.
[0064] Referring to FIG. 12B, second resist layer 88c may be stripped exposing at least a portion of hard mask layer 74c of features 26c. Etching may remove a first portion of hard mask layer 74c as illustrated in FIG. 12C while alignment blocks 91 may block etching of at least a second portion of hard mask layer 74c. For example, alignment blocks 91 may block alignment marks 60c from having hard mask layer 74c removed. Resist layer 88c may then be removed providing replica template 18d having high contrast material localized in alignment marks 60c.
[0065] FIGS. 13A-13D illustrate another exemplary process for localizing high contrast material in alignment marks 60c of template 18d. As illustrated in FIG. 13A, a second resist layer 88d may be may be positioned on replica template 18d using techniques such as spin-coating. Second resist layer 88d may be developed to form alignment blocks 91a that substantially block alignment marks 60c from further processing. As illustrated in FIG. 13C, hard mask layer 74c may be stripped while alignment blocks 91a substantially block stripping of hard mask layer 74c of alignment marks 60c. Resist layer 88d may then be removed providing replica template 18d having high contrast material localized in alignment marks 60c.
[0066] Alignment marks 60a and/or 60b formed of contrast material may provide enough visibility to perform alignment even in the presence of polymerizable material 34. Additionally, as illustrated in FIG. 14 and FIGS. 15A and 15B, features 92 may be altered in an effort to pass more UV wavelength, while providing longer wavelengths of energy used for alignment to be absorbed, reflected, and/or diffracted. For example, as illustrated in FIG. 14 and more particularly in FIG. 15A, alignment marks 60a may generally have features 92 around 1 μm in width. These features 92 may be fragmented into small repeating sub-features 94 ranging in size from 50 nm to 200 nm. Fragmentation of alignment marks 60a may reduce the contact area of the contrast material to polymerizable material 34 (see FIG. 1 ) during curing.
[0067] FIGS. 15B and 15C illustrate two embodiments of alignment marks
60a and 60b having altered pitch. In FIG. 15B, features 92a of alignment mark 60a are fragmented by line space to provide repeating line sub-features 94 ranging in size from 50 nm to 200 nm. In FIG. 15C, features 92b of alignment mark 60b are fragmented in square grid pattern sub-features 94 providing repeating squares ranging in size from 50 nm to 200 nm.
[0068] Space allocation for alignment marks 60 may be further reduced by staggering alignment marks 60. For example, as illustrated in FIG. 16, alignments marks 60 are staggered in a lock and key arrangement on an imprint field 96. The staggered layout on the imprint field 96 may utilize the same horizontal scribe spacing for alignment marks 60 placed in the top and bottom perimeter edges of the field 96. Similarly, the same vertical scribe spacing may be used for the alignment marks 60 placed in the left and right perimeter edges of the field 96. The staggered layout reduces the scribe width while maintaining alignment marks 60 in each of the four corners 98a, 98b, 98c, and 98d of each section 100a-100d of the imprint field 96. For example, alignment marks 60 in corners 98b and 98d of section 100a align in a lock and key arrangement with alignment marks 60 in corners 98a and 98c of section 100b. In a related matter, alignment marks 60 in corners 98c and 98d of section 100a align in a lock and key arrangement with alignment marks 60 in corners 98a and 98b of section 100c.
[0069] The staggered layout on the imprint field 96 may include the use of alignment marks 60 formed of contrast material. Additionally, or in lieu of the high-contrast material, the staggered layout on the imprint field 96 may include the use of moats as described in U.S. Patent Application Serial No. 10/917,761 , which is hereby incorporated by reference.

Claims

WHAT IS CLAIMED IS:
1. A method of patterning a substrate using nano-lithographic processes, comprising: patterning a first portion of the substrate with a plurality of recessions and a plurality of protrusions; patterning a second portion of the substrate with at least one alignment mark, at least a portion of the alignment mark being formed of high contrast material; wherein the first portion of the substrate and the second portion of the substrate are patterned within the same nano-lithographic process step.
2. The method of claim 1 , wherein an etch depth of the first portion of the substrate is independent of an etch depth of the second portion of the substrate.
3. The method of any one of claims 1 or 2, wherein patterning of the first portion of the substrate further includes applying a resist layer in superimposition with the second portion of the substrate such that the etch depth of the first portion of the substrate is independent of the etch depth of the second portion of the substrate.
4. The method of any one of claims 1-3, wherein the alignment mark includes a protective layer positioned adjacent to the high contrast material.
5. The method of claim 4, wherein the protective layer is an oxide layer.
6. The method of any one of claims 1-5, wherein the substrate includes the high contrast material and patterning of the second portion includes etching of substrate including the high contrast material to form the alignment mark.
7. The method of claim 6, wherein the substrate further includes a hard mask layer.
8. The method of any one of claims 1-5, wherein the patterning of the second portion includes depositing high contrast material on the alignment mark.
9. The method of any one of claims 1-8, further comprising patterning a sidewall on the substrate.
10. The method of claim 9, wherein the sidewall is patterned on the substrate prior to patterning of the first portion of the substrate.
11. The method of claim 9, wherein the sidewall is patterned on the substrate subsequent to patterning of the first portion of the substrate.
12. The method of any one of claims 1-11 , wherein the alignment marks are fragmented.
13. The method of claim 12, wherein the alignment marks are fragmented by line space.
14. The method of claim 12, wherein the alignment marks are fragmented in a square grid pattern.
15. The method of any one of claims 1-14, wherein formation of the alignment marks are staggered in a lock and key arrangement on an imprint field.
16. The method of any one of claims 1-15, wherein the first portion of the substrate and the second portion of the substrate are patterned to form a replica template.
17. The method of any one of claims 1-16, wherein the substrate is a nano- lithographic template.
18. The method of any one of claims 1-17, wherein at least a portion of the protrusions include high contrast material.
19. A method of forming a nano-lithography template, comprising: patterning a first portion of a substrate with a plurality of recessions and a plurality of protrusions, at least a portion of the substrate formed of high contrast material; patterning a second portion of the substrate with at least one alignment mark, wherein the first portion of the substrate and the second portion of the substrate are patterned within the same nano-lithographic patterning step; positioning alignment blocks in superimposition with the alignment mark; removing high contrast material from the first portion of the substrate; removing alignment blocks to form the nano-lithographic template, wherein the high contrast material is localized in the alignment mark.
20. A method of patterning a nano-lithographic substrate, comprising: patterning the substrate with a plurality of recessions and a plurality of protrusions while simultaneously patterning at least one alignment mark in the substrate, the alignment mark including high contrast material visible during imprint lithography patterning of polymerizable material.
PCT/US2009/002959 2008-10-10 2009-05-13 Template having alignment marks formed of contrast material WO2010042140A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10430008P 2008-10-10 2008-10-10
US61/104,300 2008-10-10
US12/464,487 2009-05-12
US12/464,487 US8012395B2 (en) 2006-04-18 2009-05-12 Template having alignment marks formed of contrast material

Publications (2)

Publication Number Publication Date
WO2010042140A2 true WO2010042140A2 (en) 2010-04-15
WO2010042140A3 WO2010042140A3 (en) 2010-06-10

Family

ID=40940363

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/002959 WO2010042140A2 (en) 2008-10-10 2009-05-13 Template having alignment marks formed of contrast material

Country Status (2)

Country Link
US (1) US8012395B2 (en)
WO (1) WO2010042140A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011097514A3 (en) * 2010-02-05 2011-10-13 Molecular Imprints, Inc. Templates having high contrast alignment marks
EP2618978A4 (en) * 2010-09-24 2015-06-03 Canon Nanotechnologies Inc High contrast alignment marks through multiple stage imprinting

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
NL2005266A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
NL2005975A (en) * 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
US8967992B2 (en) * 2011-04-25 2015-03-03 Canon Nanotechnologies, Inc. Optically absorptive material for alignment marks
JP5831012B2 (en) * 2011-07-27 2015-12-09 大日本印刷株式会社 Alignment mark for imprint, template provided with the mark, and manufacturing method thereof
JP2014011254A (en) * 2012-06-28 2014-01-20 Dainippon Printing Co Ltd Alignment mark, template with the mark, and manufacturing method of the template
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
JP5989610B2 (en) 2013-08-05 2016-09-07 株式会社東芝 Mask set design method and mask set design program
JP6571656B2 (en) * 2013-12-10 2019-09-04 キャノン・ナノテクノロジーズ・インコーポレーテッド Imprint lithography template and method for zero gap imprinting
JP6503211B2 (en) * 2015-03-27 2019-04-17 旭化成株式会社 Imprint molding mold and manufacturing method thereof
JP2016028442A (en) * 2015-10-08 2016-02-25 大日本印刷株式会社 Template
CN105353592B (en) * 2015-11-25 2018-09-21 武汉新芯集成电路制造有限公司 A kind of photoetching process alignment methods
JP6308281B2 (en) * 2016-10-21 2018-04-11 大日本印刷株式会社 Template manufacturing method
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11728173B2 (en) * 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US20230205080A1 (en) * 2021-12-27 2023-06-29 Canon Kabushiki Kaisha Template, method of forming a template, apparatus and method of manufacturing an article

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1760526A1 (en) * 2005-09-06 2007-03-07 Canon Kabushiki Kaisha Mold, imprint method, and process for producing chip
US20080067721A1 (en) * 2006-09-14 2008-03-20 Zhaoning Yu Nanoimprint molds and methods of forming the same

Family Cites Families (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1183056A (en) * 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) * 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (en) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück WATERPROOF PHOTOGRAPHIC PAPER AND METHOD FOR THE PRODUCTION THEREOF
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4637904A (en) * 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
JPS60111425A (en) 1983-11-22 1985-06-17 Toshiba Corp Formation of alignment mark
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
DE3767317D1 (en) 1986-02-13 1991-02-21 Philips Nv DIE FOR AN IMPRESSION PROCESS.
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) * 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JP2823016B2 (en) 1986-12-25 1998-11-11 ソニー株式会社 Method of manufacturing transmission screen
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4908296A (en) * 1988-05-31 1990-03-13 E. I. Du Pont De Nemours And Company Photosensitive semi-aqueous developable ceramic coating composition
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) * 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (en) 1991-05-29 1993-12-24 Solems METHOD, DEVICE AND APPARATUS FOR TREATING A SUBSTRATE WITH A LOW PRESSURE PLASMA.
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (en) 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (en) 1992-04-28 2001-04-16 東京エレクトロン株式会社 Plasma processing equipment
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (en) * 1994-03-14 1995-09-21 Leybold Ag Device for the transport of substrates
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5477058A (en) * 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) * 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
DE69724269T2 (en) 1996-09-06 2004-06-09 Obducat Ab METHOD FOR ANISOTROPE ETCHING STRUCTURES IN CONDUCTIVE MATERIALS
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (en) * 1996-10-23 1998-05-15 Toshiba Corp Liquid crystal display element
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
JP4498601B2 (en) 1998-03-05 2010-07-07 オブデュキャット、アクチボラグ Etching method
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
FI109944B (en) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelectronic component and manufacturing method
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) * 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6379573B1 (en) * 1999-07-13 2002-04-30 University Of Honolulu Self-limiting isotropic wet etching process
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
WO2001047003A2 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6387330B1 (en) * 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP2264523A3 (en) 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
JP2004505273A (en) 2000-08-01 2004-02-19 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Method for highly accurate sensing of gap and orientation between transparent template and substrate for transfer lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (en) * 2000-08-19 2002-09-05 삼성전자 주식회사 Metal Via Contact of Semiconductor Devices and Method of Forming it
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
KR101031528B1 (en) 2000-10-12 2011-04-27 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Template for room temperature, low pressure micro- and nano- imprint lithography
US6879162B2 (en) * 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
TW525221B (en) 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (en) 2001-05-22 2002-12-04 Sharp Corp Pattern of metal film and manufacturing method therefor
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6965818B2 (en) * 2001-11-28 2005-11-15 Onan Corporation Mobile energy management system
JP2003202584A (en) 2002-01-08 2003-07-18 Toshiba Corp Liquid crystal display device
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
DE10307518B4 (en) 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
KR100486727B1 (en) * 2002-11-14 2005-05-03 삼성전자주식회사 Fabrication method of planar lens
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7323130B2 (en) * 2002-12-13 2008-01-29 Molecular Imprints, Inc. Magnification correction employing out-of-plane distortion of a substrate
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
JP4651390B2 (en) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ UV nanoimprint lithography using multiple relief element stamps
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP4322096B2 (en) 2003-11-14 2009-08-26 Tdk株式会社 RESIST PATTERN FORMING METHOD, MAGNETIC RECORDING MEDIUM, AND MAGNETIC HEAD MANUFACTURING METHOD
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
DE602005022874D1 (en) 2004-06-03 2010-09-23 Molecular Imprints Inc FLUID AND DROP EXPOSURE AS REQUIRED FOR MANUFACTURE IN THE NANO AREA
KR101175108B1 (en) 2004-06-03 2012-08-21 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 System and method for improvement of alignment and overlay for microlithography
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
KR100618861B1 (en) * 2004-09-09 2006-08-31 삼성전자주식회사 Semiconductor device having local recess channel transistor and method of fabricating the same
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7029944B1 (en) * 2004-09-30 2006-04-18 Sharp Laboratories Of America, Inc. Methods of forming a microlens array over a substrate employing a CMP stop
JP2006133334A (en) 2004-11-02 2006-05-25 Seiko Epson Corp Member with recessed part, method for manufacturing member with projection part, the member with projection part, transmission-type screen and rear-type projector
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7292326B2 (en) 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
WO2006060758A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
CN101535021A (en) * 2005-12-08 2009-09-16 分子制模股份有限公司 Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
JP5188192B2 (en) * 2007-02-20 2013-04-24 キヤノン株式会社 MOLD, MOLD MANUFACTURING METHOD, IMPRINT APPARATUS, IMPRINT METHOD, AND STRUCTURE MANUFACTURING METHOD USING IMPRINT METHOD
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US20090148032A1 (en) 2007-12-05 2009-06-11 Molecular Imprints, Inc. Alignment Using Moire Patterns
US20090147237A1 (en) 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1760526A1 (en) * 2005-09-06 2007-03-07 Canon Kabushiki Kaisha Mold, imprint method, and process for producing chip
US20080067721A1 (en) * 2006-09-14 2008-03-20 Zhaoning Yu Nanoimprint molds and methods of forming the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011097514A3 (en) * 2010-02-05 2011-10-13 Molecular Imprints, Inc. Templates having high contrast alignment marks
US8961852B2 (en) 2010-02-05 2015-02-24 Canon Nanotechnologies, Inc. Templates having high contrast alignment marks
EP2618978A4 (en) * 2010-09-24 2015-06-03 Canon Nanotechnologies Inc High contrast alignment marks through multiple stage imprinting

Also Published As

Publication number Publication date
US8012395B2 (en) 2011-09-06
US20090250840A1 (en) 2009-10-08
WO2010042140A3 (en) 2010-06-10

Similar Documents

Publication Publication Date Title
US8012395B2 (en) Template having alignment marks formed of contrast material
US8961852B2 (en) Templates having high contrast alignment marks
US8012394B2 (en) Template pattern density doubling
US8865046B2 (en) Imprinting of partial fields at the edge of the wafer
US7279113B2 (en) Method of forming a compliant template for UV imprinting
EP2001646A2 (en) Imprint lithography system
US20100092599A1 (en) Complementary Alignment Marks for Imprint Lithography
JP2006191089A (en) Imprint lithography
US7780431B2 (en) Nanoimprint molds and methods of forming the same
JP2009182075A (en) Manufacturing method of structure by imprint
US10935883B2 (en) Nanoimprint template with light blocking material and method of fabrication
US9122148B2 (en) Master template replication
US8935981B2 (en) High contrast alignment marks through multiple stage imprinting
US20090148032A1 (en) Alignment Using Moire Patterns
US20100095862A1 (en) Double Sidewall Angle Nano-Imprint Template
US20100112310A1 (en) Substrate Patterning
TW201014700A (en) Template having alignment marks formed of contrast material

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09788767

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09788767

Country of ref document: EP

Kind code of ref document: A2