WO2010011842A2 - Bonded intermediate substrate and method of making same - Google Patents

Bonded intermediate substrate and method of making same Download PDF

Info

Publication number
WO2010011842A2
WO2010011842A2 PCT/US2009/051546 US2009051546W WO2010011842A2 WO 2010011842 A2 WO2010011842 A2 WO 2010011842A2 US 2009051546 W US2009051546 W US 2009051546W WO 2010011842 A2 WO2010011842 A2 WO 2010011842A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
gan
bonding
thin
Prior art date
Application number
PCT/US2009/051546
Other languages
French (fr)
Other versions
WO2010011842A3 (en
Inventor
Thomas Henry Pinnington
James M. Zahler
Young-Bae Park
Corinne Ladous
Sean Olson
Original Assignee
Amber Wave Systems Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Corporation filed Critical Amber Wave Systems Corporation
Publication of WO2010011842A2 publication Critical patent/WO2010011842A2/en
Publication of WO2010011842A3 publication Critical patent/WO2010011842A3/en
Priority to US13/012,336 priority Critical patent/US20110117726A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0083Periodic patterns for optical field-shaping in or on the semiconductor body or semiconductor body package, e.g. photonic bandgap structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/20Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate

Definitions

  • the invention relates to an intermediate substrate which can be used for fab ⁇ cation of wafer-bonded semiconductor structures used for light-emitting devices, such as light emitting diodes (LEDs), laser diodes (LDs), as well as other devices, and the structure of such devices
  • the invention further relates to wafer-bonded semiconductor structures fab ⁇ cated with removable substrates
  • the invention further relates to a method and structure for the growth of high quality epitaxial mate ⁇ al
  • nit ⁇ de semiconductor system that includes Al x In x Ga 1 x y N is a desirable direct-bandgap semiconductor mate ⁇ al system for light-emitting devices operating in the visible and green-blue-ultraviolet spectrum
  • nit ⁇ de semiconductors are difficult and costly to produce as bulk single crystals Therefore, hetero-epitaxial technology is often employed to grow nit ⁇ de semiconductors on different mate ⁇ al substrates such as sapphire or SiC by metal-organic chemical vapor deposition (MOCVD) or other epitaxial growth techniques, including, but not limited to hyd ⁇ de vapor phase epitaxy (HVPE), molecular beam epitaxy (MBE) and liquid phase epitaxy (LPE)
  • HVPE hyd ⁇ de vapor phase epitaxy
  • MBE molecular beam epitaxy
  • LPE liquid phase epitaxy
  • nit ⁇ de semiconductor structures grown on sapphire substrates are used for conventional blue LED, green LED, ultraviolet (UV) LED, and blue LD
  • the thick buffer layer of GaN used in conventional growth on sapphire substrate reduces the useful light output by approximately half due to absorption of light emitted from the Al x In y Gai x y N active region by the narrower bandgap GaN
  • One embodiment of the invention provides an intermediate substrate comp ⁇ sing a handle substrate bonded to a thin layer suitable for epitaxial growth of a compound semiconductor layer, such as a Ill-nitride semiconductor layer
  • the handle substrate may be a metal or metal alloy substrate, for example a molybdenum or molybdenum alloy substrate, while the thm layer may be a sapphire layer.
  • Another embodiment of the invention provides an intermediate substrate comprising a thin layer suitable for epitaxial growth of a compound semiconductor mate ⁇ al bonded to a handle substrate having a coefficient of thermal expansion which is closely matched to a coefficient of thermal expansion of the compound semiconductor mate ⁇ al
  • Another embodiment of the invention provides a method of making the intermediate substrate comp ⁇ sing forming a weak interface in the source substrate, bonding the source substrate to the handle substrate, and exfoliating the thm layer from the source substrate such that the thm layer remains bonded to the handle substrate
  • embodiment of the method describes a method for making freestanding substrates using intermediate substrates.
  • Another embodiment of the invention is a method and structure for the growth high quality epitaxial layers via modification of the surface and near surface regions of the growth substrate.
  • FIG. 1 is a side cross-sectional view of a device according to one preferred embodiment of the present invention.
  • FIGS. 2A to 20 are side cross-sectional views of a method of making a device according to embodiments of the present invention.
  • FIGS. 3A to 3C are side cross-sectional views of a method of making a device with a photonic lattice structure according to an embodiment of the present invention.
  • FIG. 4 is a side cross-sectional view of a device with a photonic lattice structure according to one embodiment of the present invention.
  • FIGS. 5A to 5B are side cross-sectional views of a method of making a device with a photonic lattice structure according to an alternative embodiment of the present invention.
  • FIG. 6 is a side cross-sectional view of a device with a photonic lattice structure according to an alternative embodiment of the present invention.
  • FIG. 7 is an implantation phase diagram for the He/H co-implantation-induced exfoliation of sapphire.
  • the Figure shows a preferred envelope of dose combinations for He/H co-implantation.
  • FIG. 8 is a diagram that shows the transferred layer thickness as a function of energy for H + and He + implantation.
  • FIG. 9 is a diagram that shows the X-ray diffraction spectra of an epitaxial GaN layer grown on a thin sapphire layer on a poly-AIN handle substrate according to an embodiment of the invention.
  • FIG. 10 is a cross-sectional TEM image of an epitaxial GaN layer grown on a thin sapphire layer on a poly-AIN substrate.
  • FIG. 11 is a plot of stress stress-thickness versus time of typical high-In InGaN active LED layers grown on the intermediate substrate according to an embodiment of the invention and of conventional substrates comprising sapphire, freestanding GaN, and SiC
  • FIG. 12 is a cross- sectional TEM image of an epitaxial GaN layer grown on a thin GaN layer on a poly-AIN substrate.
  • the fabrication and structure of semiconductor-based light-emitting devices with high brightness and high efficiency and other devices are described.
  • the devices are grown on an intermediate substrate after the intermediate substrate is formed by wafer bonding.
  • the wafer-bonded intermediate substrate comp ⁇ ses a handle substrate and a thin layer of semiconductor or ceramic mate ⁇ al that has been transferred from another semiconductor or ceramic substrate.
  • the coefficient of thermal expansion of the mate ⁇ al comprising handle substrate is closely matched to the coefficient of thermal expansion (CTE) of the device layers over a temperature range.
  • the thin layer comprises a single-crystalline semiconductor or ceramic material exfoliated from a high-quality low-defect-density freestanding single-crystalline semiconductor or ceramic substrate.
  • the wafer- bonded intermediate substrate improves the crystalline quality of high-temperature epitaxial growth by providing efficient thermal coupling to the wafer susceptor used in epitaxial growth systems and by minimizing the strain induced in the grown material relative to other approaches.
  • the wafer-bonded intermediate substrate also enables the use of larger diameter substrates for the growth of device films than is
  • the devices grown on the intermediate substrate are integrated with a final substrate, preferably by wafer bonding.
  • the intermediate substrate may be removed by a process such as etching while the device structure remains bonded to the final substrate without damaging the device layer.
  • the removal of the intermediate substrate simplifies the fabrication of vertical device structures with front- and back-side contacts (in a vertical device, the contacts are located on opposite sides of the device, while in horizontal devices, the contacts are located on the same side of the device; both vertical and horizontal devices may be made by the processes described herein).
  • the removal of the bulk of the intermediate substrate simplifies the production of thin light-emitting structures, which improves the external quantum efficiency by reducing lateral waveguiding of light output and decreasing optical loss from free carrier absorption in highly-conductive doped semiconductor material.
  • materials or structures with high optical reflectivity can be integrated in the finished light-emitting device structure to improve light-extraction efficiency.
  • Photonic lattice structures can also be optionally integrated into the light-emitting device structure to further improve efficiency.
  • the intermediate substrate for epitaxial growth of Ill-nitride and other compound semiconductor or ceramic layers of the embodiments of the invention can be made larger than the commercially available substrates, thus decreasing the cost of device manufacturing.
  • the structures and approach are applicable to wide range of electronic devices comprising optoelectronic devices, high frequency amplifiers, HEMTs, HBTs, and solar cells.
  • the intermediate substrates may also form the final support substrate.
  • specific examples using Ill-nitride semiconductors are described. It is to be understood that equivalent substitution using Al x In y Gai - x -yN on AlN/Al 7 Ga 1-7 N material in place of the Al x In y Gai. x-y N on GaN material can be applied easily with the disclosure provided herein, and where x, y, x+y and z range between zero and one.
  • the substrate structures and associated manufacturing techniques for the substrates and devices can be applied to a wide range of other electronic devices. Any other
  • semiconductor device fab ⁇ cated by a form of epitaxy in which the final device can benefit from integration of the finished structure with a package that is unsuitable for epitaxy, such as a metallic mounting for high power devices or a transparent cover glass for photovoltaic (PV) devices, may benefit from the use of a wafer-bonded intermediate substrate comprising a thin semiconductor or ceramic layer on a removable handle substrate.
  • PV photovoltaic
  • the intermediate substrates may be used for other semiconductor devices, such as other IH-V, II- VI, Ge and/or SiC devices, and other solid state devices containing thin non-semiconductor single crystal or ceramic layers.
  • the semiconductor-based light-emitting device such as an LED
  • the semiconductor-based light-emitting device comprises a final substrate 50, bonding layer 51, first terminal contact 40, light-emitting semiconductor active layers 30 including one or more semiconductor layers 31, 32, 33, 34, thin transferred semiconductor layer 12, and a second terminal contact 60.
  • the thin transferred semiconductor layer 12 can optionally be removed from the finished device structure by mechanical or chemical means following fabrication of the epitaxial device structure and integration of the device with the final substrate 50. Thus, thin layer 12 can be omitted from the final device.
  • the thin transferred semiconductor layer 12, semiconductor active layers 30, and/or first terminal contact 40 optionally comprise photonic lattice structure or random or periodic grating pattern to enhance light output in the vertical direction or to provide a frequency selective element for light-emitting device structures comprising the semiconductor-based light-emitting devices such as dist ⁇ aded-feedback (DFB) or dist ⁇ ubbed Bragg reflector (DBR) laser diodes
  • the first terminal contact 40 preferably comp ⁇ ses optically-reflective layers and barrier layers, for example the omnidirectional reflective structures as disclosed in US Patent nos. 6,130,780 and 6,784,462, incorporated herein by reference, to provide for higher light-extraction efficiency and better stability and reliability of the light-emitting device.
  • the final device substrate 50 When the final device substrate 50 is electrically conductive, it can provide the semiconductor device structure with an opposed terminal structure (i.e., a vertical light-emitting device). More specifically, when the second terminal contact 60 is an n-type terminal, it can improve the light-extraction efficiency.
  • An n-type layer in the IH-V semiconductor especially GaN semiconductor
  • has low resistance, and therefore the size or surface area of the n-type terminal, the second terminal 60 can be minimized when contact layer material 60 is not transparent (i.e., it only covers a portion of the semiconductor layer 12 to allow light to be emitted through the uncovered portions of layer 12). Because minimizing the size of the n-type terminal reduces the light-blocking area, this can improve the light-extraction efficiency.
  • a transparent contact material for example indium tin oxide (ITO) for p-type terminal or ZnO:Al (AZO) or ZnO:In (IZO) for n-type terminal, can also be used as second terminal contact 60.
  • ITO indium tin oxide
  • AZO ZnO:Al
  • IZO ZnO:In
  • second terminal contact 60 can also be used as second terminal contact 60.
  • ITO indium tin oxide
  • AZO ZnO:Al
  • IZO ZnO:In
  • FIGS. 2A-2O illustrate a method of the first embodiment.
  • a source (also known as "donor") semiconductor substrate or wafer 10 is preferably high-quality low-defect-density freestanding commercial GaN substrate, where dislocation-defect density is less than 10 8 /cm 2 .
  • Other preferred candidates for source wafer 10 comprise one or more layers of GaN or Al z Gai -z N materials grown homo- epitaxially on high-quality low-defect-density freestanding commercial GaN or AlN substrates, where z is in the range of 0 to 1.
  • Source wafer 10 comprises one or more layers of GaN or Al z Gai -z N materials grown heteroepitaxially on sapphire or SiC substrates. These hetero-epitaxially grown materials have higher dislocation-defect density, typically higher than 10 8 /cm 2 .
  • any material suitable for use as an epitaxial template for the Ill-nitride semiconductor system may be applied as source wafer 10 for transfer of a thin layer to
  • a handle substrate 20 comprises the transfer of a thin layer of sapphire from a sapphire substrate to a handle substrate by ion-implantation, preferably by wafer bonding of the sapphire substrate to the handle substrate and exfoliation of the thin sapphire layer to leave the thin sapphire layer bonded to the handle substrate.
  • Intermediate substrates comprising a sapphire or other suitable epitaxial template layer can be used for the epitaxial growth of III- nitride semiconductor layers, including GaN, AlN, AlGaN, InGaN, and AlInGaN
  • the source wafer 10 is treated to produce a thin layer 12 with a weak interface 11 to enable transfer of the thin layer 12.
  • the thin layer 12 with the weak interface 11 is produced by ion implantation or ionic bombardment with hydrogen, helium, nitrogen, fluorine, oxygen, boron and/or other ions. More preferably, the thin layer 12 with weak interface 11 is produced by co-implantation of hydrogen and other heavier ions as known in the art, including, but not limited to helium, nitrogen, and/or boron.
  • helium, or more generally, a light gas ion can be implanted in the GaN source wafer 10 p ⁇ or to implantation of hydrogen.
  • implantation with H + as the first ion can also be used.
  • the ion energies of the helium and hydrogen are selected to ensure that the concentration peaks of the helium and hydrogen are at similar depths
  • implantation profile peak positions varying by 10% or less can be expected to behave similarly
  • As-exfoliated thin layer 12 produced by ion implantation or ionic bombardment have thickness variation much less than 20% of the total thickness of thin layer 12, usually less than 10%.
  • Thickness uniformity of thin layer 12 ensures uniformity of thermal conduction and growth temperature during epitaxial growth and produces epitaxial layers with exemplary uniformity of composition and thickness, critical for the economic production of high-performance devices with higher yield and lower costs.
  • ELO epitaxial lift-off
  • the thin layer 12 can be made of any material which supports epitaxial growth of a desired compound semiconductor material, such as a Ill-nitride compound semiconductor material, including GaN.
  • a desired compound semiconductor material such as a Ill-nitride compound semiconductor material, including GaN.
  • the thin layer 12 is a single crystalline layer or a layer with a highly oriented columnar structure which has a surface lattice structure which is similar to the lattice structure of the desired compound semiconductor material, such as the Ill-nitride compound semiconductor material, to allow epitaxial growth of a single crystal layer of the desired compound semiconductor material, such as the Ill-nitride compound semiconductor material, on the thin layer 12.
  • the source substrate 10 comprises freestanding GaN or any other freestanding Ill-nitride material and the device to be fabricated on the wafer-bonded intermediate substrate comprises a LED or LD structure
  • the N-face be treated to generate the weak interface 11.
  • the Ga-face in GaN and the cation face in any other Ill-nitride freestanding material is presented for the epitaxial growth of the device structure.
  • GaN substrates available now comprise flat surfaces of the (0001) Ga-face or (000-1) N-face
  • other freestanding GaN substrates are also possible comprising flat surfaces of (1 1-20) or (10-10) planes, more commonly known as non-polar or semi-polar faces of GaN.
  • these freestanding GaN substrates comprising non-polar or semi-polar faces do not require the special distinction necessary for the Ga-face and N-face and greatly simplify the treatment of the source wafer 10.
  • MOCVD metal-organic chemical vapor deposition
  • fab ⁇ cate source wafer 10 specifically designed for the subsequent transfer of thin GaN layers 12 to produce wafer-bonded intermediate substrates intended for use as high-quality growth templates for III-nit ⁇ de semiconductor
  • a freestanding GaN substrate specifically designed for the fab ⁇ cation of a wafer-bonded intermediate substrate by implantation and exfoliation of thin GaN layers 12 from the N-face would be distinguished from a standard freestanding GaN substrate by being grown to a greater thickness than typical HVPE freestanding GaN substrates The increased thickness would be used to polish an increased quantity of the mate ⁇ al from the N- face and to move the N-face of the freestanding GaN substrate farther from the highly defective nucleation region present at the o ⁇ ginal sapphire-GaN interface in the HVPE growth and fab ⁇ cation of freestanding GaN.
  • Preferably greater than 50 ⁇ m of GaN and more preferably between 50 to 200 ⁇ m of GaN are removed from the N-face by polishing or other removal means
  • a freestanding GaN substrate specifically designed for the fabrication of a wafer-bonded intermediate substrate preferably has a better polished N-face surface than what is typically specified or available in conventional HVPE freestanding GaN prepared for subsequent growth on the Ga- face Specifically, the N-face polish preferably results in a N-face surface with less than 1 nm, preferably between 0 3 and 0 5 nm, of micro-roughness
  • the device to be fab ⁇ cated on the wafer-bonded intermediate substrate is a HEMT or other high-power or high-frequency device, it is possible and sometimes preferable to fab ⁇ cate such structures by growth with MBE on the N-face of the Ill-mt ⁇ de mate ⁇ al, typically GaN For this reason, implantation of the Ga-face of the freestanding GaN substrate would be preferable and can be used to transfer thm GaN layers from a freestanding GaN substrate
  • the exfoliation process is improved relative to implantation with hydrogen alone
  • the improvement of the exfoliation kinetics relative to a hydrogen implantation process can be exhibited in multiple ways
  • the total dose of hydrogen plus helium necessary to achieve an exfoliation process that occurs at the same temperature and rate as a hydrogen-only exfoliation process is reduced
  • the rate at which exfoliation occurs at a given temperature is accelerated This can enable the reduction of the required temperature in the exfoliation process
  • helium atoms and neutral atomic species By virtue of their larger mass and associated momentum, energetic helium ions cause an order of magnitude more damage in the crystal lattice dunng the implantation process than hydrogen ions of comparable energy Furthermore, after coming to rest in the crystal lattice, helium atoms have a lower diffusivity than hydrogen atoms, and are thus less mobile under low- temperature ( ⁇ 500 0 C) dynamic annealing that occurs dunng implantation However, in contrast to hydrogen, helium atoms in the gallium nit ⁇ de lattice do not bind to the defect structures formed by ion implantation Thus, the temperature dependence of helium diffusion is dictated by the temperature dependence of helium diffusivity in the GaN crystal structure irrespective of damage, while the temperature dependence of hydrogen diffusion for hydrogen atoms bound to defect structures is a function of both the energy required to release the hydrogen from the structure, which can be quite high for nitrogen-hydrogen bonds, and the temperature dependent diffusivity of hydrogen in the GaN lattice
  • the elevated substrate temperature dunng implant causes dynamic annealing to limit the buildup of lattice damage dunng the high dose implant necessary to exfoliate GaN
  • a high substrate temperature such as a temperature above room temperature, preferably a temperature greater than 150 0 C, and more preferably 300 to 500 0 C, dunng implantation
  • the energetic ion beam delivers power to the implanted substrates that is predominantly dissipated as heat
  • substrate cooling mechanism becomes dominated by radiation rather than thermal conduction.
  • directly heating the substrate during implantation by a resistive heater and a feedback control system can be used to more precisely control the temperature at the substrate surface during implantation.
  • the substrate may be heated passively and/or actively during the implantation.
  • a substantial blistering and exfoliation of a GaN layer from a GaN-on- sapphire substrate and/or a freestanding GaN substrates can occur when the substrate is co-implanted with He + at an energy of 80 to 160 keV to a dose of 1.5x10 17 to 4.OxIO 17 cm “2 and H + at an energy of 60 to 100 keV to a dose of 1.OxIO 17 to 2.0xl0 17 cm “2 .
  • H 2 + ions can be used instead Of H + ions by doubling the energy and halving the dose of the desired H + implant process.
  • the desired dose for exfoliation is consistent for a wide range of implantation temperatures from passively cooled implantation resulting in a wafer temperature between room temperature and 150 0 C and actively heated resulting in wafer temperatures in excess of 300 0 C during implantation.
  • the required dose for exfoliation is reduced by implantation at an elevated temperature.
  • the He fraction of the implant is preferably more than 50% of the total dose, up to a He-only exfoliation process that has been found to be possible for implantation doses above 3.5xlO 17 cm "2 .
  • the low H + doses and implant conditions described above are not sufficient to cause exfoliation in the absence of the He + implant.
  • the substrate is annealed for >10 seconds at a temperature between 300 and 900 0 C to exfoliate the layer, depending on the dose of the implant.
  • the GaN substrate is annealed to a temperature from 350 to 600 0 C to induce exfoliation.
  • a thermal anneal between the first and second implantation may be used to improve the kinetics of the exfoliation process.
  • brittle semiconductors such as Si
  • H-induced exfoliation proceeds by the formation of damage and the super-saturation of the lattice with H during implantation that after bonding and annealing leads to laterally extended micro-cracks that coalesce to induce exfoliation.
  • the exfoliation process in sapphire is mechanistically different than that for brittle semiconductors. For reasons related to the relatively rigid elastic properties of sapphire and its resistance to
  • the presence of a rigid handle substrate with a CTE that is different from that of the implanted sapphire can serve to improve the exfoliation process by inducing thermo-mechanical stresses that drive fracture and exfoliation of the material in the areas weakened by the un-coalesced subsurface blisters This could lead to several important differences when exfoliating sapphire and developing a suitable implant process for wafer bonding and layer transfer First, it may be important to provide either an internal thermo-mechanical stress or an external stress to serve as a driving force to induce exfoliation along the weakened interface.
  • He + and H + ions For co-implantation Of He + and H + ions a wider range of implantation ranges and combinations was attempted with the He + dose ranging from 7.5xlO 16 to 2 OxIO 17 cm “2 and H + dose ranging from 5 OxIO 16 to 2.0xl0 17 cm 2 .
  • the blistering process is functional for He + implantation at an energy from 80 to >285 keV at a dose of 7 5xlO 16 to 2.OxIO 17 cm 2 with a corresponding energy and dose for H + in the range of 50 to >150 keV and 0 to 1.25xlO 17 cm "2 dose.
  • He + ions may be implanted alone or in combination with hydrogen ions. Implantation of H + at 80 keV to a dose in excess of 1 25xlO 17 cm "2 leads to exfoliation
  • H + dose restriction for a sub-c ⁇ tical H + dose preferably leads to the following constraint for a 150 keV He + implantation process.
  • FIG. 7 also illustrates a window for implantation that consists of a supercritical H range where the fraction of hydrogen in the implant could produce exfoliation in the absence of the co-implanted He. In fact, this condition ranges all the way down to a H-only exfoliation process.
  • the window for implantation ranges up to 2.5x10 17 cm “2 and down to the limit defined by equation 1, with the modification that the dose window is defined for the range of the product ( ⁇ -x He )D being greater than 1 25x10 17 cm "2 .
  • a high energy implant is preferably used for transfer of sapphire onto molybdenum, aluminum nitride, or other lower CTE mate ⁇ als as compared to sapphire, in order to create a thm transferred layer that is sufficiently mechanically robust that it does not buckle once the high pressure bond step is complete.
  • this buckling can be driven by a number of factors as described next.
  • sapphire's high modulus can result in non-uniformities in the bond strength between the sapphire thin layer and the handle substrate These non- umformities are caused by failure of the sapphire source substrate to flex and match the shape of the underlying handle substrate These non-uniformities in bond strength may result in localized failure in bonding and drive buckling of the thm transferred layer
  • a thinner sapphire source substrate is used to increase the flexibility of the sapphire source substrate and to allow shape matching of the underlying handle substrate
  • the thinner sapphire source substrate also reduces wafer bow from mismatch in coefficient of thermal expansion (CTE) between the source substrate and the handle substrate The reduced wafer bow minimizes the possibility of cracking the handle substrate
  • sapphire source substrate with thickness substantially less than 330 ⁇ m
  • the thickness should be 200 ⁇ m or less
  • sapphire source substrate with thickness 125 ⁇ m or less is used to produce thm transferred sapphire layer with no visible buckling or cracking in the thm transferred sapphire layer or the handle substrate
  • similar thicknesses as descnbed are preferably used to produce thm transferred sapphire layer
  • Sapphire source substrate with reduced thickness in the preferred thickness range may be fabncated readily from commonly available commercial sapphire substrates by grinding the sapphire substrate down to the proper thickness followed by polishing
  • the sapphire source substrate can be annealed at high temperature in air ambient followed by light
  • the sapphire source substrate can be annealed for 1 hour at 1380 0 C in clean pu ⁇ fied air ambient
  • Other surface preparation techniques for smoothing sapphire surfaces are well known such as wet etching in hot acids and can be applied here as well.
  • the thm substrate can be bonded by a compliant layer onto a mechanical support substrate.
  • the compliant layer may comprise metallic bonding layers for direct metal -to-metal bonding or eutectic bonding as discussed below in the section on "Source mate ⁇ als with improvements.”
  • the combined stack comprising the thin sapphire source substrate would reduce wafer breakage from handling without affecting the compliance and conformity of the thin sapphire source substrate.
  • the thm sapphire source substrate may be enhanced by the anti-cracking layer discussed below in the section on "Source mate ⁇ als with improvements.” Further advantages and alternative embodiments of thin sapphire source substrate are additionally discussed below in the section on "Alternative wafer bonding and layer transfer strategies "
  • the thickness of the thin transferred sapphire layer is about 800 nm or greater, such as 800 nm to 1200 ran. Other thicknesses can also be used.
  • An 800 nm thin transferred layer is sufficiently thick to prevent buckling This 800 nm thin layer is approximately 200 nm thicker than what would be required to generate a film of target thickness 300 nm with a 300 nm buffer for damage removal.
  • the transferred layer thickness is preferably between 300nm and lOOOnm, such as 600nm.
  • Thin transferred layers of thickness 800 nm or greater can be achieved by implanting H + at an energy of at least 140 keV and He + at an energy of at least 280 keV
  • the relationship between ion energy and peak depth is illustrated in FIG. 8.
  • the dose is also adjusted so that the peak concentration is sufficiently high to lead to exfoliation This can be done by taking a known functional dose at an
  • TRIM simulation the Transport of Ion in Matter, a software simulation program by James F. Ziegler
  • a second TRIM simulation at the new energy gives an estimate of the peak concentration per dose unit. Dividing the predicted peak concentration for the functional dose by the TRIM estimated concentration per unit dose at the new energy gives the required dose at the new energy
  • the ion energy for both O + and F + should preferably be at least 160 keV resulting in a TRIM-predicted implant depth of approximately 200 nm.
  • the upper limit of implantation energy is governed by the availability of implanters with sufficiently high current with implantation energies exceeding 400 keV being desirable.
  • implantation at an elevated substrate temperature is desirable to facilitate dynamic damage annealing during the implant to prevent excessive buildup of vacancies and interstitial atoms and ultimately amorphization in the implanted sapphire.
  • the implantation of O + and/or F + should be conducted at a sapphire temperature of at least 250 0 C O + and/or F + implantation at high dose (>lxl ⁇ 17 cm 2 ) should provide sufficient internal pressure and implanted gas atoms to induce exfoliation in the absence of H or He At lower doses (IxIO 16 to 1 x10 17 cm “2 ) O + and/or F + implantation should modify the mechanical and chemical properties at the end of the implanted range in such a way the subsequent implantation with H + and/or He + to a dose sufficient to induce exfoliation (>lxl ⁇ 17 cm "2 ) will result in an exfoliation process with improved exfoliation kinetics.
  • O + and/or F + should be conducted at a sapphire temperature of at least 250 0 C
  • O + and/or F + implantation at high dose should provide sufficient internal pressure and implanted gas atoms to induce exfoliation in the absence of H or He
  • O + and/or F + implantation should
  • the sapphire substrate temperature can be increased during ion implantation by thermally isolating the substrate as described in the previous section.
  • the transferred layer may be 200 ran to 2000 nm thick, such as 800 ran to 1200 nm thick.
  • the thickness of the thin layer 12 in the intermediate substrate is reduced to about 50 nm to about 1000 nm, such as about 200 nm to about 800 nm.
  • the thin layer 12 may have greater or lesser thickness than described above, depending on the desired application and other process parameters.
  • a photonic lattice structure can be formed by etching into the thin layer 12 with reactive ion etching or with other fabrication methods known in the art. This etch is preferably performed after producing the thin layer 12 with a weak interface 11 as illustrated in FIG. 2B.
  • the etched areas 14 comprise patterns such as those illustrated in US Patent nos. 5,955,749 and 6,479,371 or other patterns known in the art of photonic bandgap and periodic grating structures. Nominally the dimensions of such patterns are on the order of the wavelength of the light emitted by the light-emitting device structure.
  • the etched areas 14 preferably do not penetrate weak interface 11 and remain contained within thin layer 12.
  • the crystalline structure of the source wafer and corresponding transferred thin layer may be off-axis from the conventional (0001) axis.
  • a small angular deviation from (0001) axis between 0 and 3 degrees, such as 0.5 to 3 degrees, may be favorable for two-dimensional layer-by-layer growth of InGaN, AlGaN and GaN by MOCVD.
  • the layer-by-layer growth would result in smoother growth morphology and reduced defect generation from lattice-mismatch strain in hetero structure growths such as Al-rich AlGaN on GaN.
  • the source wafer may be treated in a variety of ways to improve the efficacy of the layer transfer process.
  • One method that may be used is the deposition of a protective layer applied to the surface of the substrate to prevent roughening or contamination of the surface during the implantation process.
  • SiO 2 is one mate ⁇ al that may be used.
  • the protective layer may comprise the same layer as the bonding layer 13 described herein.
  • the protective layer may comprise a sacrificial protective layer which is deposited on the source wafer before the implantation step and is then removed after the implantation is conducted through this layer The bonding layer is then deposited on the source wafer after the removal of the sacrificial protective layer
  • Another method that may be used is the deposition of an optically reflective layer on the front, back, or both sides of the source wafer.
  • a deposited Al layer of about 50 nm thickness reduces the dose required to achieve blistering. It is thought that by placing a film that is reflective on either or both surfaces of the substrate, the optical transparency of the substrate can be used to trap energy radiatively emitted from the defects formed at the end of the implant range.
  • the substrate temperature ⁇ ses to allow radiation and conduction from the outer surface of the substrate
  • the modified process should work with any thin film that is significantly reflective at the wavelength of emission from the subsurface defects
  • other reflective materials having a different thickness than 50 nm, such as 30 to 100 nm, may also be used.
  • the reflective film should be sufficiently thick to be optically reflective but not so thick that it contributes significant stopping power against the impinging beam.
  • the thin reflective film should also be conveniently removable following implantation As is known in the art, such removal can be accomplished for example by a selective wet chemical etch or dry etching technique such as reactive ion etching It should also be noted that while this technique improves the exfoliation behavior of sapphire, it may also improve the exfoliation of a variety of other semiconductor materials that have a wide bandgap, such as freestanding GaN, GaN on sapphire, SiC, diamond, and any Ill-nitride on sapphire or in freestanding form The
  • reflective layer may be a sacrificial layer which is removed after the implantation step or it may be retained during the bonding step.
  • Another method that may be used to improve the efficacy of the layer transfer process is to deposit a film of material on to the source wafer that will decrease the likelihood of the thin layer developing cracks during the exfoliation process (i.e., an anti-cracking layer).
  • an anti-cracking layer reduces the likelihood of cracks developing in the thin layer that would prevent transfer of large contiguous films. Suppression of cracks is particularly important in cases where CTE differences between the source wafer material and handle substrate material are driving the exfoliation.
  • source wafers such as freestanding GaN that may have defects present in their structure as provided, the use of an anti-cracking layer to stiffen the source wafer is of particular importance.
  • the anti-cracking layer used to stabilize the source wafer can either be a thick, low stress material deposited by standard processing techniques such as CVD or sputtering or the film can actually be a flat, rigid substrate integrated with the substrate by wafer bonding with bonding layers or an adhesive.
  • the material of the anti-cracking layer may comprise silicon oxide, silicon nitride, polycrystalline aluminum nitride or other suitable materials. If the anti-cracking layer comprises a flat, rigid substrate, then suitable substrate materials comprise alumina, molybdenum, TZM, polycrystalline aluminum nitride, or other materials selected for their fracture toughness and their CTE match with the source wafer.
  • Suitable bonding layer materials for integrating the rigid substrate with the source wafer comprise ceramic paste adhesives, deposited dielectrics such as silicon dioxide and silicon nitride, and metallic bonding layers for direct metal-to-metal bonding or eutectic bonding to a substrate.
  • Metallic bonding layers comprise evaporated or sputter-deposited films of Cu or Ni or other metallic element or alloy selected for its thermally activated mass diffusion and grain growth characteristics.
  • adhesion-promoting layers comprising single layer or multilayer films of Ti, TiN, Ta, TaN, Cr or other materials are supplied between the metallic bonding layer and the substrate surface.
  • the metallic bonding layer thickness is between 50nm and 500nm thick, and the adhesion-promoting layer or multilayer film is between IOnm and lOOnm thick.
  • the adhesion layer can advantageously perform as a diffusion barrier.
  • the surface of the substrate may be back-sputtered to remove surface contamination and oxide immediately prior to the deposition of the metallic
  • suitable eutectic bonding layers comprise co-deposited or multilayer film stacks of gold and tin, deposited by evaporation or sputtering.
  • the composition of the eutectic alloy is selected for its eutectic liquidus temperature as is known in the art. For example a composition of 80% Au and 20% Sn by weight has a liquidus temperature of approximately 210C.
  • an adhesion layer structure comprising Ti/Pt/Au, Cr/Au or other layer structure can be supplied between the substrate and the eutectic alloy bonding layer.
  • Metallic bonding layers or eutectic bonding layers can be provided on either or both of the source wafer and the anti- cracking support substrate. If metallic bonding is used, metallic bonding layers are preferably provided on both the source wafer and the anti-cracking support substrate.
  • the freestanding GaN has a large number of nucleation sites for substrate fracture as grown, completely inhibiting fracture in the GaN during bonding may be impossible. However, if the GaN is bonded to a stabilizing film or substrate, these fractures may be inhibited from entering that film or substrate. Thus, the freestanding GaN would retain its usefulness as a source wafer for the repeated transfer of many thin layers.
  • the anti-cracking layer may be a sacrificial layer which is removed after the implantation step or it may be retained during the bonding step.
  • one or more layers of materials may be deposited onto the source wafer and treated to improve the strength of the bond between the source wafer and handle substrate. This method may be performed before or after the implantation of the source wafer.
  • the deposited material is SiO 2 (i.e., the bonding layer 13) and the treatment is chemical-mechanical polishing.
  • the bonding layer 13 may comprise metallic bonding layers for direct metal-to-metal bonding as described earlier.
  • thermally conductive materials with high melting point and similar or slightly higher or slightly lower thermal expansion coefficient as the thin layer 12 and/or source wafer 10 are preferably used as handle (also known as "support") substrate 20.
  • the handle substrate 20 is also preferably compatible with
  • the handle substrate 20 should not decompose or produce contaminants that would have a substantial deleterious effect on subsequent epitaxial growth.
  • the CTE of the handle substrate 20 is preferably in the range of 4-8x10 ⁇ 6 /K (averaged between room temperature and the temperature at which epitaxial growth of the device structure occurs) for compatibility with transferred thin layer 12 and source wafer 10.
  • the coefficient of linear thermal expansion of the handle substrate 20 in the above range can prevent stress-induced bowing or cracking of the semiconductor light- emitting device structure or the source wafer 10, and increase the production yield and long-term reliability of the semiconductor light-emitting device.
  • the CTE of the handle substrate 20 averaged over the temperature range between room temperature and the temperature at which epitaxial growth of the device structure occurs, is engineered to be between 0% and 25% higher than that of GaN, averaged over the same temperature range. If the growth temperature is 1000 0 C, this corresponds approximately to a range of 5.2-6.3x10 "6 /K, based on current measurements of the GaN temperature-dependent CTE available in the literature. Setting the CTE of the handle substrate 20 in this range can reduce or prevent the formation of cracks in the GaN device layers, which are known to form upon cooling after growth when the device layers are grown on substrates having a CTE that is significantly lower than GaN.
  • a handle substrate 20 preferably comprises the element molybdenum (Mo) or alloys of Mo.
  • Mo is known to have a CTE of approximately 5.8xl O "6 /K, when averaged over the temperature range of 20 0 C and 1000 0 C.
  • the alloy of Mo is chosen such that its recrystallization temperature exceeds the maximum temperature of the wafer during the growth process. If the recrystallization temperature is exceeded during processing, grain growth can occur in the Mo substrate resulting in changes in the stress state of the material, and embrittlement of the material after it is subsequently cooled.
  • TZM Mo with Titanium and Zirconium to produce what is commercially referred to as TZM
  • TZM is known to increase the recrystallization temperature relative to Mo to the range of 1200 0 C to 1400 0 C, which is 200 0 C to 300 0 C higher than the recrystallization temperature of elemental Mo and 100 0 C to 300 0 C higher than the epitaxial growth temperature
  • TZM is a dilute alloy of Mo (greater than 98% and preferably at least 99%), Ti (between 0 2% and 1 0%), Zr (between 0% and 0.3%), and C (between 0% and 0 1%)
  • doping of Mo with small amounts (approximately 1%) of lanthanum oxide is known to increase the recrystallization temperature to the range of 1300 0 C to 1500 0 C
  • the material for the handle substrate 20 is TZM
  • an alloy of Mo and tungsten can be engineered to minimize the CTE-mismatch stress and associated ⁇ sk of fracture or delammation in the bonded GaN/MoW substrate pair in thermal cycling p ⁇ or to and du ⁇ ng the exfoliation process
  • the MoW composition can be selected to minimize the stress- induced bow in the GaN/MoW substrates and associated temperature non- uniformities du ⁇ ng growth, and to minimize cracking in the device layers after growth.
  • a composition of MoW is selected which optimizes the yield of the exfoliation step and also optimizes the film growth quality and device performance through minimization or elimination of growth temperature non- uniformities and post-growth cracking.
  • W is known to have a CTE of approximately 4.9x10 6 /K, when averaged from 20 0 C to 1000 0 C.
  • the CTE of alloys of MoW, averaged over this temperature range, can therefore be engineered to fall in the range of 4 9xlO "6 /K and 5.8x10 ⁇ 6 /K
  • Such alloys containing 0-50 atomic percent W are commercially available.
  • Mo alloy 366 as defined in ASTM Designation B-386-03 comprises a Mo-30% W alloy Because the recrystallization temperature of W falls in the range of 1150 0 C to 1350 0 C, MoW alloys are expected to have higher recrystallization temperatures than pure Mo Furthermore, as was described above, the recrystallization temperature of these CTE-optimized MoW substrates can be
  • the handle substrate material may be selected to be closely CTE matched to the epitaxial III-nit ⁇ de layer to be grown on the intermediate substrate.
  • the difference in CTE of the handle substrate and the III-nit ⁇ de layer may be less than 20%, such 0 to 10%
  • Handle substrates 20 comp ⁇ sing Mo or alloys of Mo can be produced in any number of ways from various forms of raw material Generally the raw mate ⁇ al is formed from fine powders of the constituent elements. These powders can be made into simple forms such as plate or rod, using powder metallurgy techniques such as press-and-sinter, hot isostatic pressing (HIP), or metal injection molding (MIM) Vacuum arc casting is another commonly used technique which can produce mate ⁇ al having a lower porosity and lower concentration of inclusions and impurities, than mate ⁇ al formed using powder metallurgy techniques. Alternative techniques such as plasma activated sintering, microwave sinte ⁇ ng, and plasma pressure consolidation may also be used.
  • powder metallurgy techniques such as press-and-sinter, hot isostatic pressing (HIP), or metal injection molding (MIM)
  • Vacuum arc casting is another commonly used technique which can produce mate ⁇ al having a lower porosity and lower concentration of inclusions and impurities, than mate ⁇ al
  • Thin sheet mate ⁇ al is obtained using rolling techniques as are known in the art, which may include annealing steps to remove stress induced by the rolling process
  • the mate ⁇ al is cross-rolled to obtain supe ⁇ or machining characte ⁇ stics
  • the raw mate ⁇ al can be formed into the final substrate shape, using any number of techniques know in the art, including elect ⁇ cal discharge machining (EDM) wire cutting, water jet cutting, electrochemical etching, laser cutting, die- stamping, and conventional machining techniques such milling, sawing and facing Generally high-speed machining tools such as tungsten-carbide tools are required for conventional machining of Mo and its alloys.
  • EDM elect ⁇ cal discharge machining
  • rolled sheet of powder metallurgy Mo or TZM is readily available, inexpensive, and easily cut to shape, whereas vacuum arc cast mate ⁇ al is known to be amenable to polishing to a mirror surface finish, owing to its low porosity and low inclusion concentration.
  • High temperature cutting techniques such as EDM wire cutting and laser cutting must be employed with care, because the cut surfaces will be left in a b ⁇ ttle and stressed state due to the recrystallization that occurs du ⁇ ng the cutting process.
  • low temperature cutting techniques such as electrochemical etching, water jet cutting, die-stamping, or conventional machining techniques can be used.
  • the substrates are cut from rolled sheet using
  • electrochemical etching milling, EDM wire cutting, die-stamping or water jet
  • EDM wire cutting care must be taken to remove surface contamination if brass EDM wire is used, or optionally Mo EDM wire may be used.
  • electrochemical etching can offer considerable cost advantages in mass-production.
  • a chemically-resistant mask can be applied to the sheet matenal.
  • the mask can be a blanket film that is subsequently patterned using photolithographic or other patterning techniques, or the desired pattern can be preformed into the mask as it is applied.
  • the rolled sheet preferably has a thickness of between 250 ⁇ m and 2 mm, and the substrate shape is preferably a disc with a diameter selected to be between 25 mm and 150 mm. Larger diameter discs may also be used.
  • the rolled sheet is between 20% and 200% thicker than the final handle substrate, to allow for matenal removal during the grinding and lapping steps desc ⁇ bed below.
  • the substrates can be fabricated by cutting discs from a rod, wherein said rod has been formed by powder metallurgy or preferably by vacuum arc casting, and wherein said rod has a diameter substantially equal to the desired diameter of the final substrate. EDM wire cutting, water jet cutting or conventional sawing and facing techniques can be used.
  • the EDM wire cutting is expected to leave a brittle surface which is not amenable to polishing to a low roughness finish.
  • the discs can be tumbled after cutting to round the edges and remove any burrs from the discs.
  • the flatness of the handle substrates is such that the amount of warp across the handle substrate should not exceed 0.1% of the handle substrate diameter, and preferably should not exceed 0.02%.
  • Warp is herein defined as the sum of the maximum positive and maximum negative deviation of the substrate top surface from an imaginary flat plane, where the imaginary flat plane is selected to be that plane which intersects the substrate top surface and minimizes the magnitude of the warp.
  • this flatness can be obtained using conventional machining and/or EDM wire cutting techniques
  • conventional mechanical and/or chemical-mechanical lapping and grinding techniques known in the art may be used to obtain the desired flatness
  • both sides of the handle substrate are ground and/or lapped in order to minimize stress-induced bowing of the
  • a fixed abrasive grinding and/or lapping technique are used in order to minimize the production of pits in the surfaces
  • the top surface and optionally the bottom surface of the handle substrate can be polished in order to obtain a smooth surface finish Polishing of the bottom surface may be required in order to minimize bowmg of the handle substrate
  • double-disk processes as are known in the art are used throughout the grinding, lapping and polishing steps Double-disk processes are those which simultaneously grind, lap, or polish the top and bottom surfaces of the substrate
  • the sheet mate ⁇ al can be stress-relieved p ⁇ or to grinding and/or p ⁇ or to lapping and/or p ⁇ or to polishing, in order to remove stresses in the mate ⁇ al associated with the cold working
  • the stress relieving can be achieved by heating the mate ⁇ al to a temperature between
  • additional layers of mate ⁇ al may be deposited directly on the top surface either after lapping or after polishing, and this additional layer can be further polished
  • This film can be deposited using techniques known in the art such as electron-beam evaporation, magnetron sputte ⁇ ng, and chemical vapor deposition techniques As is known in the art, such vacuum-deposited films can be polished to a
  • the additional layer material is selected for its polishing and adhesion properties, its CTE match with the substrate, and/or other performance characte ⁇ stics such as its high-temperature stability and its reflectivity, and is preferably comprised of an amorphous film - or a film with a much finer polycrystalline grain size relative to the Mo substrate - of Mo, W, RJi, or TZM More preferably, the film is comp ⁇ sed of TZM or Mo and is deposited using magnetron sputte ⁇ ng
  • the film thickness is preferably selected to be in the range of 2 to 5 times the peak-to-valley roughness of the top surface Preferably, the film thickness is in the range of 0 5 microns to 5 microns If high-pu ⁇ ty mate ⁇ als are used in the deposition process, the deposited film can also serve as a diffusion barrier to Cu and other metallic impurities in the bulk Mo or Mo alloy substrate This is both because the high-pu ⁇ ty deposited film acts as a reservoir
  • the handle substrate mate ⁇ al comp ⁇ ses polycrystalline AlN (P- AlN) P-AlN can be formed using techniques known in the art such as tape-casting, hot-pressing, and press-and-sinter techniques
  • the material may also comp ⁇ se a sintering aid such as yttna and/or calcium compounds which may be present at a concentration between 0 1% and 5% by weight and is used to promote adhesion of the AlN grains and increase the density and thermal conductivity of the sintered mate ⁇ al
  • the sinte ⁇ ng aid can be reduced or eliminated to minimize the possibility of contamination of the growth chamber and/or epitaxial device layers du ⁇ ng the growth process as discussed below
  • the level of calcium is preferably less than 25ppm and more preferably less than lOppm
  • the average CTE of P-AlN over the temperature range 20 0 C-IOOO 0 C is approximately 5 6 ppm/K
  • the thermal conductivity at room temperature is typically between 1 OOW/cm/K and
  • an edge chamfer or edge round is provided to the top surface or to both the top and bottom surface, in order to facilitate handling and polishing
  • an encapsulating layer can be provided to protect the handle substrate mate ⁇ al from the highly reactive halide compounds such as HCl and GaCl that are present in the HVPE growth process
  • the encapsulating layer comprises a film that covers at least exposed surfaces of the handle substrate
  • Candidate encapsulating layer mate ⁇ als comprise silicon dioxide, silicon nitride, silicon oxi-nit ⁇ de, amorphous silicon carbide, aluminum oxi-nit ⁇ de and alumina, and can be deposited by sputtering, plasma- enhanced CVD, low-pressure CVD, e-beam evaporation, or other techniques known in the art
  • the thickness of the encapsulating layer is between 50nm and 2000nm
  • Other handle substrate mate ⁇ als comp ⁇ se single- crystal semiconductor wafers that are commercially available and encapsulated single-crystal semiconductor wafers
  • Such semiconductor mate ⁇ als are chosen to have melting temperatures above the processing temperatures associated with the growth and fab ⁇ cation of GaN-based devices
  • the melting temperature of the semiconductor substrate mate ⁇ al is greater than 600 0 C and 1000 0 C for the case of GaN-based devices grown by MBE and MOCVD, respectively
  • the semiconductor mate ⁇ als are preferably chosen to have a CTE in the range of 5 ppm/K to 8 ppm/K when averaged over the temperature range of 20 0 C to 1000 0 C
  • Suitable semiconductor substrate matenals comp ⁇ se single-crystal wafers of GaAs, single-crystal wafers of GaP, and single-crystal wafers of InP, for which the melting temperatures are approximately 1240 0 C , 1460 0 C, and 1060 0 C respectively.
  • the single-crystal wafers are provided with an encapsulating layer to prevent decomposition of the crystal surface when the substrates are heated du ⁇ ng the growth of the GaN device layers
  • Suitable encapsulating layers comp ⁇ se PECVD or sputter deposited films of silicon dioxide, silicon nit ⁇ de, silicon oxi-nit ⁇ de, aluminum nit ⁇ de, aluminum oxi-nit ⁇ de, alumina, and silicon carbide
  • the thickness of the encapsulating film is between 50 nm and 2000 nm
  • the handle substrates are engineered to be structurally stable in the growth environment at the growth temperature of the epitaxial device layers.
  • a structurally stable handle substrate is one for which the change in shape during heating to the growth temperature in the growth environment is such that the warp of the substrate at the growth temperature prior to epitaxial growth does not exceed 0.15% and more preferably does not exceed 0.05% of the handle substrate diameter.
  • the handle substrates can be engineered to be structurally stable by selecting handle substrate materials that do not undergo bulk recrystallization, melting, or other phase changes at or below the growth temperature, and/or do not decompose in the growth environment at the growth temperature.
  • a backside layer can be provided to the handle substrate such that a stress-thickness product in the backside layer at the growth temperature substantially balances the stress-thickness product in the epitaxial device layers and/or in the transfer layer.
  • Suitable backside layers can comprise amorphous or poly-crystal films of silicon nitride, silicon dioxide, silicon oxi-nitride, aluminum nitride, aluminum oxi-nitride, alumina, silicon carbide or other materials selected for their CTE, thermal conductivity, ease of removal, cost, and/or chemical stability in the growth environment and at the growth temperature.
  • These backside layers can be deposited by sputtering, CVD, PECVD, evaporation, or other methods as are known in the art.
  • the CTE of other handle substrate materials may be specifically engineered to match the CTE of GaN or other materials by altering the composition of the substrate material.
  • At least one surface of the thin layer 12 and/or handle substrate 20 is optionally provided with bonding layers 13, 21 in a manner known in the art.
  • Such bonding layers may comprise SiO 2 , Si 3 N 4 , Al 2 O 3 , AlN, Al- doped ZnO, or other materials known in the art.
  • the stoichiometry of the bonding layer can be modified to adjust the stability and chemical nature of the deposited layer. For example, by increasing the Si to N ratio in silicon nitride from the 3:4 ratio (i.e., by forming a silicon rich silicon nitride in which the Si:N ratio is
  • Suitable bonding layer materials are subject to the requirements that they can be deposited with sufficient pu ⁇ ty so as not to degrade the electrical performance of the finished device structure, are thermally stable to the growth temperature of the epitaxially grown device structure (for example, >1000 0 C), and can be polished to a low local micro-roughness (preferably ⁇ 1 0 nm root-mean-square roughness between larger defects)
  • These layers can be deposited by conventional techniques comp ⁇ sing electron-beam evaporation, sputter deposition, ion-assisted sputter deposition, chemical vapor deposition, plasma-enhanced chemical vapor deposition, and other techniques.
  • the layers may also be alloyed with other mate ⁇ als or implanted to improve their thermal conductivity, electrical conductivity, or both.
  • the bonding layer can comp ⁇ se Ni or other metallic film selected for its thermally activated grain growth and bulk diffusion characteristics, its low vapor pressure and its compatibility with the epitaxial growth environment.
  • Adhesion layers comprising Ta, Ti, TaN, TiN, Pt, Cr or other adhesion layers known in the art can be provided between the handle substrate and the metallic film bonding layer.
  • a metallic bonding layer and adhesion layer if it is provided are deposited onto a polished dielectric bonding layer
  • the metallic bonding layer is provided to the bonding surfaces of at least one of the source wafer and the handle substrate, and preferably to the bonding surface of both the source wafer and the handle substrate.
  • the metallic bonding layer thickness is preferably between 50nm and 500nm thick and the adhesion layer or layers if they are provided are preferably between lOnm and lOOnm thick.
  • the Mo or Mo-alloy may, optionally, be annealed p ⁇ or to deposition of an adhesion layer or bonding layer and eventual bonding.
  • this anneal step serves several purposes. First, it removes volatile surface oxides, organics, and other sources of contamination that may impact the adhesion of a deposited bonding layer. Additionally, depending upon the fab ⁇ cation technique used to make the Mo or Mo-alloy substrates, the substrates may exhibit some slight porosity that leads to out-gassing at high temperatures By performing a high temperature anneal above 800 0 C p ⁇ or to further processing, the magnitude of out-gassing du ⁇ ng subsequent high temperature processes such as epitaxial growth
  • the annealing ambient should be a non-oxidizing ambient, such as ultra-dry nitrogen, a hydrogen/nitrogen mixture, a vacuum, or another reducing environment known in the art to prevent oxidation and decomposition of the Mo substrate This is particularly important for thermal processing at temperatures above 300 0 C
  • an encapsulating layer can be provided to protect the Mo or Mo alloy handle substrate from oxidation
  • an adhesion promoting film or adhesion layer can be deposited
  • suitable adhesion layer mate ⁇ als comp ⁇ se TiN, Ti, Cr, molybdenum sihcide, any alloy of Mo, Si, C, and N, or another adhesion layer known in the art As with the bonding layer mate ⁇ al itself, the selection of the adhesion layer is subject to the requirements that the layer
  • a diffusion bar ⁇ er can be provided between the P-AlN top surface and the bonding layer
  • the thickness of the diffusion bar ⁇ er layer is between 5nm and 500nm
  • an adhesion layer such as a layer of silicon dioxide
  • the adhesion layer is between 5nm and 50nm thick It is possible that high vapor pressure elements such as calcium, and/or rapidly diffusing elements such as yttrium, can migrate from the P- AlN to the epitaxial device layer when the intermediate substrate is heated to the growth temperature, either by out-gassing of the elements into the growth chamber environment and subsequent deposition onto the growth surface, or by bulk diffusion into the epitaxial layers
  • the substrate can be fully encapsulated by the diffusion barrier material in order to prevent the migration of these elements to the growth chamber and/or to the epitaxial device layer
  • alternative sintering aids can be used which do not outgas or react with process gasses in the epitaxial growth environment
  • Such sinte ⁇ ng aids comp ⁇ se silicon oxide, silicon nit ⁇ de, silicon oxi-nit ⁇ des, and other mate ⁇ als selected for their compatibility with the epitaxial growth environment and their sintering performance
  • poly- crystal AlN substrates can be obtained without sinter
  • an encapsulating layer can be provided to prevent extraneous growth of the III-nit ⁇ de mate ⁇ al on the exposed regions of the handle substrate
  • the encapsulating layer may comp ⁇ se a diffusion barrier layer mate ⁇ al, a bonding layer mate ⁇ al, a combination of both a diffusion bar ⁇ er and a bonding layer mate ⁇ al, or a layer of another mate ⁇ al selected for its resistance to extraneous growth, adhesion properties, stability at the growth temperature and in the growth environment, and resistance to chemical attack du ⁇ ng pre
  • bonding layers 13 and 21 reduces the surface smoothness requirement of the thin layer 12 and/or handle substrate 20 due to the improved mechanical compliance of the bonding layer relative to GaN and Mo Additionally,
  • the deposited bonding layer can be densified p ⁇ or to polishing, by annealing the film at a temperature between 200 and 1100 0 C to reduce the quantity of hydrogen and other gaseous species trapped in the bonding layer material prior to polishing the bonding layer. Additionally, by densifying the bonding layer mate ⁇ al to a high temperature prior to polishing and subsequent bonding, the density of the deposited layer is increased, thus reducing the risk of buildup of stress in the bonding layer that may contribute to film adhesion instability during post-bonding processing.
  • any stoichiometry loss at the surface of the bonding layer can be recovered by polishing away the surface material to leave a smooth ( ⁇ 1.0 nm rms-roughness) and homogeneous film. More preferably, the out-gassing anneal is conducted at a temperature above that necessary to ensure that out-gassing from the bonding layer du ⁇ ng growth of the device structure at temperatures in excess of 1000 0 C do not lead to failure of the bonded interface resulting from gas accumulation.
  • This temperature can be determined by a combination of secondary ion mass spectroscopy (SIMS) analysis and sample fab ⁇ cation and stress testing
  • SIMS secondary ion mass spectroscopy
  • This out-gassing anneal process can be advantageously performed to reduce residual stresses in the bonding layer film, and additionally to reduce the concentration of trapped gas in the bonding layer.
  • annealing of the layer stack prior to polishing can advantageously promote the thermal stability of the adhesion layer.
  • the adhesion layer is susceptible to structural and chemical instabilities such as agglomeration and/or oxidation if it is heated to over 1000 0 C after the bonding layer has been polished.
  • adhesion layer comprising a film of TiN can be rendered thermally stable at temperatures over 1000 0 C, by performing an annealing step of the adhesion layer/bonding layer stack prior to polishing of the bonding layer. If a metallic or eutectic bonding layer is provided, then the annealing procedure is preferably performed at a temperature below which agglomeration or melting of the film will occur, for example a temperature below 200C. The annealing of a metallic or eutectic bonding layer can advantageously be performed in forming gas or other reducing environment to promote the reduction of surface oxides.
  • the handle substrate comprises yttria-containing P-AlN and the bonding layer comprises silicon dioxide
  • Y-Al-O-Si compounds can form in localized regions of the bonding layer upon annealing above 1000 0 C. The presence of these compounds impacts the local polishing characteristics of the bonding layer and can result in shallow depressions in the polished bonding layer.
  • a diffusion barrier layer can be supplied as described above, or the densification temperature of the bonding layer prior to polishing can be selected to be at a temperature below which diffusion occurs.
  • the densification temperature in this case is between 800 0 C and 1050 0 C.
  • the level of yttria sintering aid in the handle substrate material may be reduced in order to minimize the reaction of the sintering aid with the bonding layer.
  • the level of yttria in the handle substrate material is preferably less than 0.5% by weight.
  • the P-AlN handle substrate can be formed by hot-pressing, which can require less sintering aid than tape-casting or press-and-sinter techniques.
  • a single layer can serve as a bonding layer, adhesion layer, diffusion barrier and/or encapsulating layer, depending on the material of the layer.
  • the optional bonding layer 13 conforms to the exposed surfaces of the etched areas 14.
  • the optional bonding layer 13 advantageously serves as surface passivation for the exposed etched areas 14 and prevents surface decomposition and geometrical distortions of etched areas 14 during
  • the bonding layer(s) also serve as sacrificial release layers to allow the handle substrate 20 to be removed from the completed device if desired Additionally, the bonding layers can be selected to serve as a diffusion barrier between the Mo or Mo-alloy substrate and the thin layer 12 to inhibit the diffusion of metallic impurities from the Mo or Mo-alloy substrate to the device structure dunng high-temperature epitaxial processes
  • Such bonding layers comp ⁇ se TiN, amorphous Mo, amorphous TZM, or other layers known to those skilled in the art
  • the surface of the bonding layer, the surface of the handle substrate, or both may also be treated, such as by etching, to increase their porosity These pores are useful for allowing trapped gas and implanted species to diffuse away from the bonded interface
  • GaN/mechamcal support structure would reduce the yield loss for stressful processing steps in the fabncation of a GaN/Mo intermediate substrate compnsing ion implantation, bonding layer polishing, and reclaim of GaN substrate for producing more intermediate substrate
  • a GaN/mechamcal support structure will make the GaN substrate less susceptible to mechanical failure due to thermal stresses in the GaN and Mo or Mo alloy bonded pair that are induced by temperature excursions between the bond initiation temperature and the exfoliation and transfer of the thin GaN layer to the Mo or Mo alloy substrate
  • the nsk of GaN fracture due to thermal stress induced in the bonded Mo/GaN structure can be further complicated by the possible presence of residual defects such as small cracks or polycrystalline inclusions in the free
  • the mechanical support substrate should be selected to have a CTE very near that of GaN, for example within 0 to 20% of the CTE of GaN. Any significant deviation from the CTE of GaN should be a lower CTE, rather than a higher CTE. This will ensure that the GaN substrate is in compressive stress, making it less susceptible to cracking or fracture.
  • Candidate mate ⁇ als for mechanical support substrate that meet these requirements comprise W and MoW alloys. Because these mate ⁇ als are metallic, they are less brittle than GaN and thereby less prone to fracture.
  • the GaN substrate can be mounted to the mechanical support substrate using a mate ⁇ al that is tolerant of the high temperatures experienced in the fab ⁇ cation steps of the GaN/Mo intermediate substrate, in particular the exfoliation anneal.
  • suitable mounting materials include ceramic pastes, metallic films, and compliant oxides.
  • the thin transferred layer 12 with the source wafer 10 is wafer bonded to the handle substrate 20.
  • the wafer bonding can be achieved by direct wafer bonding, by bonding with optional bonding layers 13, 21, by metallic bonding, or by other well-known techniques as disclosed in "Semiconductor Wafer Bonding" by Q. -Y. Tong and U. G ⁇ sele.
  • the etched areas 14 advantageously collect trapped gas and implanted species and prevent formation of bubbles from excess gas pressure at the bonded interface.
  • the improved bubble-free bonded interface increases the yield and efficiency of the light-emitting device structure.
  • the photonic lattice structure formed by etched areas 14 simultaneously improves the light extraction efficiency of the light-emitting device according to the embodiments of the invention.
  • Thermal stress, mechanical stress, or chemical etching are applied to the weak interface 11 after wafer bonding to exfoliate the thin layer 12 from the source wafer 10 as illustrated in FIG. 2G leaving the thin layer 12 bonded to the handle substrate 20
  • the source wafer 10 is preferably removed by thermal annealing which causes the weak interface 11 to break and results in exfoliation of the thin layer 12 from the source wafer 10.
  • the surfaces of both substrates are prepared by removing organic contamination with a solvent clean
  • this process includes mega-sonic or ultra-sonic cleaning in acetone and methanol for a pe ⁇ od of 10 seconds to 60 minutes, followed by a deionized water ⁇ nse The surfaces are then d ⁇ ed by a combination of nitrogen blowing and spinning the sample
  • the bonding is performed without metallic bonding layers, for example if dielectric bonding layers or no bonding layers are used
  • the surfaces of the substrates are then prepared for wafer bonding using a plasma surface activation with an Ar, O 2 , N 2 , or other plasma species
  • the plasma treatment is performed with an atmospheric pressure plasma system using O 2 at a power of 200 to 400 W using a scanning plasma head for a total number of passes of 1 to 10
  • this bonding pressure is between 1 and 10 MPa, and for bonding with a metallic bonding layer the bonding pressure is between 0 and 5 MPa.
  • the temperature of the wafer-bonded sapphire- handle structure is raised to a peak temperature between 450 to 600 0 C depending on the implantation conditions of the sapphire.
  • the duration of the exfoliation step is between 1 and 60 minutes depending on the exfoliation conditions.
  • the wafer bonding and layer transfer process is similar as the process for transferring a thin film of sapphire described above with the following exceptions.
  • the improved CTE-match between he GaN source wafer and the handle substrate allows the bond initiation temperature be lower, because the temperature excursion between bond initiation and layer exfoliation induces less strain on the wafer-bonded GaN-handle structure.
  • bond initiation is preferably performed between room temperature and 250 0 C. More preferably, the bond is initiated at a temperature between 50 and 150 0 C.
  • the pressure applied during the bonding thermal cycle is reduced.
  • the bond pressure is between 0 and 10 MPa. More preferably the bond pressure is between 0.5 and 5 MPa.
  • the exfoliation temperature can be lower, preferably ranging from 350 to 600 0 C.
  • first and second handle substrates can be used to fabricate the intermediate substrate for GaN growth comprising a thin transferred sapphire layer bonded to the second handle substrate.
  • a thin transferred sapphire layer is first wafer bonded and transferred to a first handle substrate.
  • Metallic bonding layers such layers comprising Cu, Ni or eutectic alloy materials as described previously are provided to the bonding
  • Adhesion layers comp ⁇ sing Ta, TaN, Ti, TiN, Pt, Cr and others can also be provided between the substrate surface and the metallic bonding layers.
  • Candidate materials for the first handle substrate comp ⁇ se TZM, P-AlN, alumina and single crystal or poly-crystalline GaAs.
  • Dielectric bonding layers such as silicon dioxide or silicon nitride can be provided on one or both bonding surfaces of the transferred sapphire and the second handle substrate.
  • a suitable metallic bonding layer such as Ni can be provided on both of the bonding surfaces, with approp ⁇ ate adhesion layers as described above.
  • This double-bond process would preferably use a sacrificial lateral etch layer in the first handle substrate to enable selective release of the thin sapphire layer from the first handle substrate to the second handle substrate
  • This etch layer is preferably selectively removable by etching relative to the second handle substrate and the bonding layer used between the thin sapphire layer and the second handle substrate in the intermediate substrate.
  • plana ⁇ zation and smoothing of the thin transferred sapphire layer supported on the first handle substrate to improve subsequent wafer bonding may be performed This can be done by deposition of a bonding layer and subsequent polishing as described in the section on "Preparation for wafer bonding."
  • a transparent first handle substrate could be used in conjunction with a bonding layer that can be decomposed, ablated, or otherwise weakened through the use of an optical process such as laser irradiation.
  • the first handle substrate removal can be enabled by bonding the implanted sapphire source wafer to a material that is CTE- matched to sapphire, or has a CTE that is between GaN and sapphire.
  • the first handle substrate may be selectively etchable, g ⁇ ndable, or polishable relative to the thin sapphire layer, the second handle substrate, and bonding layer.
  • the first handle substrate comprises single crystal or poly-crystal GaAs
  • the first handle substrate can be selectively etched using nitric acid.
  • Another wafer bonding approach to minimize the adverse impact of the CTE- mismatch between sapphire and GaN-CTE-matched handle substrates is to thin the sapphire source wafer to minimize the elastic strain energy during wafer bonding and layer transfer while simultaneously increasing the stress in the wafer bonded sapphire wafer that would assist in the exfoliation of the thin sapphire layer.
  • This can be done for sapphire source wafer as thin as 100 ⁇ m or thinner for R-plane-oriented sapphire and 150 ⁇ m or thinner for C-plane sapphire.
  • the sapphire source wafer can be made even thinner.
  • a combination of grinding, lapping, and polishing can be used to further thin the sapphire source wafer, preferably to less than 50 ⁇ m in thickness. More preferably, the sapphire source wafer would be further thinned to less than 25 ⁇ m.
  • the surface may require thermal annealing, chemical-mechanical polishing, and/or deposition of a bonding layer and subsequent polishing to prepare the surface for bonding.
  • the final root-mean-square surface roughness is ⁇ 1.0 ran.
  • a thin sapphire transferred layer on a TZM or P-AlN handle substrate can be fabricated by bonding a thinned sapphire substrate to the handle substrate. Then the thinned sapphire substrate can be thinned by a combination of grinding, lapping, and polishing resulting in a thin film preferably thinner than 10 ⁇ m
  • any subsurface lattice damage due to the thinning and polishing process can be removed by the use of a dry etch process to remove preferably at least 1 ⁇ m of sapphire material.
  • the thinned sapphire substrate can be bonded to a first handle substrate using a eutectic bonding layer
  • the first handle substrate compnses a disc of alumina, poly-AIN, or other material chosen for its low cost, CTE-match with sapphire, and rigidity.
  • the first handle substrate is preferably flat and parallel to a tolerance of less than 1 micron over the diameter of the substrate Such tolerances can be achieved using standard double- side lapping techniques known in the art
  • the thinned sapphire substrate can be lapped and polished to a thin film preferably thinner than 5 ⁇ m and more preferably thinner than 2 ⁇ m.
  • the thin film sapphire can then be bonded to a second handle substrate such as a substrate comprising TZM or P- AlN.
  • the entire bonded stack can then be heated to a temperature exceeding the liquidus temperature of the eutectic alloy, and the first handle substrate removed by mechanical means.
  • the eutectic alloy compnses Au and Sn and the liquidus temperature is below 250C.
  • chemical treatments can be used to remove residual alloy metals from the sapphire surface and the surface can be polished to achieve a smooth surface finish suitable for epitaxial growth.
  • the wafer bonding and layer transfer steps comprise the use of pre- patterned handle substrates to allow local relaxation of the stress and strain caused by the CTE-mismatch between sapphire and the handle substrate
  • the pre-patterned handle substrate would comprise a grid of etched trenches in the prepared bonding layer on the handle substrate
  • the spacing and location of the grid of etched trenches would partially, selectively, or completely match the boundanes of the device or LED dies to be fabricated ultimately on the wafer-bonded intermediate substrate
  • the depth of the trenches can be shallow, preferably greater than 5 nm deep
  • the width of the trenches can be selected to either leave an intact thin sapphire layer above the trench following layer transfer and thinning or to leave an open trench without thm sapphire layer spanning the gap
  • the pre-patterning can be applied to the prepared bonding layer on the sapphire source wafer or applied directly to the exposed and polished surface of the sapphire source wafer.
  • the trench width is narrower than the final thickness of the thin sapphire layer ( ⁇ 200 nm) the thin sapphire layer will span the trench and remain intact.
  • an alternative bonding and layer transfer process for transfer of thin sapphire layer to a handle substrate takes advantage of the optical transparency of the source sapphire substrate.
  • the transparent sapphire allows selective irradiation of the bonded interface and the implantation-induced defect structures with an optical source.
  • the optical irradiation would selectively anneal the implanted region of the bonded structure and improve the exfoliation kinetics of the thin sapphire layer while reducing the temperature excursion and any associated elastic strain energy and stress induced in the bonded structure.
  • the optical sources preferably produce irradiation selectively absorbed by the implanted region.
  • Optical sources suitable for this process comprise CO, HF or DF lasers operating in pulsed mode. It is preferable that the optical source produces sufficient optical power to simultaneously irradiate the entire area of the bonded structure and to allow simultaneous exfoliation of the entire thin sapphire layer and to prevent generation of localized defects and non-uniform stress in the thin layer.
  • an alternative bonding and layer transfer process for transfer of sapphire or GaN thin layers to a handle substrate uses microwave excitation to strengthen the bond and to drive exfoliation of the thin layer comprising sapphire or GaN.
  • Microwave excitation has been shown to accelerate the H-induced exfoliation of Si in Applied Physics Letters 87 (22): Art. No. 224103 NOV 28 2005.
  • the low absorption of sapphire in the microwave frequency range from 900 MHz to 2.5 GHz can be used to enable selective excitation and heating of the handle substrate, the bonding layer, bonds in the defect microstructure of the implanted region, or some other combination of these structural elements.
  • An appropriate frequency range can be selected to minimize heating of the handle substrate so that the implanted region is selectively heated resulting in a temperature gradient in the sapphire source wafer that increases stress in the implanted region and enhances the layer transfer process. Such a process enables higher effective exfoliation temperatures in the implanted region
  • selective microwave absorbers are preferably implanted, for example to a dose of 5x10 15 ⁇ 5x10 16 cm "2 , to enhance local heating of the implanted region.
  • Candidate species for implant comprise Mg, Be, Al and other elements, metallic or otherwise, that have high microwave absorption coefficients relative to sapphire.
  • the implant energy should be as high as practical, for example 150 to 400 keV.
  • These selective absorbers could be implanted either prior to or after implantation with an exfoliating agent such as H and/or He.
  • Microwave excitation could also be used to drive the wafer bonding and layer transfer of thin GaN layer to a handle substrate.
  • direct excitation of the implanted H and/or He in the GaN source wafer will allow for enhanced exfoliation at low substrate temperatures as was described for sapphire above.
  • the same process for implanting selective microwave absorbers as described above for sapphire can preferably be applied to GaN.
  • epitaxial growth can be used to grow a superstructure comprising a high Al content AlGaN absorber region of 50 nm thickness followed by a GaN region that is comparable in thickness to the depth of a H- or He-implanted region.
  • implantation of H at 100 keV results in a H peak centered at 600 nm below the GaN surface
  • implantation of He at 150 keV results in a He peak centered at 600 nm below the GaN surface.
  • the N-face of the GaN substrate should be presented for bonding, therfore the superstructure described above should be grown on the N-face of a freestanding GaN substrate.
  • the use of a bonding layer and polishing process can improve the surface of the freestanding GaN with epitaxial superstructure for bonding. H and/or He will be implanted to a dose sufficient to drive exfoliation of the GaN. The energy of the
  • the implant will be selected to place the concentration peak at or near the selective absorber layer of high Al content AlGaN
  • concentration peak at or near the selective absorber layer of high Al content AlGaN
  • implantation dosages of >1 5xl0 I? cm 2 or >1 5xlO 17 cm 2 of H or He respectively will be used preferably.
  • the application of an appropnately selected frequency, power, and duration of microwave excitation can be used to perform wafer bonding and layer transfer.
  • the thin transferred layer is preferably not exposed to a humid environment or water during processing steps immediately following layer transfer and the process preferably is conducted in a dehumidified environment
  • the propensity of a transferred film to peel away from the bonding interface can be higher for layers such as sapphire whose surfaces are relatively chemically inert and stable against formation of covalent bonds with other surfaces
  • the inert nature of the surface can be caused for example by the presence of hydro xyl groups that chemically passivate the surface, or it can be due to the intrinsic bond strength of the covalently bonded source material
  • the rigidity of the source wafer often prevents the bonding surfaces from coming into intimate contact under application of typical bonding pressures, which must be low enough to prevent
  • the bonding layer 13 may comprise silicon oxide, silicon nitride and/or aluminum nitride, which are deposited by a plasma-enhanced CVD process to simultaneously perform a plasma activation of the source wafer 10.
  • a separate plasma activation treatment of the source wafer 10 may be performed prior to the deposition of the bonding layer 13.
  • the bonding layer 13 may be deposited by a method other than PECVD.
  • an adhesion layer is inserted between the bonding layer and the handle substrate.
  • an annealing procedure is performed as described previously to densify the deposited bonding layer and further increase the adhesion strength.
  • the annealing temperature is preferably between 600 0 C and 1000 0 C.
  • the efficacy of the low-temperature bond-strengthening anneal is improved by increasing the temperature difference between the bond initiation temperature (that temperature at which pressure is applied to the sapphire-handle stack to initiate bonding) and the bond-strengthening anneal temperature.
  • bond initiation is performed at or below 150 0 C and the bond-strengthening anneal is conducted at 250 0 C or above for at least 30 minutes.
  • Increasing the duration of the bond-strengthening anneal results in improved bond strength between the sapphire and the handle substrate with a saturation of bond strength generally being reached within 20 hours of bond initiation.
  • the bond-strengthening anneal can be conducted with or without an applied pressure
  • a simple batch furnace process can be used to perform the bond strengthening anneal improving process throughput, reducing capital equipment costs, and resulting in a more manufacturable process
  • an adhesion layer and preferably a bonding layer are first deposited on the handle substrate surface p ⁇ or to bonding
  • annealing steps can be performed following the layer transfer process in order to increase the strength of the bond.
  • Such annealing steps can optimize the stability of the thin transferred layer against peeling and lift-off during subsequent process steps
  • an external pressure is applied normal to the surface of the thin transferred layer to prevent the thin layer from peeling du ⁇ ng the annealing procedure.
  • This pressure is preferably between 0 5 MPa and 50 MPa and more preferably between 1 MPa and 20 MPa.
  • the application of this pressure on the thin transferred layer enables more efficient bonding than is possible with pressure applied p ⁇ or to layer transfer, owing to the decreased ⁇ gidity of the thin transferred layer relative to the thick source wafer
  • a sheet of material that is slightly compressible is inserted between the point at which pressure is applied and the top surface of the thin transferred layer, in order to more efficiently distribute the pressure over the thin layer and facilitate intimate contact of the bonding surfaces.
  • Suitable materials include graphite, mica, or any other matenal that is compressible in a direction normal to its surface and maintain mechanical rigidity in the directions parallel to the surface
  • the annealing temperature is selected to be in a range where substantial covalent bonding occurs between the surface of the thin transferred layer and the surface to which it is bonded In the case of a thin sapphire layer wafer bonded to a silicon dioxide, silicon nitride, or aluminum nit ⁇ de bonding layer, this temperature preferably falls in the range of between 500 0 C and 1400 0 C and is more
  • the duration of the annealing process is preferably between 10 minutes and 10 hours.
  • the source wafer 10 can be reused in subsequent repetition of the process by removing the ion implantation damage and roughness of the top surface of the source wafer 10 through the use of accepted semiconductor processing techniques such as chemical etching or chemical mechanical polishing.
  • hot KOH at 5 to 50% dilution in deionized water at a temperature between 25°C and 200 0 C, preferably between 4O 0 C and 110 0 C, for a duration between 10 seconds and 60 minutes, depending on the dilution ratio and total implantation dose preferentially etches the implantation-induced damage at the exfoliated surface of the GaN source wafer leaving a smooth surface that is suitable for bonding following the deposition and polishing of a bonding layer on the GaN source wafer and subsequent implantation of the structure, as described below.
  • Cross- sectional TEM analysis shows that the wet etch with KOH does not completely remove subsurface damage.
  • a polish step or a dry etch comprising RIE may be necessary to remove subsurface damage while maintaining planarity and smoothness.
  • RIE reactive ion etch
  • the encapsulating film comprises silicon dioxide, silicon nitride, amorphous silicon carbide, aluminum oxide or some other material that is conveniently deposited using chemical vapor deposition (CVD), such as plasma-enhanced or low-pressure CVD, or physical deposition techniques, such as sputter deposition or thermal evaporation.
  • CVD chemical vapor deposition
  • amorphous silicon carbide aluminum oxide or some other material that is conveniently deposited using chemical vapor deposition (CVD), such as plasma-enhanced or low-pressure CVD, or physical deposition techniques, such as sputter deposition or thermal evaporation.
  • Ga-face GaN reclaim process is as a planarizing step for the growth surface of related Ill-nitride semiconductors.
  • the exfoliation is expected to be highly planar with ⁇ 10 nm of surface roughness.
  • the exposed surface can be highly planarized and the
  • the III-Nit ⁇ de freestanding semiconductor is AlN and the implantation process consists of implanting with a dose sufficient to induce exfoliation to a depth of at least 500 nm followed by a wet etch in a KOH solution and a dry etch using a Cl-based RIE or ICP RIE step As with GaN reclaim, it is desirable to protect the N-face of the AlN using a deposited encapsulating film
  • a polishing process can be used to reduce the fracture-induced roughness of the N-face and to remove residual subsurface lattice damage caused by ion implantation.
  • the polish process can be a st ⁇ ctly mechanical process using a polish pad and a slurry comprising silica, alumina, SiC, diamond, or other slurry abrasive suspended in water as known in the art Alternatively, the chemistry of the suspending fluid can be adjusted to enhance the polish rate and improve the polish uniformity
  • modification chemistries comprise KOH, NaOCl, or other chemicals known to controllably etch the N-face of GaN.
  • the polish process is also applicable to the Ga-face of GaN.
  • the polish process can be optimized to enable direct bonding of the N-face of GaN to the handle substrate.
  • the N-face can be planarized by reducing the roughness of the N-face of the GaN to an acceptable level with an initial polish followed by the deposition, densification, and polishing of a bonding layer material as is further described in the previous section
  • a bonding layer comprises SiO 2 , Si 3 N 4 or other material conveniently deposited and polished.
  • the bonding layer should be thin following the polish process to allow subsequent ion implantation to create a damaged layer as the weak interface at a depth sufficient to allow removal of damage implantation damage and reduction of the surface roughness p ⁇ or to growth.
  • the post-polish bonding layer has a roughness of ⁇ 0 5 nm, a thickness of ⁇ 200 nm, and the implantation-induced damaged layer is at a depth > 500 nm from the GaN-bonding layer interface.
  • HB high-brightness
  • the light- emitting device structure enabled according to the first embodiment of the invention reduces the cost contribution of source wafer 10 and enables economical production of much higher performance HB-LEDs as the direct benefit of using high-quality low-defect-density freestanding GaN or AlN substrates.
  • a wet etch treatment can be used to reduce the fracture-induced roughness of the weak interface after exfoliation, to remove residual subsurface lattice damage caused by ion implantation, and to remove by lift-off any small remnants of the thin layer remaining on the source wafer.
  • the wet etch treatment preferably uses heated chemical solutions containing phosphoric acid or more preferably a heated ortho- phosphoric solution sold under the trade name of Transetch-N®.
  • the preferred temperature range of the heated chemical solution is 150 0 C to 220°C.
  • the preferred treatment time ranges from 10 minutes to 2 hours.
  • a high temperature anneal of the sapphire source wafer in the atmosphere is preferred.
  • a high temperature anneal of the sapphire wafer surface at 1380 0 C for ⁇ 1 hour results in atomically flat surface on the sapphire wafer and readies the treated sapphire source wafer for reuse in the transfer of subsequent thin layer.
  • the surface at the weak interface 11 may be rough and may contain substantial lattice damage if ion implantation defined the weak interface 11.
  • a smoothing or planarization step may be needed, comprising mechanical polishing, chemical mechanical polishing (CMP), or chemical etching of the surface of the thin transferred layer 12.
  • CMP chemical mechanical polishing
  • High temperature thermal annealing is another option for smoothing the weak interface 11.
  • the smoothing step removes surface damage and roughness and allows an improved surface 14 for subsequent epitaxial growth.
  • an intermediate substrate 15 comprising the thin transferred layer 12 bonded to the handle substrate 20 is formed.
  • the implantation damage on the Ga-face of the thin transferred layer in the weak interface 11 is removed by using inductively-coupled plasma reactive ion etching (ICP RIE).
  • ICP RIE inductively-coupled plasma reactive ion etching
  • a gas mixture of Cl 2 at 5 seem and N 2 at 45sccm, ICP power at 500W, substrate power at 5OW, chamber pressure at 0.5 Pa, and wafer chuck at room temperature (20 0 C) the implantation damage is controllably removed at a rate of 50-80nm/minute.
  • an appropriate amount of sapphire including implantation damage can be removed.
  • the final thickness of the thin GaN layer should be 5 ⁇ m or less. More preferably, the final thickness of the thin GaN layer is between 50 and 1000 nm.
  • a thermal annealing treatment can be used to reduce lattice strain in the transferred layer, arising from defects and stress associated with the implantation and transfer process.
  • the present inventors have found that depending on the implant conditions, there can be a significant lattice strain in the GaN transferred layer 12. This lattice strain can be in the form of a compressive strain in the in-plane direction.
  • the present inventors have found that annealing of the GaN transferred layer can substantially reduce this strain, as measured by X-ray diffraction.
  • an in-plane strain present in the thin GaN single crystal layer after it has been exfoliated but prior to the annealing process has a magnitude greater than 0.3%
  • an in-plane strain present in the thin GaN single crystal layer after the annealing process has a magnitude less than 0.6%, such as less than 0.3%.
  • the film is preferably capped with a capping material prior to heating. The capping material is deposited directly onto the exposed GaN transferred layer surface prior to annealing, and is removed after the annealing process is complete.
  • Suitable capping materials include silicon nitride, silicon dioxide, or other dielectrics deposited by PECVD, LPCVD or sputtering.
  • the thickness of the capping material is thick enough to protect the GaN transferred film surface during annealing and thin enough to avoid cracking of the encapsulation material during annealing.
  • An exemplary capping layer comprises a film of nitrogen-rich silicon nitride deposited by PECVD with a thickness between 50nm and 400nm.
  • the film is annealed in an atmosphere comprising nitrogen, ammonia or ammonia and hydrogen, and is annealed at a temperature between 700 0 C and 1300 0 C, for example between 800 0 C and 1200 0 C for a time interval of between 10 and 60 minutes.
  • the capping layer can be removed using selective chemical etching or dry etching such as ICP RIE Preferably ICP RIE is used.
  • ICP RIE is used.
  • the annealing process can be performed either before or after the implantation damage on the Ga-face of the thin transfer layer is removed.
  • the capping layer and the implantation damage can be removed using the same ICP RIE tool, in order to reduce processing time and costs.
  • different RIE chemist ⁇ es can be used for each step.
  • CF 4 gas can be used during the removal of a silicon nitride capping layer
  • Cl 2 can be used during the removal of the implantation damage.
  • the procedure comprising ion implantation, layer transfer, and encapsulated annealing as desc ⁇ bed above may be used to reduce a level of defects such as a dislocation density in an epitaxial film that is subsequently grown on the transferred layer
  • a level of defects in the epitaxial film can be less than a level of defects present in the GaN source wafer from which the layer was transferred
  • a dislocation density in the GaN source mate ⁇ al of greater than 1X10 7 cm "2 may be reduced to a density below 1X10 7 cm "2 , such as IXlO 6 Cm "2 , in an epitaxial GaN film grown on the transferred layer, as determined by transmission electron microscopy measurements.
  • a layer is transferred after the implantation step and epitaxial growth is performed on the exposed surface of the transferred layer.
  • a level of defects in a GaN or other Ill-nitride material is reduced using a process comprising ion implantation of the Ill-nitride mate ⁇ al and optionally exfoliation of a layer, but the epitaxial growth is performed on the surface of the source wafer. It is anticipated that this procedure can be used to reduce a level of defects in GaN material produced by any number of techniques known in the art, such as by MOCVD
  • a GaN film grown by MOCVD on a c- plane sapphire substrate using state of the art techniques has Ga-face polanty and typically has a dislocation density of greater than IXlO 8 Cm "2 , such as IXlO 9 Cm 2
  • the GaN film (or another III- nit ⁇ de film) grown on the sapphire (or another substrate) substrate is then subjected to a process comprising ion implantation of the exposed Ga-face to generated a damaged region, optionally followed by exfoliation of a thin film, followed by encapsulated annealing, before subsequent growth of additional GaN material by MOCVD on the damaged or exfoliated GaN film located on the sapphire substrate
  • the ion implantation species and energy can be selected to induce exfoliation preferably using hydrogen ions, helium ions, or some combination of both as descnbed elsewhere in the specification Alternatively, a heavy ion species,
  • the implantation damage on the Ga-face of the thin transferred layer in the weak interface 11 can be selectively removed after exfoliation of the thin transferred GaN layer 12 by using a wet chemical etch compnsing a hot KOH deionized water solution as disclosed in the earlier section on reusing source wafer.
  • any threading dislocations present in the thin transferred layer would be preferentially etched at a slow enough rate to minimize formation of etch pits Any etch pits formed would be very shallow with very low aspect ratio ( ⁇ 0 2)
  • This wet chemical etch process can be used either instead of the ICP-RIE process mentioned, or it can be used after ICP RIE in order to reduce the surface roughness further or to remove damage caused by the ICP-RIE process.
  • the implantation damage on the exposed surface at the weak interface 11 is removed after
  • ICP RIE inductively-coupled plasma reactive ion etching
  • a gas mixture of BCI 3 at 15 seem and Cl 2 at 15 seem, ICP power at 700W, substrate power at 350W, chamber pressure of 4 Pascal, and wafer chuck temperature at room temperature (2O 0 C) the implantation damage is controllably removed at 20 ⁇ 30nm/minute of etch time.
  • an appropriate amount of sapphire including implantation damage can be removed.
  • the final thickness of the thin sapphire layer should be 5 ⁇ m or less to prevent cracking and of the thin sapphire layer during subsequent thermal cycling. More preferably, the final thickness of the thin sapphire layer is between 50 and 1000 run.
  • a wet chemical etch is used to remove residual subsurface lattice damage caused by ICP RIE.
  • the wet etch treatment preferably uses heated chemical solutions containing phosphoric acid or more preferably a heated ortho-phosphoric solution sold under the trade name of Transetch-N®.
  • the preferred temperature range of the heated chemical solution is 150 0 C to 220°C.
  • the preferred treatment time ranges from 10 minutes to 2 hours.
  • the active layers 30 of the light-emitting device structure are preferably epitaxially deposited in a metal-organic chemical vapor deposition (MOCVD) reactor or a molecular beam epitaxy (MBE) chamber.
  • MOCVD metal-organic chemical vapor deposition
  • MBE molecular beam epitaxy
  • Other epitaxial techniques can also be used to deposit the active layers 30, for example HVPE.
  • Active layers 30 may comprise any Ill-nitride material or combination of Ill-Nitride materials, including GaN, AlN, AlGaN, InGaN, and InAlGaN.
  • active layers 30 comprises an n-type Al x Gai -x N cladding 31, an In y Gai_ y N active region 32, a p-type cladding 33, and a p-type GaN contact 34.
  • the active layers 30 can be modified instead to comprise a p-type Al x Gai- x N cladding 31, an In y Gai -y N active region 32, an n-type Al 7 Ga 1 ⁇ N cladding 33, and an n-type GaN contact 34.
  • the active layers 30 can be further modified with additional layers near the UI y Ga 1 -y N active region 32 to allow better carrier confinement or stronger wave guiding effects
  • the In y Gai- y N active region 32 can further incorporate single quantum well or multiple quantum wells to improve the performance of the light-emitting device.
  • the thickness of the GaN contact 34 can be minimized to reduce internal absorption and to improve light-extraction efficiency.
  • the thicknesses of the layers in the active layers 30 can be optimized to allow constructive interference in combination with reflected light from an optically- reflective first terminal contact 40 to improve light-extraction efficiency of the light- emitting device structure.
  • the MOCVD deposition of active layers 30 is preceded by a high temperature anneal in hydrogen (between 1000 0 C to 1200 0 C) for 5 to 20 minutes, a deposition of a thin layer of GaN at low temperature (500 0 C to 700°C with 10 to 100 nm nominal thickness), and a deposition of thick layer of GaN at normal growth temperature (1000 0 C to 1100 0 C with 0.5 ⁇ m to 5 ⁇ m nominal thickness).
  • the growth surface of the thin transferred sapphire layer can be cleaned inside the MOCVD reactor by flowing HCl gas.
  • the quality of active layers 30 grown on wafer-bonded intermediate substrates can be improved dramatically.
  • the wafer-bonded intermediate substrate has the potential to improve the crystalline quality of high-temperature epitaxial growth by providing efficient thermal coupling to the wafer susceptor in the growth process.
  • the Mo-based substrate will offer more effective radiative coupling to the wafer susceptor and will provide significantly better temperature control and temperature uniformity over the wafer surface.
  • the use of an optically reflective handle substrate such as a Mo-based substrate, or an encapsulated single crystal substrate comprising GaAs or InP will enable the use of commercially available in situ monitoring techniques as are known in the art, such as emissivity-corrected pyrometry. Access to such in situ monitoring
  • one aspect of the invention provides a method in which properties of the intermediate substrate and/or the active layer(s) are optically monitored before and/or during the growth of the active layer(s)
  • the optical monitoring comprises reflectance momto ⁇ ng from a reflective handle substrate, such as emissivity-corrected pyrometry, and the property monitored may comprise the intermediate substrate temperature or other suitable properties
  • the method may also comp ⁇ se controlling or changing the active layer growth parameters, such as the growth temperature of the wafer susceptor, furnace or other heating device(s) and/or the reactant flow rate(s), such as gas flow rate(s) in a CVD process
  • the better match in CTE between GaN and Mo relative to GaN and sapphire also improves crystal quality by reducing wafer bow and stress du ⁇ ng growth
  • the reduced wafer stress may minimize the creation of new crystal defects resulting from stress-induced plastic deformation du ⁇ ng temperature excursions at elevated growth temperatures and wafer cool down
  • the thickness of the GaN buffer layer grown on conventional substrates comprising sapphire or SiC can be reduced substantially on the intermediate substrate according to the embodiments of the invention and results in cost savings from shorter growth time and less material consumed
  • the reduced wafer bow would allow higher uniformity in the grown active layers 30 in terms of layer thickness, mate ⁇ al composition, and mate ⁇ al strain by providing more uniform thermal contact between the substrate and the wafer susceptor
  • the higher growth uniformity would enable higher production yields and better reliability of the light- emittmg devices according to the embodiments of the invention
  • Reduced wafer stress also eliminates the need for additional complicated buffer or interlayer structures incorporated into the epitaxial growth to prevent cracking or defect generation in the epitaxial layers du ⁇
  • the reduced wafer stress from better CTE match offers much larger parameter space for the design of higher-performance active layers 30 grown on the intermediate substrate according to the embodiments of the invention. It is well known in the art that straining of the quantum wells in active layers 30 can improve the performance of the resulting device, for example reducing the threshold current or increasing the
  • the magnitude of the improvement is determined by the amount of strain
  • the maximum strain achievable in the quantum wells is fundamentally limited by material instability, generation of undesirable crystal defects, and the stress-thickness products du ⁇ ng the growth and temperature excursions
  • the allowable maximum strain is rest ⁇ cted simultaneously by CTE-induced tensile strain at growth temperature (for example 1050 0 C) and compressive strain at lowest operational temperature of the device
  • the reduced wafer stress from better CTE match also offers additional flexibility in applying higher Al composition mate ⁇ als for shorter-wavelength UV applications
  • the better CTE match maintains similar wafer stress levels at the high growth temperature and at room temperature If the wafer stress level is slightly tensile at growth temperature, it will remain slightly tensile at room temperature
  • the stability in wafer stress level over large temperature excursions allows high-performance epilayer designs near the mechanical limits of the mate ⁇ als without compensating for thermally-mduced strain Device epilayers with higher compressive stress and higher Al-composition can be grown on the intermediate substrate according to the embodiments of the invention than epilayers demonstrated by J. Han et al. in "Monitoring and controlling of strain du ⁇ ng MOCVD of AlGaN for UV optoelectronics" MRS Internet J Nit ⁇ de Semicond Res 4Sl, G7 7 (1999)
  • an epitaxial growth of GaN was performed on the intermediate substrate according to the embodiments of the invention comp ⁇ sing thm transferred sapphire layer and poly-crystalline AlN handle substrate
  • the epitaxial growth was performed in a ho ⁇ zontal-flow MOCVD reactor with radio-frequency (RF) heated wafer susceptor.
  • RF radio-frequency
  • the hydrogen anneal, low-temperature GaN, and thick GaN steps were performed and the resulting GaN mate ⁇ al was analyzed
  • the x-ray diffraction spectrum of the GaN sample at room temperature is shown in FIG 9 as a continuous curve
  • a reference GaN mate ⁇ al grown on a conventional bulk sapphire substrate under similar growth conditions was also measured and shown as a dashed curve
  • the x-ray diffraction spectra demonstrate that the GaN grown on the
  • intermediate substrate is nearly stress free with calculated lattice constant very close to that of unstressed freestanding GaN material.
  • Cross-sectional transmission electron microscopy was also performed to analyze the defect microstructure of the GaN material, with an image shown in FIG. 10.
  • the GaN layer is located at the top, thin sapphire layer in the middle, and part of the bonding layer at the bottom.
  • the polycrystalline AlN handle substrate is not shown.
  • the density of threading dislocation appears lower than GaN material grown under similar conditions on conventional bulk sapphire substrate.
  • the thin sapphire layer has residual defects from implantation and transfer damages, the growth of the high-quality GaN layer was not adversely affected. This result shows that growth of high-quality GaN can occur even on imperfect sapphire transferred films or sapphire surfaces with less than perfect crystallinity.
  • the thickness of the portion of the sapphire layer visible in Figure 10 is about 300 ran.
  • the sapphire layer thickness uniformity along the portion of its length visible in Figure 10 appears to be about 3%.
  • the film thickness along about 5 microns of its length varies by at about 3%.
  • the thin layer 12 made of sapphire or other materials may have a thickness uniformity along at least a part of its length, such as at least 5 microns of its length, that is less than 10%, such as 5Vo or less, for example 3-5%.
  • the metallic nature of the handle substrate may also be possible to use the metallic nature of the handle substrate to better control the wafer temperature in certain epitaxial reactors that employ RF heating.
  • the RF generator in certain types of reactors to directly heat the handle substrate.
  • the metallic nature of the handle substrate necessitates modifications to the growth parameters.
  • the growth reactor uses RF generators for substrate heating and the handle substrate contains metal or other materials capable of converting RF power into heat, a reduced level of
  • RF power will be required to heat the surface of the thin transferred layer to the desired temperature. As mentioned previously, this effect may be used to obtain better control over the temperature of the surface of the thin transferred layer.
  • the intermediate substrates are used to produce high quality, freestanding GaN substrates rather than active device layers.
  • a thick (preferably thicker than 100 micron) GaN layer is grown using MOCVD and/or HVPE on the thin transferred layer of a material suitable for the growth of GaN comprising GaN, sapphire, silicon carbide, or silicon.
  • the handle substrate is TZM for this application.
  • the handle substrate is preferably removed by the methods described below, and a freestanding GaN substrate is created.
  • the intermediate substrates can also be used to produce freestanding substrates of other Ill-nitride materials, such as AlN.
  • the thick GaN layer is preferably grown by HVPE. More preferably the thick GaN layer is formed by MOCVD followed by HVPE where a thin nucleation layer with low temperature buffer layer (preferably ⁇ 2 ⁇ m total) is deposited by MOCVD followed by a thick layer deposited by HVPE.
  • a thin nucleation layer with low temperature buffer layer preferably ⁇ 2 ⁇ m total
  • MOCVD low temperature buffer layer
  • HVPE thick layer deposited by HVPE.
  • This preferred combination of MOCVD with HVPE allows uniform nucleation of GaN from MOCVD and much higher growth rate (generally 10 ⁇ 100 ⁇ m/hr and higher) from HVPE to economically produce high-quality freestanding GaN substrates.
  • the growth conditions for MOCVD nucleation layer has been described earlier in this section.
  • HVPE growth is typically carried out in a quartz reactor within a multi-zone furnace. The growth zone temperature is set between 1000 0 C to 1300 0 C and the Ga source boat between 700 0 C and
  • GaN in the growth zone onto the intermediate substrate.
  • the HVPE growth of GaN is well known in the art, see for example chapter 1 in "Wide Energy Bandgap Electronic Devices" by F. Ren and J. C. Zolper.
  • the freestanding GaN substrates produced with the intermediate substrate according to the embodiments of the invention offer several advantages over GaN substrates produced on conventional substrates such as sapphire.
  • the CTE match of the intermediate substrate to GaN eliminates nearly all of the thermally-induced bowing, warping, and cracking problems that become more severe with larger diameter substrates. Necessity for high temperature laser-lift-off operation to minimize CTE-mismatch-induced cracking is also eliminated by utilizing the intermediate substrate.
  • an alternate photonic lattice structure can be formed by etching into the active layer 30 with reactive ion etching (RIE), inductively-coupled plasma reactive ion etching (ICP-RIE), or with other fabrication methods known in the art. This etch is preferably performed after the epitaxial deposition of the active layers 30.
  • the etched areas 35 comprise patterns such as those illustrated in US Patent nos. 5,955,749 and 6,479,371 or other patterns known in the art of photonic bandgap and periodic grating structures.
  • the dimensions of such patterns are on the order of the wavelength of the light to be emitted by the light-emitting device structure, adjusted by the refractive index of the materials used in the device structure.
  • the etched areas 35 preferably extend through the active layer 30 into the thin layer 12.
  • the etched areas 35 are preferably filled with electrically-insulating low-refractive-index dielectric material.
  • one or more metallic or metal-oxide films are deposited on top of active layers 30 to form a first terminal contact 40.
  • the preferred composition depends on the specific material of the active layers 30.
  • active layers 30 comprising p-type GaN contact 34 Ni-Au is preferred as one component of the first terminal contact 40.
  • active layers 30 comprising n-type GaN contact 34 it is preferred.
  • the first terminal contact 40 preferably comprises optically- reflective layers and barrier layers, for example the omni-directional reflective structures as disclosed in US Patent nos 6,130,780 and 6,784,462, to provide for higher light-extraction efficiency and better stability and reliability of the light- emitting device
  • the optically-reflective layer compnses at least one element selected from the group of Ag, Ru, Os, Mo, Cr, Rh, Ni, Au, Pd, Ir, Ti, Pt, W, and Al
  • the optical reflectivities of Ag, Al, Rh, Cr, Pd, and Au at optical wavelengths around 500nm are 91%, 92%, 75%, 69%, 69%, and 44% respectively. Therefore, Ag or Al are the most preferable matenals with respect to reflectivity.
  • Rh for good reflectivity, stability at high temperatures, and low resistance electrical contact to the p-type GaN contact layer 34.
  • a transparent contact layer for example ITO
  • ITO can be incorporated into the optically-reflective layer directly adjacent to the GaN contact 34 to further improve the optical reflectivity at non-normal incidence angles in the manner known in the art
  • barrier layers within first terminal contact 40 formed of ZnO:Al, Au, Sn, Pd, Pt, In, Ti, Ni, W, Mo, Au-Sn, Sn-Pd, In-Pd, Ti-Pt-Au, and Ti-Pt- Sn, etc. placed on either or both sides of the optically-reflective layer can prevent mter-diffusion and alloying of the optically-reflective layer with surrounding materials that would cause deterioration in reflectivity and reduce light-extraction efficiency
  • the final or device substrate 50 is preferably thermally conductive which improves the thermal dissipation characteristics of light-emitting device structures according to the embodiments of the invention.
  • the final substrate can also be electrically conductive to allow opposed terminal (i e , vertical) structure
  • Materials for the final substrate 50 comprise single-crystalline, polycrystallme, and amorphous semiconductors such as SiC, Si, GaN, AlN, and ZnO, metallic elements (including alloys) such as CuW, W, Mo, and oxides and nit ⁇ des of metallic elements such as TiN
  • metallic elements including alloys
  • metallic elements such as CuW, W, Mo
  • oxides and nit ⁇ des of metallic elements such as TiN
  • the specific choice of the final substrate 50 depends on the subsequent fab ⁇ cation processes and specific design requirements Three preferred matenals for
  • SiC offers excellent thermal and electrical conductivity to allow high power operation of the light-emitting devices.
  • AlN offers an excellent match of the thermal expansion coefficient to active layers 30.
  • CuW offers close match of thermal expansion coefficient to active layers 30 and good thermal and electrical conductivity.
  • Polycrystalline SiC, polycrystalline AlN, and CuW materials are relatively inexpensive and well suited for low-cost mass production.
  • the intermediate substrate comprising the light-emitting device structure is wafer bonded to the final substrate 50 at the exposed surface of the first terminal contact 40.
  • a eutectic bonding layer 51 is provided on the final substrate 50, although the eutectic bonding layer can be provided instead on first terminal contact 40 or simultaneously on both final substrate 50 and the first terminal contact 40.
  • the wafer bonding can be achieved by eutectic bonding with bonding layer 51, by direct covalent wafer bonding, or by other well- known techniques as disclosed in "Semiconductor Wafer Bonding" by Q. -Y. Tong and U. Gosele. Low-temperature eutectic bonding is preferred.
  • the eutectic bonding layer 51 comprises Au-Sn, Sn-Pd, In-Pd, and other compounds well known in the art. If Au-Sn is used, then the composition is preferably either approximately 80%-Au or 10%-Au for which the eutectic temperatures are approximately 280C and 210C respectively. Other compositions can also be selected based on their eutectic temperature, cost, CTE match with the final substrate, and their resistance to chemical attack during subsequent processing steps.
  • the eutectic bonding layer can be provided by depositing a thin film multilayer stack of the component materials, for example alternate layers of Au and Sn, and/or by co-deposition of a single layer of the component materials, by sputtering, evaporation, electroplating, or other techniques known in the art.
  • the total thickness of the deposited eutectic bonding layer is between 0.5 microns and 20 microns.
  • the bonding layer can be provided as a preformed eutectic solder disc as is commercially available. The thickness of the solder disc is preferably between 10 microns and 100 microns.
  • an adhesion layer structure is provided on the top surface of the final substrate 50 and/or the exposed surface of the first terminal contact 40. If both an adhesion layer structure and a eutectic bonding layer are provided to either or both of the final substrate and the first terminal contact, then the adhesion layer is provided
  • the eutectic bonding layer is attached directly on the exposed surface of the first terminal contact 40 and/or the final substrate 50 if no adhesion layer is provided.
  • an adhesion layer and/or a eutectic bonding layer may be provided on either or both of the final substrate 50 and the exposed surface of the first terminal contact 40.
  • the bonding process comp ⁇ ses forming a prepared surface on both the first terminal contact and the final substrate, placing the prepared surfaces in contact to form a stack, heating the stack to a temperature higher than the eutectic temperature of the bonding layer, annealing the stack at that temperature, and cooling the stack back to room temperature.
  • the prepared surface comp ⁇ ses an adhesion layer and/or a eutectic bonding layer as desc ⁇ bed above, but comprises at least one eutectic layer on at least one of the surfaces to be bonded.
  • the annealing temperature is between 10 degrees C and 100 degrees C above the eutectic temperature of the eutectic alloy.
  • the annealing time is selected to be sufficient to allow interdiffusion of the eutectic alloy components throughout the bondig layer and is between 10 seconds and 2 hours and is preferably between 30 seconds and 10 minutes.
  • the bonding procedure can be performed on a hot plate, in a furnace, or in a wafer bonding apparatus equipped with a heater as is commercially available
  • the bonding process is performed in an inert gas or reducing environment in order to prevent oxidation of the bonding layer materials.
  • an external pressure is applied to the stack during the annealing process.
  • the handle substrate 20 and bonding layers 13, 21 are removed by etching using conventional techniques such as wet chemical etching, plasma etching, reactive-ion etching, inductively-coupled plasma reactive ion etching and other techniques known in the art.
  • handle substrate 20 comp ⁇ sing Mo or TZM and final substrate 50 comprising CuW, a mixture of
  • etchants comprised of HNO 3 and NH 4 F in H 2 O, preferably HNO 3 :H 2 O:NH 4 F (126:60:5), can be applied to remove the handle substrate 20 while leaving the final substrate 50 intact.
  • the ratios of the three constituent chemicals of the etchant can be varied.
  • suitable etchants include KOH, AZ400K photoresist developer, NaOH or other chemical solutions containing KOH or NaOH.
  • the etchant comprises KOH which is known to selectively etch AlN but does not etch W or Cu appreciably.
  • the etchant comprises an aqueous solution of KOH with a concentration between 20% and 70%.
  • the etching solution for either AlN, or Mo or TZM handle substrates can be heated to enhance the etch rate.
  • the temperature of the etching solution is between 25 0 C and 150 0 C.
  • a passivating layer can be provided to cover the exposed edges of the eutectic bonding layers.
  • the passivating layer is provided after the final substrate has been bonded and before the handle substrate is exposed to the etching solution.
  • Suitable passivating layer materials comprise spin on glass, photo resist, wax, ceramic pastes, and other materials selected for their chemical resistance, adhesion properties, ease of application, and cost.
  • the handle substrate can be thinned prior to the chemical etch removal process, using conventional grinding methods as are known in the art, in order to reduce the amount of time required for the chemical etching of the remaining handle substrate material.
  • the handle substrate is ground to a thickness of between 20 microns and 150 microns.
  • the grinding can be accomplished using a fixed abrasive diamond, diamond slurry, or alumina slurry, or any combination of these abrasives or others known to those skilled in the art.
  • the SiO 2 bonding layers 13, 21 can be removed by HF etching solutions without significantly etching the device structure or final substrate. If the bonding layer comprises AlN then KOH can be used to selectively remove the bonding layer. For Al x Gai -x N light-emitting devices operating in the UV wavelength range, the thin transferred layer 12 comprising GaN can also be removed to eliminate
  • the preferred process for exfoliation of the GaN film causes point defects in the thin transferred GaN layer 12. While these point defects will not affect the quality of the light-emitting device grown on the thin transferred layer 12, the defects will degrade the electrical performance of the device. For that reason, even in devices that emit at a lower energy than the bandgap of GaN, removal of the thin transferred layer 12 from the light-emitting device is desirable.
  • the handle substrate can be ground to a smaller thickness prior to etching, in order to decrease the duration of the etching process required for complete removal of handle substrate. Preferably the final thickness of the handle substrate after grinding is between 25 microns and 150 microns.
  • the bonding layer(s) may be removed as sacrificial release layers by selective etching to separate the handle substrate 20 from the rest of the device. This way, the handle substrate 20 can be reused if desired.
  • the final substrate and transferred active layer composite can be treated with a wet chemical process known to those skilled in the art designed to remove surface contaminants such as an NH 4 ⁇ H:H 2 O 2 :H 2 O in a ratio of between 1: 1:3 and 1 :1 :8 followed by a deionized water rinse.
  • This treatment is performed prior to removal of the bonding layer.
  • a conformal protective film can be deposited on the exposed side of the final substrate prior to the chemical etching process to remove handle substrate. The protective film is selected for its chemical resistivity to the etching solution used to remove the handle substrate.
  • a conductive protective film comprises W, but more broadly comprises any thermally and electrically conductive material that does not pose a contamination risk to the device and etches slowly ( ⁇ 0.1 ⁇ m min "1 ) in the etchant used to remove the handle substrate.
  • Insulating protective films comprise dielectrics commonly found in the semiconductor processing industry, including Si 3 N 4 , SiO 2 , and SiO x Ny, but more broadly comprise any material that does not pose a contamination risk to the device, etches slowly ( ⁇ 0.1 ⁇ m min " ) in the etch used to remove the handle substrate, and can be conveniently removed following removal of the handle substrate by any number of processes including chemical etching, grinding, lapping, reactive ion etching, chemical mechanical polishing, or other film removal processes known to those skilled in the art. Both electrically conductive and insulating films can be deposited by sputtering, CVD, or electron- beam evaporation or other methods know to those skilled in the art.
  • the desired thickness of the film is dependent upon the deposition method selected, but it should be sufficiently thick that there are no pinholes in the film that may contribute to contamination. Generally, films with thicknesses in excess of 0.1 ⁇ m or greater are sufficiently thick to prevent pinholes from penetrating through to the underlying surface.
  • the edges of the final substrate 50 can be beveled so that the deposition process covers all exposed surfaces and edges of the final substrate 50.
  • the final substrate with protective coatings can be pre- fabricated in mass quantities prior to the step in which the final substrate is bonded to the active layer device structure. Alternatively, by depositing the protective layer on the final substrate after bonding the final substrate to the active layer device structure and using a beveled final substrate, the bonded interface can be protected from any potential chemical attack during the etch of the handle substrate.
  • the thm transferred layer comp ⁇ ses GaN
  • it may also be desirable to remove the thin GaN layer 12 that was originally bonded and transferred to serve as an epitaxial template for the LED device This is desirable in the event that the processing used to bond, exfoliate, and prepare the thin GaN layer for epitaxy has lead to significant lattice damage that reduces the conductivity of the thin transferred GaN or other template layers
  • the selective removal of the thin transferred GaN layer can be accomplished in several ways including, but not limited to, wet chemical etching, electrochemical etching, photochemical etching, photo- electrochemical etching, chemical mechanical polishing, dry etching with a halogen- contaimng plasma as known to those skilled in the art, or dry etch using a halogen- containing high-density plasma such as inductively-coupled plasma reactive ion etching (ICP RIE) process involving chlo ⁇ ne (Cl 2 ), boron t ⁇ chlonde (BCl 3 ), sulfur hexafluo ⁇ de (SF 6 ), or carbon tetrafluo ⁇ de (CF 4 )
  • ICP RIE inductively-coupled plasma reactive ion etching
  • SF 6 sulfur hexafluo ⁇ de
  • CF 4 carbon tetrafluo ⁇ de
  • High-density plasma etch techniques such as ICP RIE is especially favorable for selective removal of the thin transferred GaN layer
  • the generalized process desc ⁇ bed above can be applied to sapphire, SiC, Si(I I l), and other desirable mate ⁇ als for use as thin transferred layers for III-nit ⁇ de growth
  • an additional sacrificial AlN or equivalent etch layer can be grown epitaxially between the thm transferred GaN layer 12 and active layers 30 Grooves comprising grid patterns are preferably formed into the thin transferred GaN
  • a selective wet-chemical etch is preferably used to quickly remove the sacrificial etch layer by lateral etching and remove the thin transferred GaN layer by lift-off
  • the grooves accelerate the lateral etching process by reducing the lateral extent of etching required as compared to conventional full wafer lift-off process
  • Photoelectrochemical (PEC) etching can also be applied to the precision removal of the thin transferred GaN layer with well-controlled etch depth and layer selectivity
  • An etch-stop layer can be grown inside the GaN buffer at a specific location The etch stop layer would have wider bandgap than the photon energy of the photon source Once the etching reaches the etch stop layer, the etch rate would reduce to zero due to the lack of photo-generated earners available at the material surface Stopping at the etch stop layer would allow light-emitting devices with precise thicknesses and optimized optical properties especially important for micro- cavity LED or vertical-cavity surface-emitting lasers (VCSEL)
  • Some possible etching solutions for GaN comprise 1 3 (45%) KOH/H 2 O or 1 10 HC1/H 2 O solutions Hg arc lamps or He-Cd lasers can be used as photon sources to activate the etching, see for example chapter B4 3 in "Properties, processing, and applications of Gallium Nit ⁇ de and Related Semicon
  • the thin transferred layer comp ⁇ ses sapphire
  • the selective removal of the thin sapphire layer can be accomplished in several ways composing wet chemical etching, chemical mechanical polishing, dry etching with a halogen-containing plasma as known to those skilled in the art, or dry etch using a halogen-containing high-density plasma such as inductively-coupled plasma reactive ion etching (ICP RIE) process involving chlorine (Cl 2 ), boron t ⁇ chlo ⁇ de (BCl 3 ), sulfur hexafluo ⁇ de (SF 6 ), and/or carbon tetrafluo ⁇ de (CF 4 ) It is preferable to use dry etch to selectively remove the thin transferred sapphire layer It is more preferable to apply an etch
  • a photoresist mask or other patterned mask may be applied before the etch to allow localized removal of the thin sapphire layer for making electrical contact while leaving other areas of thin sapphire layer intact.
  • the portions of the remaining thin sapphire layer can serve as a passivation layer against external environmental contamination and/or moisture infiltration, eliminating the need for an additional deposition step to form a separate passivation layer comprising silicon mtnde as is commonly required in conventional LED fabncation
  • GaN devices are susceptible to high temperature degradation of p contact resistance induced by diffusion of moisture or hydrogen.
  • the thin sapphire layer can act as a diffusion barrier to prevent the diffusion of undesirable species into the p-GaN contact and greatly improve the reliability of the fabricated device.
  • the thin sapphire layer with refractive index of 1 77 can also act as an optical refractive-index-matching layer between GaN with refractive index of 2.5 and packaging materials compnsing epoxy or silicone with refractive index of 1.38-1.57 to enhance light-coupling efficiency Further patterning of the thin sapphire layer to form photonic lattice structure similar to the structure illustrated in FIG 4 can also enhance the light-coupling efficiency of the fab ⁇ cated device
  • etch is important to minimize ionic damage from the ion etching process This can be accomplished by using low bias voltage to decrease the energy of the ions while maintaining acceptable etch rates. Preferably, bias voltage less than 400V is used.
  • a high-low etch technique can be used to quickly remove bulk of the thin sapphire layer at high bias voltage and etch rate in a Cl-based chemistry, and then switch the etch chemistry to F-based plasma near the sapphire and GaN interface, and then switching the etch chemistry back to Cl-based plasma with reduced etch rate and bias voltage near the end of the buffer GaN etch to minimize residual ionic damage.
  • High-density plasma etch techniques such as ICP RIE is especially favorable for selective removal of the thin transferred sapphire layer and the associated highly defective GaN buffer near the sapphire/buffer interface.
  • ICP RIE is especially favorable for selective removal of the thin transferred sapphire layer and the associated highly defective GaN buffer near the sapphire/buffer interface.
  • the GaN buffer layer (not shown) usually grown on the GaN/Mo intermediate substrate 15 p ⁇ or to the growth of the active layers 30, in addition to removing the thin transferred GaN layer 12 to eliminate absorption of light emitted from the active region of the LED and to minimize thickness of the LED active region for better light extraction efficiency.
  • microcavity LEDs with higher light output can be created by preferably minimizing the total thickness of the LED active region to less than several wavelengths of the light and for blue-emitting LED to less than 0.5 ⁇ m.
  • the GaN material can be removed similarly as descnbed previously for removing the thin transferred GaN layer. The removal can be accomplished with any the techniques previously mentioned for the removal of thin GaN layer.
  • the handle substrate 20 and the thin layer 12 may be retained in the final device structure
  • the handle substrate can serve as the final device substrate of the completed device.
  • the thin transferred layer 12 here for example GaN
  • the thin transferred layer 12 is not removed If the thin transferred layer 12 was removed in pnor processing steps, the following descnption would apply directly to the active layers 30 instead of the thin transferred layer 12
  • optionally patterning or roughening the thin transferred semiconductor layer 12 by etching or by other known methods can further improve light-extraction efficiency of the light-emitting device according to the embodiments of the invention.
  • the patterning or roughening increase the escape probability for light generated in the active layers 30.
  • Some preferred examples for the patterning are to form a grating pattern on the thin transferred semiconductor layer 12 or to form a photonic lattice structure through the thin transferred semiconductor layer 12 and the active layers 30
  • the patterns can be formed with uniform or nonuniform striped shape, grid pattern, rectangular shape, or other engineered patterns such as those disclosed by US patent application no 2005/0059179, US Patent nos
  • the patterns are preferably formed by standard holographic grating exposure followed by wet chemical etching or dry plasma etching. Other standard patterning techniques comprise electron-beam lithography, phase-mask lithography, x-ray lithography, natural lithography, etc.
  • the periodicity of the patterns should preferably be on the order of the wavelength of light.
  • the depth of the grating patterns should preferably be less than the thickness of the thin semiconductor layer 12 to prevent exposure and oxidation of active layers 30.
  • the grating patterns should preferably be less than the thickness of the cladding layer 31.
  • the patterns preferably extend through the thin semiconductor layer 12 into the active layers 30 to maximize the refractive-index contrast.
  • one or more metallic or metal -oxide films are deposited on the thin transferred semiconductor layer 12 to form a second terminal contact 60.
  • the preferred composition depends on the specific material of the thin transferred semiconductor layer 12.
  • Ni-Au is preferred as one component of the second terminal contact 60.
  • For thin transferred semiconductor layer 12 comprising n-type GaN it is preferable to include Al, such as Ti-Al or W-Al for example, in the second terminal contact 60.
  • Second terminal contact 60 does not necessarily have to cover the entire surface of thin transferred semiconductor layer 12 comprising n-type GaN. This reduces the light-blocking area and improves light-extraction efficiency.
  • the second terminal contact 60 preferably comprises optically-reflective layer to provide for higher light-extraction efficiency of the light-emitting device.
  • a transparent contact for example ITO or ZnO:Al, can also be used as second terminal contact 60. The transparent contact would allow large current flow without high spreading resistance in either p-type or n-type semiconductor.
  • FIGS. 1, 4, and 6 Specific embodiments of completed light-emitting devices according to the embodiments of the invention are illustrated in FIGS. 1, 4, and 6.
  • the arrows in the figures indicate the preferred direction for light output.
  • FIG. 1 shows a preferred light-emitting device according to an embodiment of the invention produced by
  • FIG. 4 shows another preferred light- emitting device comprising photonic lattice structure produced by combining processing steps illustrated in FIGS. 2A-2O and FIGS. 3A-3C.
  • FIG. 6 shows yet another preferred light-emitting device comprising photonic lattice structure produced by combining processing steps illustrated in FIGS. 2A-2O and FIGS. 5A-5B.
  • the semiconductor light-emitting device as described has unique advantages.
  • the cost per light-emitting device of the source wafer material is reduced significantly via reuse of expensive high-quality source wafers.
  • the quality of the substrate material is improved by three or more orders of magnitude as compared to those used for conventional LED production (reduction of 10 3 in dislocation-defect density, for example from 10 9 /cm 3 to 10 6 /cm 3 ).
  • This improvement in material quality enables the subsequent growth of device layers with high material quality.
  • These high material quality device layers are capable of supporting significantly higher levels of current density than device layers typically grown on sapphire substrates. These higher current density levels lead to higher light output per unit area of the processed wafer.
  • the simultaneous improvement in production cost and material quality enables the development of cost-effective solid state lighting sources with very high brightness. More light can be produced by smaller-area devices, thereby improving effective light output power per unit area of semiconductor while reducing the cost per watt of light output and improving long- term reliability.
  • the usable area of light-emission in the light-emitting devices according to the embodiments of the invention is increased significantly by eliminating one contact on the top surface Lateral current flow through the chip and resulting excess heat are also eliminated by the light-emitting devices according to the embodiments of the invention.
  • portions of the thin sapphire layer can serve as a passivation layer against external environmental contamination and/or moisture infiltration, prevent diffusion of undesirable species into the p-GaN contact, and greatly improve the reliability of the completed light-emitting device.
  • the better CTE match also improves In containing materials grown on the intermediate substrate comprising thm sapphire layer according to the embodiments of the invention.
  • High In incorporation and excellent crystalline quality can be achieved, enabling the development of high-efficiency high-brightness III-nit ⁇ de LEDs at longer wavelengths compnsing colors of green, amber, and red that are c ⁇ tical for next-generation high-performance solid-state-lighting sources.
  • the reduced wafer stress from better CTE match offers much larger parameter space for the design of higher-performance active layers that would reduce the threshold current or increase the speed of the light-emitting devices.
  • the better CTE match also offers additional flexibility in applying higher Al composition materials necessary for light-emitting devices applied to shorter-wavelength UV applications.
  • a single- crystalline material which supports epitaxial growth of Ill-mt ⁇ de semiconductor layers is bonded to the handle substrate.
  • This single-crystal material comprises sapphire, silicon carbide or any other suitable material which supports epitaxial growth of III-nit ⁇ de semiconductor layers such as GaN, InGaN, AlGaN, etc
  • the GaN substrate 10 shown in Figure 2A is substituted with a single-crystalline mate ⁇ al comprising sapphire, SiC, or other ceramic materials.
  • a thin transferred layer 12 from a single-crystal material such as sapphire may be formed on the handle substrate using an ion implantation-induced exfoliation from a bulk substrate, as shown in Figures 2A-2H, or using a substrate bond and etch-back process (i.e., etching and/or polishing away the single-crystalline material to leave only a thin layer of the single-crystalline mate ⁇ al bonded to the handle substrate), or using a lateral etch of a weakened interface 11 generated by ion implantation.
  • a substrate bond and etch-back process i.e., etching and/or polishing away the single-crystalline material to leave only a thin layer of the single-crystalline mate ⁇ al bonded to the handle substrate
  • III-nit ⁇ de semiconductor layers 31-34 such as GaN, InGaN, etc., which make up the light-emitting device are then epitaxially grown over the thin layer 12 of single-crystalline material, such as sapphire, while this thin layer 12 is bonded to the handle substrate 20. Further processing of this alternative second embodiment is similar to the process steps already shown in the Figures and described above
  • the handle substrate 20 would be comprised of a material that is better CTE matched to Ill-mt ⁇ de semiconductors (i.e., GaN, etc.) than the single- crystallme source wafer, such as sapphire, and which may possess a higher thermal conductivity than sapphire Because the thickness of the sapphire film would be small relative to the thickness of the handle substrate 20, the overall CTE of the completed intermediate substrate 15 would be closely matched to III-nit ⁇ de semiconductor layers, such as GaN.
  • Ill-mt ⁇ de semiconductors i.e., GaN, etc.
  • the CTE of the intermediate substrate comprising the handle substrate 20 covered with a thin layer 12 of the single-crystalline mate ⁇ al, such as sapphire, would differ by 20% or less, such as 10% or less, from the CTE of the Ill-nitride semiconductor layer(s) 31-34.
  • the better CTE match of the thin sapphire layer on handle substrate 20 to the III- nitride active layers as compared to a conventional bulk sapphire substrate would reduce the bowing and resultant thermal variation across the substrate during GaN growth, resulting in improved device uniformity.
  • the GaN device structures grown on the intermediate substrate comprising thin sapphire layer of the second embodiment would still suffer from the lattice-mismatch-induced dislocations that are a part of any heteroepitaxial Ill-nitride growth process on sapphire.
  • silicon carbide or other ceramic materials could be used in the place of sapphire.
  • the source wafers for the second embodiment are available in larger sizes than the freestanding GaN of the first embodiment. Consequently, it may be possible to manufacture substrates with larger diameter according to the second embodiment than the first.
  • the thin layer 12 is sapphire and the handle substrate 20 is an alloy of molybdenum, it is possible to manufacture 75, 100, 150 mm and larger substrates. Larger wafer sizes offer economy of scale and help device manufacturers to reduce their production costs per device.
  • the thin transferred single-crystalline layer may be mis- cut from the primary crystallo graphic orientation, for example (0001) for Ill-nitride growth.
  • this mis-cut is typically between 0° and 0.3°.
  • the mis-cut in the thin transferred single-crystalline layer can be achieved by using a mis-cut source wafer. In cases where mis-cut source wafers are not readily
  • the source wafer available, it is possible to deposit a thm layer of mate ⁇ al with a non-zero stopping power on the source wafer and then polish it so that its thickness varies across the surface of the wafer.
  • the thickness variation is established so that the implanted ions reach planar but off axis depths in the source wafer so that a thin mis- cut layer can be transferred from the source wafer.
  • the source wafer may be of the R-plane variety
  • the resulting GaN growths on the intermediate substrate comprising thin single-crystalline layer from this substrate can produce non-polar GaN materials, according to techniques described by Li Dong-Sheng et al in 2004 Chinese Phys. Lett. 21 970-971 and other published results.
  • an epitaxially-grown layer on a source wafer 10 can be bonded to the handle substrate 20
  • the epitaxially-grown layer on a source wafer 10 comprises a film of AlGaN grown epitaxially on a sapphire or SiC substrate, using techniques known in the art such as HVPE, MOCVD or MBE.
  • the GaN source wafer 10 in Figure 2A is substituted with an epitaxially- grown layer on a substrate comprising an Al x Gai. x N layer on a SiC or sapphire substrate, such that a thm layer of the Al x Gai -x N layer, where 0 ⁇ x ⁇ 1, is bonded and transferred to the handle substrate
  • a thm transferred layer 12 of the epitaxially-grown AlGaN film may be formed on the handle substrate 20 using exfoliation or a substrate bond and etch-back process. Further processing of this third embodiment is similar to the process steps already shown in the Figures and described above.
  • the intermediate substrates are used to produce high quality, freestanding GaN substrates rather than active device layers
  • a thin smgle-crystalhne layer of GaN is transferred from an existing freestanding GaN source wafer A thick (preferably >50 micron, and more preferably >100 micron) GaN layer is then grown on the thm transferred smgle-crystalhne layer using MOCVD or HVPE
  • the handle substrate is TZM for this application.
  • the handle substrate is removed By beginning the freestanding GaN growth process with a high quality, thin single-crystalline layer of GaN, it may be possible to reach higher levels of mate ⁇ al quality than can be achieved through standard epitaxial approaches
  • a thin single-crystalline layer of a mate ⁇ al suitable for the growth of GaN is bonded to a handle substrate
  • the thin single- crystalline layer compnses sapphire, silicon carbide, or silicon, but is preferably sapphire
  • the thin transferred single-crystalline layer then becomes the seed layer for the growth of a thick layer of GaN
  • this technique may be combined with lateral overgrowth techniques including, but not limited to, epitaxial lateral overgrowth and pendeoepitaxy, in order to generate higher quality GaN
  • the handle substrate is easily removed by any of the processes mentioned previously Once the handle substrate is removed, the thin single-crystalline layer is also removed through any of the associated processes mentioned previously
  • a thin single-crystalline layer of epitaxial GaN grown by heteroepitaxy is transferred onto a handle substrate
  • the epitaxial GaN may be grown on any substrate that is suitable for the growth of GaN, including, but not limited to, sapphire, silicon carbide, and sihcon(l l l)
  • the transferred single- crystalline layer then becomes the seed layer for the growth of a thick layer of GaN
  • the handle substrate is easily removed and the thick layer of GaN becomes free standing
  • HEMT high electron mobility transistors
  • HBT heterostructure bipolar transistor
  • HEMTs find their use in microwave circuit applications, such as in microwave monolithic ICs (MMICs)
  • MMICs microwave monolithic ICs
  • FET Field Effect Transistor
  • a conducting channel between dram and source electrodes can be affected by applying a voltage to the gate electrode This causes modulation of the drain-source current.
  • the conducting channel is created by a heterostructure which confines the charge carriers to a thin layer
  • the heterostructure may compnse an AlGaN/GaN or an InAlN/GaN heterostructure, for example.
  • the gate electrode is formed above the heterostructure channel while source and drain regions abut the heterostructure channel
  • HBTs find their use in RF circuits hi a HBT, a heterojunction exists in its npn or pnp collector/base/emitter structure
  • the HBT may compnse an AlGaN/GaN heterostructure including an n++ GaN subcollector, an n+ GaN collector, a p+GaN base, an n- GaN spacer, an n+ AlGaN emitter and an n++ AlGaN emitter cap.
  • the LED active layers 30 can be substituted with the appropriate device layer structure known in the art for the transistors, such as HEMT or HBT
  • the intermediate substrate shown in Figure 2H allows growth of high quality epitaxial
  • the high-quality epitaxial material can be transferred to a final device substrate chosen to optimize performance of the electronic device in specific applications, such as high speed or high power applications.
  • the preferred final device substrate for high-speed HEMT comprises thermally conductive and electrically insulating mate ⁇ als such as insulating polycrystallme AlN or SiC, since HEMTs generally comprise lateral devices (i e , with electrodes on top of the device)
  • the preferred final device substrate for high-power HBT comprises thermally conductive and electrically conductive materials similar to those mate ⁇ als selected for LEDs, since HBTs are preferably vertical devices with one (i e , collector) contact contacting the conductive substrate and the other (i.e., emitter) contact contacting the top of the device, such as the emitter cap.
  • the fabrication and contact processing steps for the active layers of these electronic devices are well known in the art. Electronic devices of much higher performance can be fab ⁇ cated from the high quality epitaxial material and optimized final device substrate according to
  • implantation is used as a mechanism to bring about a surface and near-surface modification of a first matenal, such as a substrate having a surface composition of a single crystal semiconductor material, in order to improve the quality of matenal subsequently deposited on this first matenal using epitaxial growth techniques.
  • a gallium nitride (GaN) layer that has been transferred from a bulk gallium nitnde substrate using implantation-induced layer transfer, can be used to grow epitaxial gallium nitnde material having a defect density that is lower than the bulk gallium nitnde substrate that provided the layer This reduction in defect density is due to the surface and near surface modification caused by the implantation, annealing, and damage removal processes.
  • GaN gallium nitride
  • the implantation, annealing and damage removal processes result in a structure compnsing a substantially single-crystal layer of GaN of thickness between 10 nm and 5 microns, such as between 50 nm and 1000 nm, that is itself highly
  • the structure is such that a minimal density of threading dislocations intersects the exposed surface of the GaN layer on which the epitaxial GaN is to be grown
  • the implantation dose is selected to be high enough to induce a high density of localized defects in the near surface region of the GaN material.
  • the defects can comprise point defects or extended defects such as platelets or other dislocation loops formed dunng the implantation process or subsequent annealing of the implanted near-surface matenal
  • the level of implantation required to induce these defects is dependent upon the energy and species of ion used.
  • the ion-beam modification effect has been observed in GaN films transferred from bulk GaN that was implanted with implanted with 2X10 17 cm 2 He + ions at an energy of 150 keV and with 2X10 17 cm 2 H + ions at an energy of 100 keV, bonded to a polycrystalline AlN substrate, and the films being transferred from the bulk GaN to the AlN substrate.
  • This information can use this information to arrive at new implantation conditions resulting in a level of induced defects similar to that in the sample descnbed below.
  • the matenal structure resulting from this ion-beam modification contains a dense defect structure that can comprise substantial strain field vanations such as oscillations in the strain field magnitude or polanty on a length scale of between 1 run and 100 nm, such as between 5 nm and 30 nm.
  • the structure is annealed to a temperature between 600 0 C and 1400 0 C, such as between 800 0 C and 1200 0 C for a duration between 1 mm and 24 hours, such as between 30 min and 3 hours, in order to facilitate the motion of the dislocations in the material
  • the dislocations interact with the implantation-induced defects, causing the dislocations to be annihilated or to bend over into dislocation loops that do not intersect the surface.
  • the layer is encapsulated with silicon nitride or other passivatmg matenal prior to the anneal step in order to suppress decomposition of the GaN surface and to prevent surface diffusion or motion of the atomic steps on the surface
  • passivation can further frustrate the interaction of threading dislocations with the surface
  • surface diffusion allows the core of the dislocation to open at the surface thus reducing the energy associated with the dislocation and preventing it from bending away from the surface
  • the implantation process is selected to be one that induces a nanostructured near-surface region with localized voids, with a characteristic length scale between 1 nm and 100 ran, such as between 5 ran and 30 nm.
  • the nanostructure can be induced using etching techniques such as anodic wet chemical etching or reactive ion etching (RIE). This nanostructure can function to induce bending and annihilation of threading dislocations.
  • RIE reactive ion etching
  • This nanostructure can function to induce bending and annihilation of threading dislocations.
  • the presence of nanostructure at the surface can result in lateral overgrowth processes occurring on a nanometer scale. Such lateral overgrowth processes can effectively mitigate the propagation of threading dislocations into the epitaxially grown material.
  • the nanostructure is induced by a combination of ion implantation and etching techniques.
  • ion implantation techniques and optionally layer exfoliation as described above can be used to induce a near surface structure comprising a matrix of point defects and extended defects, and optionally voids, on length scales below 100 ran, and the resulting near surface structure can be subjected to etching such as by wet etching, anodic wet etching, or dry etching, optionally using an etching mask.
  • etching such as by wet etching, anodic wet etching, or dry etching, optionally using an etching mask.
  • wet etching is known to induce structure that is predominately columnar in nature, so that relatively little structural variation is induced along the direction perpendicular to the plane of the surface.
  • the chemical etching can proceed along directions in the plane of the surface, so that the resulting structural variations are induced in directions perpendicular and parallel to the plane of the surface. It is anticipated that such enhanced dimensionality of the structural variations can result in more effective blocking of the propagation of threading dislocations as compared to a structure having structural variations confined predominantly along directions parallel to a single plane.
  • the structure also comprises an epitaxial layer or layers deposited on the transferred layer, wherein a density of defects present in the epitaxial layer or layers is lower than a density of defects present in the source material from which the transfer layer was obtained.
  • the epitaxial layer or layers deposited on the substantially single-crystalline but highly defective transfer layer may be used to form devices on the structure, such as light emitting diodes (LEDs), laser diodes (LDs), and transistor devices such as high electron mobility tranasistors (HEMTs). It is
  • the invention can be applied to the reduction of defects in epitaxial material grown on other single-crystal materials, such as silicon, gallium arsenide, silicon germanium, indium phosphide, silicon carbide or other materials used in the growth of semiconductor materials
  • the structure comp ⁇ ses a substantially single crystal but highly defective layer of thickness between 10 nm and 5 microns, where the defective structure comp ⁇ ses a dense mat ⁇ x of point defects or extended defects that induce strain field va ⁇ ations such as oscillations in the strain field magnitude or polarity on length scales smaller than 100 nm.
  • the defects can comprise nano structures such as density va ⁇ ations or voids that have characte ⁇ stic length scales less than 100 nm.
  • the defect structure has the property that it effectively blocks the propagation of at least a majority of the dislocations, such as at least 50% of the threading dislocations, for example between 50 and 100%, such as 60 to 90% of the threading dislocations, to the surface of the layer or into a semiconductor material that is epitaxially grown on the layer.
  • substantially single crystalline means a single crystalline region that contains a defect structure created by the ion implantation.
  • the structure comp ⁇ ses the substantially single crystal but highly defective layer desc ⁇ bed, wherein the layer can comprise GaN, other lll-nit ⁇ de, silicon, gallium arsenide, indium phosphide, silicon carbide or other material, attached to a support substrate comp ⁇ sing a polycrystalline AlN, silicon, refractory metal, or other suitable support substrate.
  • the structure also comp ⁇ ses a bonding layer, diffusion barrier layer, or a plurality of such layers as described earlier.
  • the structure comprises the substantially single crystal but highly defective layer described above, wherein the layer is formed within a first epitaxial layer of semiconductor mate ⁇ al that has been grown on a substrate whose composition differs from that of the epitaxial layer.
  • the substantially single crystal but highly defective layer can be formed within a first epitaxial layer of silicon germanium grown on a silicon substrate, a first epitaxial layer of gallium arsenide layer grown on a silicon or germanium substrate, a first epitaxial layer of gallium nit ⁇ de grown on a sapphire, silicon, or silicon carbide
  • the structure also comprises a second epitaxial layer deposited on the first epitaxial layer that has a substantially lower density of defects than a density of defects present in the first epitaxial layer prior to the formation of the substantially single crystal but highly defective layer.
  • the structure comprises the substantially single crystal but highly defective layer described wherein the layer is formed within a surface and near- surface portion of a bulk semiconductor substrate, such as a bulk substrate of GaN, AlN, InN, InGaN, or other Ill-nitride material, or any bulk semiconductor substrate material.
  • the structure also comprises an epitaxial layer deposited on the surface of the bulk semiconductor substrate wherein a density of defects present in the epitaxial layer is substantially lower than a density of defects present in the bulk semiconductor substrate prior to the formation of the substantially single crystal but highly defective layer.
  • the epitaxial layer may comprise a Ill-nitride layer in which large-area plan-view cathodoluminescence measurements do not reveal any optically active threading dislocations and having a defect density was below 2X10 4 cm “2 , such as IXlO 4 cm “2 to IXlO 3 cm “2 for example.
  • a gallium nitride source wafer comprising a substrate of freestanding GaN formed using HVPE, and having a defect density between IXlO 6 cm “2 and 3X10 7 cm " was implanted with an exfoliating dose of H and He ions.
  • the exfoliating dose comprised a dose of 2X10 17 cm “2 of He ions implanted with an implantation energy of 150 keV followed by a dose of 2X10 17 cm '2 of H ions with implantation energy of 100 keV.
  • the implanted surface was then bonded to the bonding surface of a poly-crystal aluminum nitride support substrate, where the bonding surface comprised an approximately 1 micron thick layer of PECVD silicon dioxide deposited using TEOS precursor.
  • the bonded stack was heated in vacuum under a mechanically applied pressure of approximately 7 MPa to a temperature of 200 0 C for 2 hours in order to strengthen the bonded interface and then heated to 600 0 C for 1 hour in order to induce transfer of a substantially single crystal but highly defective layer of GaN from the source wafer to the support substrate, forming a composite substrate comprised of a substantially single crystal but highly defective
  • the composite substrate was annealed at 700 0 C in nitrogen ambient for 30 minutes in order to stabilize the bonding interface. ICP RIE comprising a mixture of chlorine and nitrogen was used to thin the transferred GaN layer to a thickness of 430 nm. The thinned transfer layer was then encapsulated with a 250 nm thick PECVD silicon nitride film and annealed at 700 0 C for 30 minutes in nitrogen ambient. The composite substrate was then annealed for an additional 30 min at a temperature of 1100 0 C in an ambient comprising hydrogen and ammonia.
  • ICP RIE comprising carbon tetra fluoride (CF 4 ) and oxygen was used to remove the silicon nitride encapsulation layer, and ICP RIE comprising chlorine and nitrogen was used to thin the transferred GaN layer to 200 nm.
  • the residual in-plane strain in the transferred GaN layer was less than 0.3% compressive as determined by X-ray diffraction measurements.
  • the composite substrate so prepared was transferred to an MOCVD growth chamber and heated to 110OC under ammonia and hydrogen ambient. An 800 nm thick layer of epitaxial GaN was then deposited at a rate of 0.9 microns/hour using trimethyl gallium precursor, before cooling the substrate to room temperature.
  • the epitaxial layer quality was studied by atomic force microscopy (AFM), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and cathodoluminescence (CL).
  • AFM and SEM revealed a smooth growth surface having well-defined atomic steps. No dislocations were identified in plan- view and cross-sectional TEM images of the layer, which implied that the defect density was below 5X10 6 cm "2 . Large-area plan- view CL measurements also did not reveal any optically active threading dislocations, indicating that the defect density was below 2X10 cm "2 . It is believed that this dislocation density is lower than that of any GaN produced by HVPE, MOCVD or other epitaxial growth techniques known in the art prior to the present invention.
  • CL measurements were also performed on a bulk GaN substrate from the same manufacturer and of the same specification as the GaN substrate from which the GaN layer was transferred.
  • the threading dislocation density for this bulk GaN substrate was found to be 3X10 5 cm “2 according to CL, which is consistent with the manufacturer's specification.
  • the invention therefore provides a method of obtaining a layer of semiconductor material having a substantially lower defect density than the semiconductor material used in its fabrication. Furthermore the invention provides a method of obtaining GaN material having a substantially lower defect density than any other epitaxial growth method known in the art prior to the invention.
  • a substantial reduction in a defect density can be achieved in an epitaxial GaN layer grown on a bulk GaN substrate or grown on a first epitaxial GaN layer that has been grown on another substrate such as sapphire, by implanting the bulk GaN substrate or first epitaxial GaN layer and then performing annealing and damage removal steps similar to those described above for the manufacture of the composite substrate.
  • the specific parameters of the implantation, annealing, and damage removal steps can be chosen so that the resulting surface and near surface regions of the bulk GaN substrate or first epitaxial GaN layer in this embodiment are similar to the corresponding regions of the transferred GaN layer of the composite substrate embodiment previously described.
  • a combination of ion implantation and chemical etching can be used to induce nanostructure as described above, for example ion implantation and optionally layer exfoliation followed by chemical etching such as anodic wet etching.
  • the structure comprises a bulk GaN substrate at least 50 microns thick with a substantially single crystal but highly defective layer of GaN with a minimal density of threading dislocations intersecting the exposed surface of the GaN substrate on which epitaxial GaN is to be grown.
  • the structure also comprises an epitaxial layer deposited on the surface of the bulk semiconductor substrate wherein a density of defects present in the epitaxial layer is substantially lower than a density of defects present in the bulk semiconductor substrate prior to the formation of the substantially single crystal but highly defective layer.
  • a density of defects present in the epitaxial layer is substantially lower than a density of defects present in the bulk semiconductor substrate prior to the formation of the substantially single crystal but highly defective layer.
  • the structure comprises the first epitaxial GaN film of at least 20 nm thickness with a substantially single crystal but highly defective layer of GaN of at least 10 nm
  • the structure also comprises a second epitaxial layer or layers deposited on the surface of the ion-beam-modified first epitaxial layer wherein a density of defects present in the second epitaxial layer or layers is substantially lower than a density of defects present in the first epitaxial layer prior to the formation of the substantially single crystal but highly defective layer.
  • a density of defects present in the second epitaxial layer or layers is substantially lower than a density of defects present in the first epitaxial layer prior to the formation of the substantially single crystal but highly defective layer.
  • the implantation species comprise light elements such as ions of H, He or combinations thereof.
  • the total implantation dose for the combination of species used is selected such that exfoliation occurs at a temperature between 100 0 C and 1000 0 C such as between 200 0 C and 800 0 C. This can be achieved if the total dose is between IXlO 17 cm “2 and IXlO 18 cm “2 such as between 2X10 17 cm “2 of 5X10 17 cm “2 .
  • the energy of the implantation is selected so that the thickness of the exfoliated layer is between 100 run and 2000 nm thick and is dependent on the species used. The implantation energy falls in the range of between 25 keV and 500 keV.
  • the implantation species can be the same as those used for the exfoliating implant, or they can be heavier species. In either case a lower dose is used for the non-exfoliating implant. If the implanted species comprise H, He or other light elements, then the dose can be selected to be between 5% and 90% of the exfoliating implant. If heavier species such as Ar or Ne are used, the dose and energy can be selected using a common simulation program such as SRIM familiar to those skilled in the art. The simulation can be used to scale the new implant dose to result in a defect density similar to that produced in the transferred film in the previously described composite substrate
  • the energy and species of the implant is selected to minimize cost and optimize the damage in the region near the surface
  • the peak of the implantation damage is chosen to occur at a position between 10 nm and 1000 nm from the surface, for example between 100 nm and 500 nm from the surface
  • Typical energies range from 25 keV to 500 keV depending on the ion species and the desired damage depth
  • Candidate implantation species can be any ion and comp ⁇ se but are not limited to light ions such as H and He, noble gas ions such as Ne, Ar, Kr, and Xe, typical III-nit ⁇ de dopants such as Mg and Si, and III-nit ⁇ de semiconductor constituents such as N, Al, Ga, and In Cross- sectional TEM, Rutherford backscatte ⁇ ng (RBS), and assessment of GaN grown on ion-beam-modified mate ⁇ als using TEM and CL along with other characte ⁇ zation methods known to those skilled in the art can be used to refine the
  • non-conventional ion sources such as Plasma immersion ion implantation (PIII), Kaufman ion sources, and other equipment capable of accelerating and directing an ion beam to a surface can be used to create the ion- induced damage in GaN.
  • PIII Plasma immersion ion implantation
  • Kaufman ion sources and other equipment capable of accelerating and directing an ion beam to a surface can be used to create the ion- induced damage in GaN.
  • the implanted GaN layer must be exfoliated pnor to growth in order to expose the underlying damaged near surface region
  • Exfoliation of the implanted layer can be achieved by annealing the implanted mate ⁇ al to a temperature above the exfoliation temperature Optionally the annealing is earned out in nitrogen or other inert gas ambient or in hydrogen or ammonia ambient.
  • the exfoliation temperature depends on the species and dose selected For example, if the implanted species are a combination of He and H then a total dose between 3X10 17 cm 2 and 5X10 17 cm 2 can be found for which the exfoliation temperature is between 200 0 C and 800 0 C It is sometimes found that the implanted layer does not exfoliate completely but rather small unexfohated regions can remain attached to the source substrate In such cases it can be beneficial to provide an overlayer pnor to the exfoliation step The overlayer matenal bonds to the
  • the overlayer material can be selected to induce additional mechanical strain during the annealing step due to thermal expansion mismatch or densification effects, so that the propensity of the implanted layer to exfoliate is enhanced.
  • Suitable overlayer materials comp ⁇ se deposited dielectrics such as silicon nitride and silicon dioxide, polymer materials such as SU8, or any material that can bond effectively to the GaN surface and that can maintain mechanical integrity in the annealing ambient and at the annealing temperature
  • bonding via a metallic bonding layer to a sacrificial substrate can be used to uniformly exfoliate the GaN film.
  • Other methods known to those skilled in the art can be used to improve the uniformity of the exfoliated GaN overlayer and thus improve the uniformity of the exposed ion-beam- modified GaN layer.
  • the film can optionally be thinned using chemical or dry etching techniques such as ICP-RIE, in order to remove some of the implantation-damaged region.
  • the amount of material removed during this step can be selected to optimize the effectiveness of the subsequent encapsulated annealing step in reducing the density of threading dislocations that intersect the surface.
  • an encapsulation layer can be provided to the implanted surface of the GaN mate ⁇ al.
  • the encapsulation layer can serve to prevent decomposition of the GaN surface during the annealing treatment, and can also act to enhance the dislocation reduction process as desc ⁇ bed earlier.
  • Suitable encapsulation layer materials comprise deposited dielectrics such as PECVD silicon nitride, LPCVD silicon mt ⁇ de, PECVD silicon dioxide, PECVD SiC, or other materials selected for their high temperature stability, adhesion to GaN, ease of removal, and cost
  • a nitrogen-rich PECVD silicon nitride having a thickness between 50 nm and 500 nm can be used.
  • the implanted layer is optionally annealed to a temperature between 600 0 C and 1400°C, such as between 800°C and 1200 0 C for a duration between 1 mm and 24 hours, such as between 30 min and 3 hours, in order to facilitate the motion of the dislocations in the
  • an ambient comprising an inert gas, nitrogen, hydrogen, ammonia, or ammonia and nitrogen or hydrogen is provided.
  • the encapsulation layer can be removed using dry etching such as ICP-RIE, wet chemical etching, or CMP.
  • dry etching such as ICP-RIE, wet chemical etching, or CMP.
  • a portion of the implanted and annealed GaN near-surface region can optionally be removed using ICP-RIE, wet chemical, CMP or other means.
  • ICP-RIE comprising oxygen and CF 4 can be used to remove a silicon nitride encapsulation layer
  • ICP-RIE comprising chlorine and nitrogen can be used to thin the implanted GaN region.
  • the exposed GaN surface can be patterned or masked prior to growth, for example by ICP-RIE or wet chemical etching through a patterned mask, or deposition of a silicon dioxide or silicon nitride layer followed by patterning, using techniques known in the art.
  • the encapsulation layer if provided and not removed, can be patterned to form a growth mask.
  • Such a growth mask or patterned surface can facilitate further reduction in the defect density in the epitaxial GaN layer grown on the implanted GaN, and it can also facilitate the reduction of any residual strain in the implanted GaN template resulting from the implantation process.

Abstract

A method includes growing a first epitaxial layer of Ill-nitride material, forming a damaged region by implanting ions into an exposed surface of the first epitaxial layer, and growing a second epitaxial layer of Ill-nitride material on the exposed surface of the first epitaxial layer. A level of defects present in the second epitaxial layer is less than a level of defects present in the first epitaxial layer.

Description

Atty Dkt No 048630-0131
BONDED INTERMEDIATE SUBSTRATE AND METHOD OF MAKING SAME
CROSS-REFERENCE TO RELATED PATENT APPLICATIONS
[0001] The present application claims benefit of U S application seπal number 12/178,838 filed on July 24, 2008
FIELD OF THE INVENTION
[0002] The invention relates to an intermediate substrate which can be used for fabπcation of wafer-bonded semiconductor structures used for light-emitting devices, such as light emitting diodes (LEDs), laser diodes (LDs), as well as other devices, and the structure of such devices The invention further relates to wafer-bonded semiconductor structures fabπcated with removable substrates The invention further relates to a method and structure for the growth of high quality epitaxial mateπal
BACKGROUND OF THE INVENTION
[0003] The nitπde semiconductor system that includes AlxInxGa1 x yN is a desirable direct-bandgap semiconductor mateπal system for light-emitting devices operating in the visible and green-blue-ultraviolet spectrum However, nitπde semiconductors are difficult and costly to produce as bulk single crystals Therefore, hetero-epitaxial technology is often employed to grow nitπde semiconductors on different mateπal substrates such as sapphire or SiC by metal-organic chemical vapor deposition (MOCVD) or other epitaxial growth techniques, including, but not limited to hydπde vapor phase epitaxy (HVPE), molecular beam epitaxy (MBE) and liquid phase epitaxy (LPE) In order to improve the crystalline quality of the grown layers, buffer layer growth at low temperature, patterning, epitaxial lateral overgrowth, or additional growth steps may be required to reduce crystal defects to levels necessary for operation of light-emitting devices Further improvements in crystalline quality are needed to enable development of smaller light-emitting devices with longer life time, higher output power, and lower cost relative to conventional devices
[0004] Presently, nitπde semiconductor structures grown on sapphire substrates are used for conventional blue LED, green LED, ultraviolet (UV) LED, and blue LD
WASH 4640436 1 Atty Dkt No 048630-0131
devices These devices have applications in a vaπety of devices including full-color displays, traffic lights, image scanners, solid state lighting and high-density optical storage disks
[0005] Because sapphire has a low thermal conductivity and is electrically insulating, the functionality of nitπde semiconductor structures on sapphire is limited Both electπcal contacts of the light-emitting device grown on a sapphire substrate have to be located on the top surface to form a lateral type device This reduces the usable area of light-emission when compared to a GaN light-emitting device formed on conductive (i e , highly doped semiconductor) substrates that require only one contact on the top surface and another contact on the substrate (i e , a vertical type device) Because both contacts are located on the top surface in a lateral device, significant lateral current flows through the chip resulting in heating of the light-emitting device which accelerates the degradation of the device Device manufacturers have attempted to overcome these challenges by removing the devices from the sapphire substrate following growth using techniques such as laser lift-off and physical and chemical removal of the sapphire substrate However, these approaches present many problems, including high capital costs, resultant damage to the device layer, and low yields The coefficient of thermal expansion of sapphire is also poorly matched to gallium nitπde and its alloys As a result, the growth of gallium mtπde-based films on sapphire substrates presents challenges that scale with wafer diameter Because of these challenges, manufacturers have found it difficult to move to larger substrate sizes despite the potential for associated cost reductions The CTE related challenges are not addressed by post-device growth sapphire substrate removal techniques
[0006] Recently, interest has grown in LEDs capable of emitting in the UV region (wavelength < 400nm) For LED devices emitting at wavelengths shorter than the bandgap of GaN at ~365nm, the thick buffer layer of GaN used in conventional growth on sapphire substrate reduces the useful light output by approximately half due to absorption of light emitted from the AlxInyGai x yN active region by the narrower bandgap GaN
[0007] Recently, researchers have made progress in the growth of III-nitπde based devices, including LDs and LEDs, on freestanding GaN manufactured by HVPE. Because of the low dislocation mateπal that is possible in freestanding GaN, devices
WASH 4640436 1 9 Atty. Dkt. No. 048630-0131
grown on high quality freestanding GaN have demonstrated significant performance improvements over those grown on sapphire or silicon carbide as presented by T. Nishida, et. al. in "Highly efficient AlGaN-based UV-LEDs and their application as visible light sources," Proceedings of SPIE Vol. 4641 (2002), by H. Hirayama, et. al. in "High-efficiency 352nm quaternary InAlGaN-based ultraviolet light-emitting diodes grown on GaN substrates," Japanese Journal of Applied Physics, Vol. 43, No 1OA, 2004, or by D W Merfeld, et. al in "Influence of GaN material characteristics on device performance for blue and ultraviolet light-emitting diodes," Journal of Electronic Materials, VoI 33, No. 11, 2004. However, for this approach to be commercially viable, it is necessary to reduce the cost of the freestanding GaN mateπal used in the devices. For LEDs, it is also necessary to develop techniques for reducing the thickness of the conductive GaN substrate within the final device structure to reduce free-earner absorption in the substrate and unwanted emission from the sides of the substrate At present, thinning of freestanding GaN substrates in the finished device structure is not viable due to the very high cost of the freestanding GaN substrate and the difficulty of controllably and selectively removing the thick (typically >200 μm thick) GaN substrate without damaging the thin device structure (typically <5 μm thick).
SUMMARY OF THE INVENTION
[0008] One embodiment of the invention provides an intermediate substrate compπsing a handle substrate bonded to a thin layer suitable for epitaxial growth of a compound semiconductor layer, such as a Ill-nitride semiconductor layer The handle substrate may be a metal or metal alloy substrate, for example a molybdenum or molybdenum alloy substrate, while the thm layer may be a sapphire layer. Another embodiment of the invention provides an intermediate substrate comprising a thin layer suitable for epitaxial growth of a compound semiconductor mateπal bonded to a handle substrate having a coefficient of thermal expansion which is closely matched to a coefficient of thermal expansion of the compound semiconductor mateπal Another embodiment of the invention provides a method of making the intermediate substrate compπsing forming a weak interface in the source substrate, bonding the source substrate to the handle substrate, and exfoliating the thm layer from the source substrate such that the thm layer remains bonded to the handle substrate Another
WASH_4640436 1 Atty. Dkt. No. 048630-0131
embodiment of the method describes a method for making freestanding substrates using intermediate substrates.
[0009] Another embodiment of the invention is a method and structure for the growth high quality epitaxial layers via modification of the surface and near surface regions of the growth substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] Further features of the invention, its nature and various advantages will be more apparent from the following detailed description in conjunction with the accompanying drawings in which like reference characters refer to like parts throughout, and in which:
[0011] FIG. 1 is a side cross-sectional view of a device according to one preferred embodiment of the present invention.
[0012] FIGS. 2A to 20 are side cross-sectional views of a method of making a device according to embodiments of the present invention.
[0013] FIGS. 3A to 3C are side cross-sectional views of a method of making a device with a photonic lattice structure according to an embodiment of the present invention.
[0014] FIG. 4 is a side cross-sectional view of a device with a photonic lattice structure according to one embodiment of the present invention.
[0015] FIGS. 5A to 5B are side cross-sectional views of a method of making a device with a photonic lattice structure according to an alternative embodiment of the present invention.
[0016] FIG. 6 is a side cross-sectional view of a device with a photonic lattice structure according to an alternative embodiment of the present invention.
[0017] FIG. 7 is an implantation phase diagram for the He/H co-implantation-induced exfoliation of sapphire. The Figure shows a preferred envelope of dose combinations for He/H co-implantation.
WASH 4640436.1 Atty Dkt. No. 048630-0131
[0018] FIG. 8 is a diagram that shows the transferred layer thickness as a function of energy for H+ and He+ implantation.
[0019] FIG. 9 is a diagram that shows the X-ray diffraction spectra of an epitaxial GaN layer grown on a thin sapphire layer on a poly-AIN handle substrate according to an embodiment of the invention.
[0020] FIG. 10 is a cross-sectional TEM image of an epitaxial GaN layer grown on a thin sapphire layer on a poly-AIN substrate.
[0021] FIG. 11 is a plot of stress stress-thickness versus time of typical high-In InGaN active LED layers grown on the intermediate substrate according to an embodiment of the invention and of conventional substrates comprising sapphire, freestanding GaN, and SiC
[0022] FIG. 12 is a cross- sectional TEM image of an epitaxial GaN layer grown on a thin GaN layer on a poly-AIN substrate.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0023] The fabrication and structure of semiconductor-based light-emitting devices with high brightness and high efficiency and other devices are described. The devices are grown on an intermediate substrate after the intermediate substrate is formed by wafer bonding. The wafer-bonded intermediate substrate compπses a handle substrate and a thin layer of semiconductor or ceramic mateπal that has been transferred from another semiconductor or ceramic substrate. Preferably, the coefficient of thermal expansion of the mateπal comprising handle substrate is closely matched to the coefficient of thermal expansion (CTE) of the device layers over a temperature range. Preferably, the thin layer comprises a single-crystalline semiconductor or ceramic material exfoliated from a high-quality low-defect-density freestanding single-crystalline semiconductor or ceramic substrate. The wafer- bonded intermediate substrate improves the crystalline quality of high-temperature epitaxial growth by providing efficient thermal coupling to the wafer susceptor used in epitaxial growth systems and by minimizing the strain induced in the grown material relative to other approaches. The wafer-bonded intermediate substrate also enables the use of larger diameter substrates for the growth of device films than is
WASH 4640436 1 Atty. Dkt. No. 048630-0131
possible with other approaches in cases where the CTE of the material upon which devices will be formed is significantly different from the CTE of the device layer, for example, in the case where Ill-nitride films are grown on sapphire. The devices grown on the intermediate substrate are integrated with a final substrate, preferably by wafer bonding. The intermediate substrate may be removed by a process such as etching while the device structure remains bonded to the final substrate without damaging the device layer. The removal of the intermediate substrate simplifies the fabrication of vertical device structures with front- and back-side contacts (in a vertical device, the contacts are located on opposite sides of the device, while in horizontal devices, the contacts are located on the same side of the device; both vertical and horizontal devices may be made by the processes described herein). In cases where a thin GaN or Ill-nitride layer acts as a template for the growth of the device layers, the removal of the bulk of the intermediate substrate simplifies the production of thin light-emitting structures, which improves the external quantum efficiency by reducing lateral waveguiding of light output and decreasing optical loss from free carrier absorption in highly-conductive doped semiconductor material. Additionally, materials or structures with high optical reflectivity can be integrated in the finished light-emitting device structure to improve light-extraction efficiency. Photonic lattice structures can also be optionally integrated into the light-emitting device structure to further improve efficiency. Furthermore, the intermediate substrate for epitaxial growth of Ill-nitride and other compound semiconductor or ceramic layers of the embodiments of the invention can be made larger than the commercially available substrates, thus decreasing the cost of device manufacturing.
[0024] The structures and approach are applicable to wide range of electronic devices comprising optoelectronic devices, high frequency amplifiers, HEMTs, HBTs, and solar cells. In some cases, the intermediate substrates may also form the final support substrate. For the following embodiment, specific examples using Ill-nitride semiconductors are described. It is to be understood that equivalent substitution using AlxInyGai -x-yN on AlN/Al7Ga1-7N material in place of the AlxInyGai.x-yN on GaN material can be applied easily with the disclosure provided herein, and where x, y, x+y and z range between zero and one. In addition, it is to be understood that the substrate structures and associated manufacturing techniques for the substrates and devices can be applied to a wide range of other electronic devices. Any other
WASH 4640436.1 Atty. Dkt. No. 048630-0131
semiconductor device fabπcated by a form of epitaxy in which the final device can benefit from integration of the finished structure with a package that is unsuitable for epitaxy, such as a metallic mounting for high power devices or a transparent cover glass for photovoltaic (PV) devices, may benefit from the use of a wafer-bonded intermediate substrate comprising a thin semiconductor or ceramic layer on a removable handle substrate. A representative, but not exhaustive, list of mateπals for transfer and their applications compπses Ge for growth of III-V compound semiconductor PV devices, InP for optoelectronic, electronic, and PV applications, and GaAs for optoelectronic, electronic, and PV applications. Thus, while the method described herein illustrates formation of intermediate substrates for Ill-nitride semiconductor devices, the intermediate substrates may be used for other semiconductor devices, such as other IH-V, II- VI, Ge and/or SiC devices, and other solid state devices containing thin non-semiconductor single crystal or ceramic layers.
[0025] Referring to FIG. 1, the semiconductor-based light-emitting device, such as an LED, of one embodiment of the invention comprises a final substrate 50, bonding layer 51, first terminal contact 40, light-emitting semiconductor active layers 30 including one or more semiconductor layers 31, 32, 33, 34, thin transferred semiconductor layer 12, and a second terminal contact 60. If desired, the thin transferred semiconductor layer 12 can optionally be removed from the finished device structure by mechanical or chemical means following fabrication of the epitaxial device structure and integration of the device with the final substrate 50. Thus, thin layer 12 can be omitted from the final device. The thin transferred semiconductor layer 12, semiconductor active layers 30, and/or first terminal contact 40 optionally comprise photonic lattice structure or random or periodic grating pattern to enhance light output in the vertical direction or to provide a frequency selective element for light-emitting device structures comprising the semiconductor-based light-emitting devices such as distπbuted-feedback (DFB) or distπbuted Bragg reflector (DBR) laser diodes In addition, the first terminal contact 40 preferably compπses optically-reflective layers and barrier layers, for example the omnidirectional reflective structures as disclosed in US Patent nos. 6,130,780 and 6,784,462, incorporated herein by reference, to provide for higher light-extraction efficiency and better stability and reliability of the light-emitting device.
WASH 464Q436 1 Atty. Dkt. No. 048630-0131
[0026] When the final device substrate 50 is electrically conductive, it can provide the semiconductor device structure with an opposed terminal structure (i.e., a vertical light-emitting device). More specifically, when the second terminal contact 60 is an n-type terminal, it can improve the light-extraction efficiency. An n-type layer in the IH-V semiconductor (especially GaN semiconductor) has low resistance, and therefore the size or surface area of the n-type terminal, the second terminal 60, can be minimized when contact layer material 60 is not transparent (i.e., it only covers a portion of the semiconductor layer 12 to allow light to be emitted through the uncovered portions of layer 12). Because minimizing the size of the n-type terminal reduces the light-blocking area, this can improve the light-extraction efficiency. Alternatively, a transparent contact material, for example indium tin oxide (ITO) for p-type terminal or ZnO:Al (AZO) or ZnO:In (IZO) for n-type terminal, can also be used as second terminal contact 60. This would allow large current flow without high spreading resistance in either p-type or n-type semiconductor. For n-type nitride semiconductor contact, it is also preferable to include Al, such as Ti-Al or W-Al for example, in the second terminal contact 60.
[00271 Source material preparation
[0028] Detailed processing techniques and structures in accordance with embodiments of the present invention are illustrated in FIGS. 2A-2O, 3A-3C, 5A, and 5B. FIGS. 2A-2O illustrate a method of the first embodiment. In FIG. 2 A, a source (also known as "donor") semiconductor substrate or wafer 10 is preferably high-quality low-defect-density freestanding commercial GaN substrate, where dislocation-defect density is less than 108/cm2. Other preferred candidates for source wafer 10 comprise one or more layers of GaN or AlzGai-zN materials grown homo- epitaxially on high-quality low-defect-density freestanding commercial GaN or AlN substrates, where z is in the range of 0 to 1. Other possible candidates for source wafer 10 comprise one or more layers of GaN or AlzGai-zN materials grown heteroepitaxially on sapphire or SiC substrates. These hetero-epitaxially grown materials have higher dislocation-defect density, typically higher than 108/cm2.
[0029] Alternatively, as will be described with respect to the second, third and fourth embodiments, any material suitable for use as an epitaxial template for the Ill-nitride semiconductor system may be applied as source wafer 10 for transfer of a thin layer to
WASH 4640436.1 Atty. Dkt No. 048630-0131
a handle substrate 20. One example comprises the transfer of a thin layer of sapphire from a sapphire substrate to a handle substrate by ion-implantation, preferably by wafer bonding of the sapphire substrate to the handle substrate and exfoliation of the thin sapphire layer to leave the thin sapphire layer bonded to the handle substrate. Additional suitable mateπals for transfer to a handle substrate and for subsequent use as Ill-mtπde semiconductor epitaxial template compπse SiC, Si(I I l), ZnO, GaAs substrates, or any other crystalline material that can be used as a growth surface for GaN and its related compounds Intermediate substrates comprising a sapphire or other suitable epitaxial template layer can be used for the epitaxial growth of III- nitride semiconductor layers, including GaN, AlN, AlGaN, InGaN, and AlInGaN
[0030] In FIG. 2B, the source wafer 10 is treated to produce a thin layer 12 with a weak interface 11 to enable transfer of the thin layer 12. Preferably the thin layer 12 with the weak interface 11 is produced by ion implantation or ionic bombardment with hydrogen, helium, nitrogen, fluorine, oxygen, boron and/or other ions. More preferably, the thin layer 12 with weak interface 11 is produced by co-implantation of hydrogen and other heavier ions as known in the art, including, but not limited to helium, nitrogen, and/or boron. Preferably, helium, or more generally, a light gas ion, can be implanted in the GaN source wafer 10 pπor to implantation of hydrogen. However, implantation with H+ as the first ion can also be used. The ion energies of the helium and hydrogen are selected to ensure that the concentration peaks of the helium and hydrogen are at similar depths In this process, implantation profile peak positions varying by 10% or less can be expected to behave similarly As-exfoliated thin layer 12 produced by ion implantation or ionic bombardment have thickness variation much less than 20% of the total thickness of thin layer 12, usually less than 10%. Thickness uniformity of thin layer 12 ensures uniformity of thermal conduction and growth temperature during epitaxial growth and produces epitaxial layers with exemplary uniformity of composition and thickness, critical for the economic production of high-performance devices with higher yield and lower costs.
[0031] Other possible processing techniques to exfoliate and transfer a thin layer 12 comprise using a sacrificial layer as the weak interface 11 that can be laterally selectively etched to allow what is commonly referred to as epitaxial lift-off (ELO) The selectively-removable weak interface used in ELO 11 can be fabπcated by
WASH 4640436 1 Atty. Dkt. No. 048630-0131
epitaxial growth of a thin film or ion implantation with a heavy ion to amorphize the sacrificial layer 11.
[0032] Thus, the thin layer 12 can be made of any material which supports epitaxial growth of a desired compound semiconductor material, such as a Ill-nitride compound semiconductor material, including GaN. Preferably, the thin layer 12 is a single crystalline layer or a layer with a highly oriented columnar structure which has a surface lattice structure which is similar to the lattice structure of the desired compound semiconductor material, such as the Ill-nitride compound semiconductor material, to allow epitaxial growth of a single crystal layer of the desired compound semiconductor material, such as the Ill-nitride compound semiconductor material, on the thin layer 12.
[00331 Source material for transferring a thin GaN layer
[0034] When freestanding GaN substrates are used, devices are typically grown on the Ga-terminated face of the GaN substrate leaving the much less chemically stable N-terminated face of the GaN substrate exposed. The relatively low chemical stability of the N-terminated GaN face makes devising a selective etch extremely difficult.
[0035] If the source substrate 10 comprises freestanding GaN or any other freestanding Ill-nitride material and the device to be fabricated on the wafer-bonded intermediate substrate comprises a LED or LD structure, it is preferable for subsequent processing that the N-face be treated to generate the weak interface 11. By treating the N-face of the source wafer 10, upon bonding and layer transfer described below, the Ga-face in GaN and the cation face in any other Ill-nitride freestanding material is presented for the epitaxial growth of the device structure. Although most freestanding GaN substrates available now comprise flat surfaces of the (0001) Ga-face or (000-1) N-face, other freestanding GaN substrates are also possible comprising flat surfaces of (1 1-20) or (10-10) planes, more commonly known as non-polar or semi-polar faces of GaN. When applied as source substrate 10, these freestanding GaN substrates comprising non-polar or semi-polar faces do not require the special distinction necessary for the Ga-face and N-face and greatly simplify the treatment of the source wafer 10.
WASH_4640436.1 ] Q Atty Dkt No 048630-0131
[0036] It is known in the art that metal-organic chemical vapor deposition (MOCVD), the dominant device growth technique for Ill-mtπde-based light- emitting devices, produces far supeπor epitaxial layers on the Ga- or cation-face, as shown in "GaN homoepitaxy for device applications" by M Kamp, et al , MRS Internet J Nitride Semicond Res 4Sl, GlO 2(1999) Because the hydnde vapor phase epitaxy (HVPE) growth of the freestanding Ill-nitride source wafer 10 results typically in a Ga- face top surface, the N-face of the resulting freestanding GaN substrate is closer to the initial growth substrate compπsing sapphire or other substrates suitable for GaN growth used to fabπcate the freestanding GaN, and has a higher dislocation density than the Ga-face of the freestanding GaN, as shown in "Wide Energy Bandgap Electronics" by F Ren and J C Zolper, pg. 59 For this reason, it is preferable to fabπcate source wafer 10 specifically designed for the subsequent transfer of thin GaN layers 12 to produce wafer-bonded intermediate substrates intended for use as high-quality growth templates for III-nitπde semiconductor Such a freestanding GaN substrate specifically designed for the fabπcation of a wafer-bonded intermediate substrate by implantation and exfoliation of thin GaN layers 12 from the N-face would be distinguished from a standard freestanding GaN substrate by being grown to a greater thickness than typical HVPE freestanding GaN substrates The increased thickness would be used to polish an increased quantity of the mateπal from the N- face and to move the N-face of the freestanding GaN substrate farther from the highly defective nucleation region present at the oπginal sapphire-GaN interface in the HVPE growth and fabπcation of freestanding GaN. Preferably greater than 50 μm of GaN and more preferably between 50 to 200 μm of GaN are removed from the N-face by polishing or other removal means
[0037] Further improvement of the freestanding GaN substrate for fabπcation of wafer-bonded intermediate substrates could be achieved by using a wafer-bonded intermediate substrate, compπsing a first thin GaN layer bonded to a removable handle substrate, as the starting growth substrate for the HVPE growth of new freestanding GaN substrate With this improvement the N-face of the resulting new substrate would effectively be moved further from the surface of the initial sapphire growth substrate which produced the oπginal freestanding GaN and the first thin GaN layer Such a process could be repeated one or more times to reduce the dislocation density to a desired level in the thin GaN layer compπsing a wafer-bonded
WASH 4640436 1 11 Atty Dkt No 048630-0131
intermediate substrate Additionally, a freestanding GaN substrate specifically designed for the fabrication of a wafer-bonded intermediate substrate preferably has a better polished N-face surface than what is typically specified or available in conventional HVPE freestanding GaN prepared for subsequent growth on the Ga- face Specifically, the N-face polish preferably results in a N-face surface with less than 1 nm, preferably between 0 3 and 0 5 nm, of micro-roughness In the case that the device to be fabπcated on the wafer-bonded intermediate substrate is a HEMT or other high-power or high-frequency device, it is possible and sometimes preferable to fabπcate such structures by growth with MBE on the N-face of the Ill-mtπde mateπal, typically GaN For this reason, implantation of the Ga-face of the freestanding GaN substrate would be preferable and can be used to transfer thm GaN layers from a freestanding GaN substrate
[0038] Through the co-implantation of helium and hydrogen, the exfoliation process is improved relative to implantation with hydrogen alone The improvement of the exfoliation kinetics relative to a hydrogen implantation process can be exhibited in multiple ways The total dose of hydrogen plus helium necessary to achieve an exfoliation process that occurs at the same temperature and rate as a hydrogen-only exfoliation process is reduced As a result, by using a total dose at the same level as a functioning hydrogen-only exfoliation process, the rate at which exfoliation occurs at a given temperature is accelerated This can enable the reduction of the required temperature in the exfoliation process
[0039] The use of a He/H co-implantation process introduces less total hydrogen in the thin transferred GaN layer, reducing the amount of hydrogen available to diffuse into the device structure duπng high temperature epitaxial growth By reducing the background concentration of hydrogen in the device structure, problems associated with dopant passivaton - particularly Mg in p-type GaN - can be reduced relative to devices fabπcated on thin GaN layers exfoliated with a higher dose of hydrogen used in a hydrogen-only exfoliation process
[0040] Additionally, the use of a He/H co-implantation process leads to a mechanistically different exfoliation process The co-implantation exfoliation process improves upon the hydrogen-only exfoliation process because of the differing interactions of helium and hydrogen with the GaN crystal lattice both as energetic
WASH 4640436 1 12 Atty Dkt No 048630-0131
ions and neutral atomic species By virtue of their larger mass and associated momentum, energetic helium ions cause an order of magnitude more damage in the crystal lattice dunng the implantation process than hydrogen ions of comparable energy Furthermore, after coming to rest in the crystal lattice, helium atoms have a lower diffusivity than hydrogen atoms, and are thus less mobile under low- temperature (<500 0C) dynamic annealing that occurs dunng implantation However, in contrast to hydrogen, helium atoms in the gallium nitπde lattice do not bind to the defect structures formed by ion implantation Thus, the temperature dependence of helium diffusion is dictated by the temperature dependence of helium diffusivity in the GaN crystal structure irrespective of damage, while the temperature dependence of hydrogen diffusion for hydrogen atoms bound to defect structures is a function of both the energy required to release the hydrogen from the structure, which can be quite high for nitrogen-hydrogen bonds, and the temperature dependent diffusivity of hydrogen in the GaN lattice Thus, the hydrogen passivates and stabilizes defect structures These defect structures lead to the formation of micro-cracks and the eventual exfoliation of the GaN film upon the diffusion of helium to the micro-crack structures at elevated temperatures (>300 0C) The net effect of the mechanistic difference is that the impact of implant temperature is minimized from a diffusion perspective making the implantation process more robust
[0041] It has been observed that implantation of GaN at an elevated temperature leads to an improved exfoliation process when He/H co-implantation is used The proposed mechanism for this observation is that the elevated substrate temperature dunng implant causes dynamic annealing to limit the buildup of lattice damage dunng the high dose implant necessary to exfoliate GaN To maintain a high substrate temperature, such as a temperature above room temperature, preferably a temperature greater than 150 0C, and more preferably 300 to 500 0C, dunng implantation, several methods can be employed, compnsing the following methods The energetic ion beam delivers power to the implanted substrates that is predominantly dissipated as heat Thus, by thermally isolating the substrates from the implanter end station, by secunng the substrate with limited points of thermal contact so as to make the predominant cooling mechanism be a radiation process or by placing a thermally insulating matenal between the substrate and end station dunng implantation, the substrate temperature naturally nses dunng the implantation process until the
WASH_4640436 1 γ 3 Atty. Dkt. No. 048630-0131
substrate cooling mechanism becomes dominated by radiation rather than thermal conduction. Alternatively, directly heating the substrate during implantation by a resistive heater and a feedback control system can be used to more precisely control the temperature at the substrate surface during implantation. Thus, the substrate may be heated passively and/or actively during the implantation.
[0042] A substantial blistering and exfoliation of a GaN layer from a GaN-on- sapphire substrate and/or a freestanding GaN substrates can occur when the substrate is co-implanted with He+ at an energy of 80 to 160 keV to a dose of 1.5x1017 to 4.OxIO17 cm"2 and H+ at an energy of 60 to 100 keV to a dose of 1.OxIO17 to 2.0xl017cm"2. Alternatively, H2 + ions can be used instead Of H+ ions by doubling the energy and halving the dose of the desired H+ implant process. The desired dose for exfoliation is consistent for a wide range of implantation temperatures from passively cooled implantation resulting in a wafer temperature between room temperature and 150 0C and actively heated resulting in wafer temperatures in excess of 300 0C during implantation. Generally, the required dose for exfoliation is reduced by implantation at an elevated temperature. In all cases, the He fraction of the implant is preferably more than 50% of the total dose, up to a He-only exfoliation process that has been found to be possible for implantation doses above 3.5xlO17 cm"2. Thus, the low H+ doses and implant conditions described above are not sufficient to cause exfoliation in the absence of the He+ implant. The substrate is annealed for >10 seconds at a temperature between 300 and 900 0C to exfoliate the layer, depending on the dose of the implant. Preferably, the GaN substrate is annealed to a temperature from 350 to 600 0C to induce exfoliation. In cases where a co-implantation process is used, a thermal anneal between the first and second implantation may be used to improve the kinetics of the exfoliation process.
[00431 Source material for transferring a thin sapphire layer
[0044] In brittle semiconductors, such as Si, it is generally accepted that H-induced exfoliation proceeds by the formation of damage and the super-saturation of the lattice with H during implantation that after bonding and annealing leads to laterally extended micro-cracks that coalesce to induce exfoliation. The exfoliation process in sapphire is mechanistically different than that for brittle semiconductors. For reasons related to the relatively rigid elastic properties of sapphire and its resistance to
WASH_464Q436.1 J 4 Atty Dkt No 048630-0131
implantation damage and diffusion of implanted species, full spontaneous exfoliation of free sapphire surfaces has not been reported in the literature. Instead, subsurface blisters may not fully coalesce. Thus, the implantation and thermal cycling commonly used in implantation-induced exfoliation processes may not by themselves be sufficient for full layer exfoliation. In this case, the presence of a rigid handle substrate with a CTE that is different from that of the implanted sapphire can serve to improve the exfoliation process by inducing thermo-mechanical stresses that drive fracture and exfoliation of the material in the areas weakened by the un-coalesced subsurface blisters This could lead to several important differences when exfoliating sapphire and developing a suitable implant process for wafer bonding and layer transfer First, it may be important to provide either an internal thermo-mechanical stress or an external stress to serve as a driving force to induce exfoliation along the weakened interface. Additionally, adequate implantation processes to lead to exfoliation of a sapphire thm film duπng wafer bonding and layer transfer can be indicated by uniform blistering of a free surface upon annealing rather than the spontaneous exfoliation of the implanted film from the surface.
[0045] Sapphire blistering that leads to exfoliation and layer transfer is caused by the implantation of He+ and/or H+ or H2 + and has been investigated He+ ions have been implanted at energies of 80, 150, 180, and 285 keV H+ ions have been implanted at energies of 50, 80, 95, 100, 150, and 180 keV, and H2 + ions have been tested at energy of 300 keV. For He-only exfoliation doses of LOxIO17, 1 15xlO17, and 1.5xlO17 cm"2 were tested For H-only exfoliation doses of 1 OxIO17, 1 5xlO17, 1.8xlO17, and 2 OxIO17 cm"2 were tested. For co-implantation Of He+ and H+ ions a wider range of implantation ranges and combinations was attempted with the He+ dose ranging from 7.5xlO16 to 2 OxIO17 cm"2 and H+ dose ranging from 5 OxIO16 to 2.0xl017 cm 2.
[0046] Based upon this data, a generalized He/H co-implantation process is defined. Broadly, the blistering process is functional for He+ implantation at an energy from 80 to >285 keV at a dose of 7 5xlO16 to 2.OxIO17 cm 2 with a corresponding energy and dose for H+ in the range of 50 to >150 keV and 0 to 1.25xlO17 cm"2 dose. In other words, He+ ions may be implanted alone or in combination with hydrogen ions. Implantation of H+ at 80 keV to a dose in excess of 1 25xlO17 cm"2 leads to exfoliation
WASH_4640436 1 } 5 Atty. Dkt No. 048630-0131
even in the absence of He species, and thus can not be considered a sub-critical H+ dose. Appropriate implantation conditions for 150 keV He+ and 80 keV H+ can be expressed as a total dose, D, and the fractional component of that dose that is made up of He+, XHe- Using this notation, the H+ dose restriction for a sub-cπtical H+ dose preferably leads to the following constraint for a 150 keV He+ implantation process.
(\ - xHe)D < \ 25 X 1017 CTT2 (1)
[0047] However, operation with a sub-critical dose of H+ is not essential to drive the exfoliation process This is illustrated in FIG. 7. While weak blistering is observed for a wide range of implant conditions with 150 keV He+, blistering was preferably achieved for doses defined by the following range, subject to the restnction defined in equation 1.
5 0 x l016 cm"2 ≤ xHeD < l.5 x \0π cm~2 (2)
[0048] A summary of the data used to derive these relationships is reproduced in FIG. 7. As was noted in the previous paragraph the prescribed dose may be insufficient to cause exfoliation of a full thin layer without bonding to a handle substrate with a CTE that differs from sapphire.
[0049] FIG. 7 also illustrates a window for implantation that consists of a supercritical H range where the fraction of hydrogen in the implant could produce exfoliation in the absence of the co-implanted He. In fact, this condition ranges all the way down to a H-only exfoliation process. The window for implantation ranges up to 2.5x1017 cm"2 and down to the limit defined by equation 1, with the modification that the dose window is defined for the range of the product (\-xHe)D being greater than 1 25x1017 cm"2.
[0050] A high energy implant is preferably used for transfer of sapphire onto molybdenum, aluminum nitride, or other lower CTE mateπals as compared to sapphire, in order to create a thm transferred layer that is sufficiently mechanically robust that it does not buckle once the high pressure bond step is complete. In sapphire layer transfer, this buckling can be driven by a number of factors as described next.
WASH_4640436 1 T g Atty Dkt No 048630-0131
[0051] First, as a result of using a high implantation dose for layer transfer of sapphire, the damaged region in the upper part of the thin transferred layer following layer transfer but pnor to damage removal is under a high degree of compressive stress relative to the lower undamaged portions of the thin transferred layer As a result, there is a significant stress gradient from the top surface of the thm transferred layer to the bottom bonded region This stress gradient results in an energy potential that can dnve buckling in the thm transferred layer
[0052] Second, sapphire's high modulus can result in non-uniformities in the bond strength between the sapphire thin layer and the handle substrate These non- umformities are caused by failure of the sapphire source substrate to flex and match the shape of the underlying handle substrate These non-uniformities in bond strength may result in localized failure in bonding and drive buckling of the thm transferred layer Preferably, a thinner sapphire source substrate is used to increase the flexibility of the sapphire source substrate and to allow shape matching of the underlying handle substrate The thinner sapphire source substrate also reduces wafer bow from mismatch in coefficient of thermal expansion (CTE) between the source substrate and the handle substrate The reduced wafer bow minimizes the possibility of cracking the handle substrate
[0053] Currently, commercial sapphire substrates of 2" diameter are commonly available with nominal thicknesses of 432 μm and 330 μm It is preferable for improved quality of thin transferred layer to utilize sapphire source substrate with thickness substantially less than 330 μm Preferably, the thickness should be 200 μm or less More preferably, sapphire source substrate with thickness 125 μm or less is used to produce thm transferred sapphire layer with no visible buckling or cracking in the thm transferred sapphire layer or the handle substrate For larger diameter sapphire source substrate, similar thicknesses as descnbed are preferably used to produce thm transferred sapphire layer Sapphire source substrate with reduced thickness in the preferred thickness range may be fabncated readily from commonly available commercial sapphire substrates by grinding the sapphire substrate down to the proper thickness followed by polishing
[0054] To achieve substrate surface suitable for direct bonding, the sapphire source substrate can be annealed at high temperature in air ambient followed by light
WASH_4640436 1 \η Atty. Dkt No. 048630-0131
chenπcal-mechanical pohshmg. For example, the sapphire source substrate can be annealed for 1 hour at 13800C in clean puπfied air ambient Other surface preparation techniques for smoothing sapphire surfaces are well known such as wet etching in hot acids and can be applied here as well.
[0055] For improved handling of the thin sapphire source substrate, the thm substrate can be bonded by a compliant layer onto a mechanical support substrate. The compliant layer may comprise metallic bonding layers for direct metal -to-metal bonding or eutectic bonding as discussed below in the section on "Source mateπals with improvements." The combined stack comprising the thin sapphire source substrate would reduce wafer breakage from handling without affecting the compliance and conformity of the thin sapphire source substrate. Alternatively, the thm sapphire source substrate may be enhanced by the anti-cracking layer discussed below in the section on "Source mateπals with improvements." Further advantages and alternative embodiments of thin sapphire source substrate are additionally discussed below in the section on "Alternative wafer bonding and layer transfer strategies "
[0056] If the bonded interface between the thm sapphire layer and the handle substrate does not comprise metallic bonding layers, then preferably the thickness of the thin transferred sapphire layer is about 800 nm or greater, such as 800 nm to 1200 ran. Other thicknesses can also be used. An 800 nm thin transferred layer is sufficiently thick to prevent buckling This 800 nm thin layer is approximately 200 nm thicker than what would be required to generate a film of target thickness 300 nm with a 300 nm buffer for damage removal. In the case that the bonded interface between the thin sapphire layer and the handle substrate compπses metallic bonding layers as descπbed below, the transferred layer thickness is preferably between 300nm and lOOOnm, such as 600nm.
[0057] Thin transferred layers of thickness 800 nm or greater can be achieved by implanting H+ at an energy of at least 140 keV and He+ at an energy of at least 280 keV The relationship between ion energy and peak depth is illustrated in FIG. 8. When adjusting the thickness of the thin transferred layer by adjusting the ion energy of the implant, the dose is also adjusted so that the peak concentration is sufficiently high to lead to exfoliation This can be done by taking a known functional dose at an
WASH_4640436 1 Jg Atty. Dkt. No. 048630-0131
established energy and using a TRIM simulation (the Transport of Ion in Matter, a software simulation program by James F. Ziegler) at the established energy to generate a predicted peak concentration of the implanted species at the end of range for the known functional dose. A second TRIM simulation at the new energy gives an estimate of the peak concentration per dose unit. Dividing the predicted peak concentration for the functional dose by the TRIM estimated concentration per unit dose at the new energy gives the required dose at the new energy
[0058] The effect of other ions on the properties of sapphire have been studied in the literature, including light ions such as N+, O+, and Ar+ Also, heavier ions such as Br+ as well as transition metal ions have been studied. At a sufficiently high dose, all of these ions have been shown to induce blistering of the implanted sapphire, and thus offer potential paths to exfoliation process improvement Of particular interest are O+ and F+ By implanting sapphire with O+ the local stoichiometry at the end of the implanted range will be altered leading to a high density of interstitial and bond centered oxygen species. To ensure that the end of range is deep enough to enable the transfer of a layer that is sufficiently thick to be prepared for subsequent growth, the ion energy for both O+ and F+ should preferably be at least 160 keV resulting in a TRIM-predicted implant depth of approximately 200 nm. The upper limit of implantation energy is governed by the availability of implanters with sufficiently high current with implantation energies exceeding 400 keV being desirable. Because of the relative decrease in the diffusivity of oxygen and fluorine in the sapphire lattice and the increased damage per ion caused by O+ and F+ ions relative to H+ and He+, implantation at an elevated substrate temperature is desirable to facilitate dynamic damage annealing during the implant to prevent excessive buildup of vacancies and interstitial atoms and ultimately amorphization in the implanted sapphire. Preferably, the implantation of O+ and/or F+ should be conducted at a sapphire temperature of at least 250 0C O+ and/or F+ implantation at high dose (>lxlθ17 cm 2) should provide sufficient internal pressure and implanted gas atoms to induce exfoliation in the absence of H or He At lower doses (IxIO16 to 1 x1017 cm"2) O+ and/or F+ implantation should modify the mechanical and chemical properties at the end of the implanted range in such a way the subsequent implantation with H+ and/or He+ to a dose sufficient to induce exfoliation (>lxlθ17 cm"2) will result in an exfoliation process with improved exfoliation kinetics. Thus, by implanting with O+ and/or F+
WASH_4640436 1 1 9 Atty. Dkt. No. 048630-0131
followed by implantation with H+ and/or He+ it is anticipated that the degree of exfoliation at a given temperature will be increased and that the temperature at which the onset of exfoliation begins will be reduced relative to implantation of H+ and/or He+ alone.
[0059] Optionally, the sapphire substrate temperature can be increased during ion implantation by thermally isolating the substrate as described in the previous section. In general, the transferred layer may be 200 ran to 2000 nm thick, such as 800 ran to 1200 nm thick. After the transferred layer is planarized by polishing and/or etching to form the thin layer 12 shown in FIG. 2H, the thickness of the thin layer 12 in the intermediate substrate is reduced to about 50 nm to about 1000 nm, such as about 200 nm to about 800 nm. However, the thin layer 12 may have greater or lesser thickness than described above, depending on the desired application and other process parameters.
[00601 Source material with improvements
[0061] Optionally, as illustrated in FIG. 3A, a photonic lattice structure can be formed by etching into the thin layer 12 with reactive ion etching or with other fabrication methods known in the art. This etch is preferably performed after producing the thin layer 12 with a weak interface 11 as illustrated in FIG. 2B. The etched areas 14 comprise patterns such as those illustrated in US Patent nos. 5,955,749 and 6,479,371 or other patterns known in the art of photonic bandgap and periodic grating structures. Nominally the dimensions of such patterns are on the order of the wavelength of the light emitted by the light-emitting device structure. The etched areas 14 preferably do not penetrate weak interface 11 and remain contained within thin layer 12.
[0062] The crystalline structure of the source wafer and corresponding transferred thin layer may be off-axis from the conventional (0001) axis. In particular, a small angular deviation from (0001) axis between 0 and 3 degrees, such as 0.5 to 3 degrees, may be favorable for two-dimensional layer-by-layer growth of InGaN, AlGaN and GaN by MOCVD. The layer-by-layer growth would result in smoother growth morphology and reduced defect generation from lattice-mismatch strain in hetero structure growths such as Al-rich AlGaN on GaN.
WASH_4640436.1 20 Atty Dkt No. 048630-0131
[0063] The source wafer may be treated in a variety of ways to improve the efficacy of the layer transfer process. One method that may be used is the deposition of a protective layer applied to the surface of the substrate to prevent roughening or contamination of the surface during the implantation process. SiO2 is one mateπal that may be used. The protective layer may comprise the same layer as the bonding layer 13 described herein. Alternatively, the protective layer may comprise a sacrificial protective layer which is deposited on the source wafer before the implantation step and is then removed after the implantation is conducted through this layer The bonding layer is then deposited on the source wafer after the removal of the sacrificial protective layer
[0064] Another method that may be used is the deposition of an optically reflective layer on the front, back, or both sides of the source wafer. In the case of implantation into a sapphire source substrate or layer, a deposited Al layer of about 50 nm thickness reduces the dose required to achieve blistering. It is thought that by placing a film that is reflective on either or both surfaces of the substrate, the optical transparency of the substrate can be used to trap energy radiatively emitted from the defects formed at the end of the implant range. This in turn traps energy in the substrate by making radiative emission of the implant power less efficient Thus, the substrate temperature πses to allow radiation and conduction from the outer surface of the substrate While a thin Al films successfully improves the blistering behavior of the sapphire, the modified process should work with any thin film that is significantly reflective at the wavelength of emission from the subsurface defects Thus, other reflective materials having a different thickness than 50 nm, such as 30 to 100 nm, may also be used. The reflective film should be sufficiently thick to be optically reflective but not so thick that it contributes significant stopping power against the impinging beam. The thin reflective film should also be conveniently removable following implantation As is known in the art, such removal can be accomplished for example by a selective wet chemical etch or dry etching technique such as reactive ion etching It should also be noted that while this technique improves the exfoliation behavior of sapphire, it may also improve the exfoliation of a variety of other semiconductor materials that have a wide bandgap, such as freestanding GaN, GaN on sapphire, SiC, diamond, and any Ill-nitride on sapphire or in freestanding form The
WASH_464Q436 1 21 Atty. Dkt. No. 048630-0131
reflective layer may be a sacrificial layer which is removed after the implantation step or it may be retained during the bonding step.
[0065] Another method that may be used to improve the efficacy of the layer transfer process is to deposit a film of material on to the source wafer that will decrease the likelihood of the thin layer developing cracks during the exfoliation process (i.e., an anti-cracking layer). Such a layer reduces the likelihood of cracks developing in the thin layer that would prevent transfer of large contiguous films. Suppression of cracks is particularly important in cases where CTE differences between the source wafer material and handle substrate material are driving the exfoliation. Furthermore, in source wafers such as freestanding GaN that may have defects present in their structure as provided, the use of an anti-cracking layer to stiffen the source wafer is of particular importance. The anti-cracking layer used to stabilize the source wafer can either be a thick, low stress material deposited by standard processing techniques such as CVD or sputtering or the film can actually be a flat, rigid substrate integrated with the substrate by wafer bonding with bonding layers or an adhesive. The material of the anti-cracking layer may comprise silicon oxide, silicon nitride, polycrystalline aluminum nitride or other suitable materials. If the anti-cracking layer comprises a flat, rigid substrate, then suitable substrate materials comprise alumina, molybdenum, TZM, polycrystalline aluminum nitride, or other materials selected for their fracture toughness and their CTE match with the source wafer. Suitable bonding layer materials for integrating the rigid substrate with the source wafer comprise ceramic paste adhesives, deposited dielectrics such as silicon dioxide and silicon nitride, and metallic bonding layers for direct metal-to-metal bonding or eutectic bonding to a substrate. Metallic bonding layers comprise evaporated or sputter-deposited films of Cu or Ni or other metallic element or alloy selected for its thermally activated mass diffusion and grain growth characteristics. Optionally adhesion-promoting layers comprising single layer or multilayer films of Ti, TiN, Ta, TaN, Cr or other materials are supplied between the metallic bonding layer and the substrate surface. Preferably the metallic bonding layer thickness is between 50nm and 500nm thick, and the adhesion-promoting layer or multilayer film is between IOnm and lOOnm thick. In some cases the adhesion layer can advantageously perform as a diffusion barrier. As is known in the art, the surface of the substrate may be back-sputtered to remove surface contamination and oxide immediately prior to the deposition of the metallic
WASH 4640436.1 22 Atty. Dkt. No. 048630-0131
bonding layers or adhesion layers if they are supplied. In the case that eutectic alloy bonding layers are used, suitable eutectic bonding layers comprise co-deposited or multilayer film stacks of gold and tin, deposited by evaporation or sputtering. The composition of the eutectic alloy is selected for its eutectic liquidus temperature as is known in the art. For example a composition of 80% Au and 20% Sn by weight has a liquidus temperature of approximately 210C. Optionally an adhesion layer structure comprising Ti/Pt/Au, Cr/Au or other layer structure can be supplied between the substrate and the eutectic alloy bonding layer. Metallic bonding layers or eutectic bonding layers can be provided on either or both of the source wafer and the anti- cracking support substrate. If metallic bonding is used, metallic bonding layers are preferably provided on both the source wafer and the anti-cracking support substrate.
[0066] In the case that the freestanding GaN has a large number of nucleation sites for substrate fracture as grown, completely inhibiting fracture in the GaN during bonding may be impossible. However, if the GaN is bonded to a stabilizing film or substrate, these fractures may be inhibited from entering that film or substrate. Thus, the freestanding GaN would retain its usefulness as a source wafer for the repeated transfer of many thin layers. The anti-cracking layer may be a sacrificial layer which is removed after the implantation step or it may be retained during the bonding step.
[0067] In another method, one or more layers of materials may be deposited onto the source wafer and treated to improve the strength of the bond between the source wafer and handle substrate. This method may be performed before or after the implantation of the source wafer. In one preferred implementation, the deposited material is SiO2 (i.e., the bonding layer 13) and the treatment is chemical-mechanical polishing. Alternatively, the bonding layer 13 may comprise metallic bonding layers for direct metal-to-metal bonding as described earlier. Each of the methods described above may be used alone or in combination with the other methods.
[00681 Handle substrate preparation
[0069] In FIG. 2C, thermally conductive materials with high melting point and similar or slightly higher or slightly lower thermal expansion coefficient as the thin layer 12 and/or source wafer 10 are preferably used as handle (also known as "support") substrate 20. The handle substrate 20 is also preferably compatible with
WASH_4640436.1 23 Atty. Dkt. No. 048630-0131
the growth ambient encountered in the subsequent epitaxial growth, though this compatibility may be brought about by surface treatments following the transfer of the thin layer 12 from the source wafer 10. Furthermore, the handle substrate 20 should not decompose or produce contaminants that would have a substantial deleterious effect on subsequent epitaxial growth. For nitride semiconductors, the CTE of the handle substrate 20 is preferably in the range of 4-8x10~6/K (averaged between room temperature and the temperature at which epitaxial growth of the device structure occurs) for compatibility with transferred thin layer 12 and source wafer 10. Setting the coefficient of linear thermal expansion of the handle substrate 20 in the above range can prevent stress-induced bowing or cracking of the semiconductor light- emitting device structure or the source wafer 10, and increase the production yield and long-term reliability of the semiconductor light-emitting device. More preferably the CTE of the handle substrate 20, averaged over the temperature range between room temperature and the temperature at which epitaxial growth of the device structure occurs, is engineered to be between 0% and 25% higher than that of GaN, averaged over the same temperature range. If the growth temperature is 1000 0C, this corresponds approximately to a range of 5.2-6.3x10"6/K, based on current measurements of the GaN temperature-dependent CTE available in the literature. Setting the CTE of the handle substrate 20 in this range can reduce or prevent the formation of cracks in the GaN device layers, which are known to form upon cooling after growth when the device layers are grown on substrates having a CTE that is significantly lower than GaN.
[0070] The material of the handle substrate 20 should be chosen such that the handle substrate 20 can be readily removed, as by chemical etching, without affecting the light-emitting device structure or the final substrate 50. For the thin layer 12 and source wafer 10 comprising AlzGai.2N or GaN, a handle substrate 20 preferably comprises the element molybdenum (Mo) or alloys of Mo. Mo is known to have a CTE of approximately 5.8xl O"6/K, when averaged over the temperature range of 200C and 10000C. More preferably the alloy of Mo is chosen such that its recrystallization temperature exceeds the maximum temperature of the wafer during the growth process. If the recrystallization temperature is exceeded during processing, grain growth can occur in the Mo substrate resulting in changes in the stress state of the material, and embrittlement of the material after it is subsequently cooled. Doping of
WASH_4640436.1 24 Atty. Dkt No. 048630-0131
Mo with Titanium and Zirconium to produce what is commercially referred to as TZM, is known to increase the recrystallization temperature relative to Mo to the range of 12000C to 14000C, which is 2000C to 3000C higher than the recrystallization temperature of elemental Mo and 1000C to 300 0C higher than the epitaxial growth temperature TZM is a dilute alloy of Mo (greater than 98% and preferably at least 99%), Ti (between 0 2% and 1 0%), Zr (between 0% and 0.3%), and C (between 0% and 0 1%) Optionally, doping of Mo with small amounts (approximately 1%) of lanthanum oxide is known to increase the recrystallization temperature to the range of 13000C to 15000C Preferably the material for the handle substrate 20 is TZM
[0071] The values of CTE reported for GaN in the literature are imprecise at room temperature and through the range of temperatures used for processing the wafer- bonded structures described herein. Additionally, the CTE of Mo and other candidate substrate materials is not precisely known either. Because of the imprecision in the known values of CTE, the handle substrate can be further optimized through expeπmental iteration by the modification of the CTE of the substrate mateπal. To accomplish this, an alloy of Mo and tungsten (W) can be engineered to minimize the CTE-mismatch stress and associated πsk of fracture or delammation in the bonded GaN/MoW substrate pair in thermal cycling pπor to and duπng the exfoliation process Similarly the MoW composition can be selected to minimize the stress- induced bow in the GaN/MoW substrates and associated temperature non- uniformities duπng growth, and to minimize cracking in the device layers after growth. Preferably a composition of MoW is selected which optimizes the yield of the exfoliation step and also optimizes the film growth quality and device performance through minimization or elimination of growth temperature non- uniformities and post-growth cracking. W is known to have a CTE of approximately 4.9x10 6/K, when averaged from 200C to 10000C. The CTE of alloys of MoW, averaged over this temperature range, can therefore be engineered to fall in the range of 4 9xlO"6/K and 5.8x10~6/K Such alloys containing 0-50 atomic percent W are commercially available. In particular, Mo alloy 366 as defined in ASTM Designation B-386-03 comprises a Mo-30% W alloy Because the recrystallization temperature of W falls in the range of 11500C to 13500C, MoW alloys are expected to have higher recrystallization temperatures than pure Mo Furthermore, as was described above, the recrystallization temperature of these CTE-optimized MoW substrates can be
WASH_4640436 1 25 Atty Dkt. No 048630-0131
increased further by the inclusion of dopants such as Ti or lanthanum oxide In general, the handle substrate material may be selected to be closely CTE matched to the epitaxial III-nitπde layer to be grown on the intermediate substrate. For example, the difference in CTE of the handle substrate and the III-nitπde layer may be less than 20%, such 0 to 10%
[0072] Handle substrates 20 compπsing Mo or alloys of Mo can be produced in any number of ways from various forms of raw material Generally the raw mateπal is formed from fine powders of the constituent elements. These powders can be made into simple forms such as plate or rod, using powder metallurgy techniques such as press-and-sinter, hot isostatic pressing (HIP), or metal injection molding (MIM) Vacuum arc casting is another commonly used technique which can produce mateπal having a lower porosity and lower concentration of inclusions and impurities, than mateπal formed using powder metallurgy techniques. Alternative techniques such as plasma activated sintering, microwave sinteπng, and plasma pressure consolidation may also be used. Thin sheet mateπal is obtained using rolling techniques as are known in the art, which may include annealing steps to remove stress induced by the rolling process Preferably the mateπal is cross-rolled to obtain supeπor machining characteπstics The raw mateπal can be formed into the final substrate shape, using any number of techniques know in the art, including electπcal discharge machining (EDM) wire cutting, water jet cutting, electrochemical etching, laser cutting, die- stamping, and conventional machining techniques such milling, sawing and facing Generally high-speed machining tools such as tungsten-carbide tools are required for conventional machining of Mo and its alloys. Each mateπal form and machining technique has specific cost and performance considerations. For example, rolled sheet of powder metallurgy Mo or TZM is readily available, inexpensive, and easily cut to shape, whereas vacuum arc cast mateπal is known to be amenable to polishing to a mirror surface finish, owing to its low porosity and low inclusion concentration. High temperature cutting techniques such as EDM wire cutting and laser cutting must be employed with care, because the cut surfaces will be left in a bπttle and stressed state due to the recrystallization that occurs duπng the cutting process. To avoid problems associated with recrystallization, low temperature cutting techniques such as electrochemical etching, water jet cutting, die-stamping, or conventional machining techniques can be used. Preferably, the substrates are cut from rolled sheet using
WASH_464Q436 1 26 Atty Dkt No 048630-0131
electrochemical etching, milling, EDM wire cutting, die-stamping or water jet In the case of EDM wire cutting, care must be taken to remove surface contamination if brass EDM wire is used, or optionally Mo EDM wire may be used. Owing to its batch-processing nature, it is expected that electrochemical etching can offer considerable cost advantages in mass-production. In this case a chemically-resistant mask can be applied to the sheet matenal. The mask can be a blanket film that is subsequently patterned using photolithographic or other patterning techniques, or the desired pattern can be preformed into the mask as it is applied. The rolled sheet preferably has a thickness of between 250 μm and 2 mm, and the substrate shape is preferably a disc with a diameter selected to be between 25 mm and 150 mm. Larger diameter discs may also be used. Preferably the rolled sheet is between 20% and 200% thicker than the final handle substrate, to allow for matenal removal during the grinding and lapping steps descπbed below. Optionally the substrates can be fabricated by cutting discs from a rod, wherein said rod has been formed by powder metallurgy or preferably by vacuum arc casting, and wherein said rod has a diameter substantially equal to the desired diameter of the final substrate. EDM wire cutting, water jet cutting or conventional sawing and facing techniques can be used. Preferably water jet cutting or conventional sawing and facing techniques are used, because the EDM wire cutting is expected to leave a brittle surface which is not amenable to polishing to a low roughness finish. Optionally the discs can be tumbled after cutting to round the edges and remove any burrs from the discs.
[0073] The flatness of the handle substrates is such that the amount of warp across the handle substrate should not exceed 0.1% of the handle substrate diameter, and preferably should not exceed 0.02%. Warp is herein defined as the sum of the maximum positive and maximum negative deviation of the substrate top surface from an imaginary flat plane, where the imaginary flat plane is selected to be that plane which intersects the substrate top surface and minimizes the magnitude of the warp. In the case of discs cut from rod, this flatness can be obtained using conventional machining and/or EDM wire cutting techniques Optionally, in the case of discs cut from rod, and preferably in the case of discs cut from rolled sheet, conventional mechanical and/or chemical-mechanical lapping and grinding techniques known in the art may be used to obtain the desired flatness Preferably both sides of the handle substrate are ground and/or lapped in order to minimize stress-induced bowing of the
WASH_4640436 1 27 Atty Dkt No 048630-0131
handle substrate Optionally, a fixed abrasive grinding and/or lapping technique are used in order to minimize the production of pits in the surfaces Additionally, the top surface and optionally the bottom surface of the handle substrate can be polished in order to obtain a smooth surface finish Polishing of the bottom surface may be required in order to minimize bowmg of the handle substrate Preferably to minimize stress asymmetries and optimize the flatness of the handle substrates, double-disk processes as are known in the art are used throughout the grinding, lapping and polishing steps Double-disk processes are those which simultaneously grind, lap, or polish the top and bottom surfaces of the substrate Optionally for the case of handle substrates made from rolled sheet, the sheet mateπal can be stress-relieved pπor to grinding and/or pπor to lapping and/or pπor to polishing, in order to remove stresses in the mateπal associated with the cold working For the case of handle substrates compπsing TZM, the stress relieving can be achieved by heating the mateπal to a temperature between 10500C and 12500C for a time between 30 minutes and 120 minutes Preferably the stress relieving procedure is performed in vacuum, hydrogen-assisted vacuum, or in an inert gas or reducing environment to prevent oxidation of the exposed TZM surface Optionally the sheet mateπal can be flattened by performing a stress relieving anneal while the sheet mateπal is sandwiched between two flat surfaces and a pressure is supplied by means of a weight, clamp or other methods This flattening procedure can be performed after any of the gπnding, lapping and/or polishing steps, or it can be performed on the rolled sheet mateπal before it is cut into discs Preferably the edges of the top surface and optionally the bottom surface of the handle substrate are chamfered or rounded to facilitate handling and to minimize polishing scratches associated with fragmentation of the handle substrate edges duπng polishing A chamfer or edge-round can be provided using conventional machining, tumbling, or edge-gπnding techniques as are known in the art Preferably the top surface after polishing has a peak-to-valley roughness of less than one micron
[0074] Optionally, additional layers of mateπal may be deposited directly on the top surface either after lapping or after polishing, and this additional layer can be further polished This film can be deposited using techniques known in the art such as electron-beam evaporation, magnetron sputteπng, and chemical vapor deposition techniques As is known in the art, such vacuum-deposited films can be polished to a
WASH__4640436 1 28 Atty Dkt No 048630-0131
low micro-roughness surface finish The additional layer material is selected for its polishing and adhesion properties, its CTE match with the substrate, and/or other performance characteπstics such as its high-temperature stability and its reflectivity, and is preferably comprised of an amorphous film - or a film with a much finer polycrystalline grain size relative to the Mo substrate - of Mo, W, RJi, or TZM More preferably, the film is compπsed of TZM or Mo and is deposited using magnetron sputteπng The film thickness is preferably selected to be in the range of 2 to 5 times the peak-to-valley roughness of the top surface Preferably, the film thickness is in the range of 0 5 microns to 5 microns If high-puπty mateπals are used in the deposition process, the deposited film can also serve as a diffusion barrier to Cu and other metallic impurities in the bulk Mo or Mo alloy substrate This is both because the high-puπty deposited film acts as a reservoir for metallic impurities from the bulk, and because the dense, preferably amorphous film does not feature crystalline grain boundaries that can act as diffusion paths for efficient migration of impurities to the outer surface of the Mo substrate
[0075] Alternatively the handle substrate mateπal compπses polycrystalline AlN (P- AlN) P-AlN can be formed using techniques known in the art such as tape-casting, hot-pressing, and press-and-sinter techniques The material may also compπse a sintering aid such as yttna and/or calcium compounds which may be present at a concentration between 0 1% and 5% by weight and is used to promote adhesion of the AlN grains and increase the density and thermal conductivity of the sintered mateπal Optionally the sinteπng aid can be reduced or eliminated to minimize the possibility of contamination of the growth chamber and/or epitaxial device layers duπng the growth process as discussed below In particular the level of calcium is preferably less than 25ppm and more preferably less than lOppm The average CTE of P-AlN over the temperature range 200C-IOOO0C is approximately 5 6 ppm/K, and the thermal conductivity at room temperature is typically between 1 OOW/cm/K and 200W/cm/K P-AlN is commercially available in sheet form, and can be readily cut into disks using laser cutting or other techniques known in the art Preferably the sheet thickness is between 0 25 mm and 2 mm and the disk diameter is between 50 mm and 150 mm Larger diameter discs may also be used Conventional gπnding, lapping and polishing techniques as descπbed previously can be used to obtain a substrate bow of less than 0 1% of the substrate diameter, and a RMS surface roughness of less than 50
WASH_4640436 1 29 Atty Dkt No 048630-0131
nm Optionally an edge chamfer or edge round is provided to the top surface or to both the top and bottom surface, in order to facilitate handling and polishing
[0076] For the case that a molybdenum alloy or P-AlN handle substrate is used to form an intermediate substrate for HVPE growth of a III-nitπde film, an encapsulating layer can be provided to protect the handle substrate mateπal from the highly reactive halide compounds such as HCl and GaCl that are present in the HVPE growth process The encapsulating layer comprises a film that covers at least exposed surfaces of the handle substrate Candidate encapsulating layer mateπals comprise silicon dioxide, silicon nitride, silicon oxi-nitπde, amorphous silicon carbide, aluminum oxi-nitπde and alumina, and can be deposited by sputtering, plasma- enhanced CVD, low-pressure CVD, e-beam evaporation, or other techniques known in the art Preferably the thickness of the encapsulating layer is between 50nm and 2000nm
[0077] Other handle substrate mateπals compπse single- crystal semiconductor wafers that are commercially available and encapsulated single-crystal semiconductor wafers Such semiconductor mateπals are chosen to have melting temperatures above the processing temperatures associated with the growth and fabπcation of GaN-based devices Preferably the melting temperature of the semiconductor substrate mateπal is greater than 600 0C and 1000 0C for the case of GaN-based devices grown by MBE and MOCVD, respectively The semiconductor mateπals are preferably chosen to have a CTE in the range of 5 ppm/K to 8 ppm/K when averaged over the temperature range of 20 0C to 1000 0C Suitable semiconductor substrate matenals compπse single-crystal wafers of GaAs, single-crystal wafers of GaP, and single-crystal wafers of InP, for which the melting temperatures are approximately 1240 0C , 1460 0C, and 1060 0C respectively. Preferably the single-crystal wafers are provided with an encapsulating layer to prevent decomposition of the crystal surface when the substrates are heated duπng the growth of the GaN device layers Suitable encapsulating layers compπse PECVD or sputter deposited films of silicon dioxide, silicon nitπde, silicon oxi-nitπde, aluminum nitπde, aluminum oxi-nitπde, alumina, and silicon carbide Preferably the thickness of the encapsulating film is between 50 nm and 2000 nm
WASH 464Q436 1 30 Atty. Dkt. No. 048630-0131
[0078] The handle substrates are engineered to be structurally stable in the growth environment at the growth temperature of the epitaxial device layers. Preferably, a structurally stable handle substrate is one for which the change in shape during heating to the growth temperature in the growth environment is such that the warp of the substrate at the growth temperature prior to epitaxial growth does not exceed 0.15% and more preferably does not exceed 0.05% of the handle substrate diameter. The handle substrates can be engineered to be structurally stable by selecting handle substrate materials that do not undergo bulk recrystallization, melting, or other phase changes at or below the growth temperature, and/or do not decompose in the growth environment at the growth temperature. In cases where the thickness or material properties of the handle substrate material are not adequate to maintain an acceptable level of warp during the growth process, a backside layer can be provided to the handle substrate such that a stress-thickness product in the backside layer at the growth temperature substantially balances the stress-thickness product in the epitaxial device layers and/or in the transfer layer. Suitable backside layers can comprise amorphous or poly-crystal films of silicon nitride, silicon dioxide, silicon oxi-nitride, aluminum nitride, aluminum oxi-nitride, alumina, silicon carbide or other materials selected for their CTE, thermal conductivity, ease of removal, cost, and/or chemical stability in the growth environment and at the growth temperature. These backside layers can be deposited by sputtering, CVD, PECVD, evaporation, or other methods as are known in the art.
[0079] The CTE of other handle substrate materials may be specifically engineered to match the CTE of GaN or other materials by altering the composition of the substrate material.
[00801 Preparation for wafer bonding
[0081] In FIGs. 2D, 2E, and 3B, at least one surface of the thin layer 12 and/or handle substrate 20 is optionally provided with bonding layers 13, 21 in a manner known in the art. Such bonding layers may comprise SiO2, Si3N4, Al2O3, AlN, Al- doped ZnO, or other materials known in the art. Optionally, the stoichiometry of the bonding layer can be modified to adjust the stability and chemical nature of the deposited layer. For example, by increasing the Si to N ratio in silicon nitride from the 3:4 ratio (i.e., by forming a silicon rich silicon nitride in which the Si:N ratio is
WASH_4640436.1 3 J Atty. Dkt No. 048630-0131
greater than 3:4), the stress of the deposited layer is reduced and the resulting layer is better able to getter gas species outgassed from the bonded interface during thermal processing. Suitable bonding layer materials are subject to the requirements that they can be deposited with sufficient puπty so as not to degrade the electrical performance of the finished device structure, are thermally stable to the growth temperature of the epitaxially grown device structure (for example, >1000 0C), and can be polished to a low local micro-roughness (preferably <1 0 nm root-mean-square roughness between larger defects) These layers can be deposited by conventional techniques compπsing electron-beam evaporation, sputter deposition, ion-assisted sputter deposition, chemical vapor deposition, plasma-enhanced chemical vapor deposition, and other techniques. The layers may also be alloyed with other mateπals or implanted to improve their thermal conductivity, electrical conductivity, or both. Optionally the bonding layer can compπse Ni or other metallic film selected for its thermally activated grain growth and bulk diffusion characteristics, its low vapor pressure and its compatibility with the epitaxial growth environment. Adhesion layers comprising Ta, Ti, TaN, TiN, Pt, Cr or other adhesion layers known in the art can be provided between the handle substrate and the metallic film bonding layer. Optionally a metallic bonding layer and adhesion layer if it is provided are deposited onto a polished dielectric bonding layer In the case where a metallic bonding layer is provided, the metallic bonding layer is provided to the bonding surfaces of at least one of the source wafer and the handle substrate, and preferably to the bonding surface of both the source wafer and the handle substrate. The metallic bonding layer thickness is preferably between 50nm and 500nm thick and the adhesion layer or layers if they are provided are preferably between lOnm and lOOnm thick.
[0082] The Mo or Mo-alloy may, optionally, be annealed pπor to deposition of an adhesion layer or bonding layer and eventual bonding. In the case of Mo or a related handle substrate, this anneal step serves several purposes. First, it removes volatile surface oxides, organics, and other sources of contamination that may impact the adhesion of a deposited bonding layer. Additionally, depending upon the fabπcation technique used to make the Mo or Mo-alloy substrates, the substrates may exhibit some slight porosity that leads to out-gassing at high temperatures By performing a high temperature anneal above 800 0C pπor to further processing, the magnitude of out-gassing duπng subsequent high temperature processes such as epitaxial growth
WASH 464Q436 1 32 Atty Dkt No 048630-0131
can be minimized In this and all other high temperature thermal processing involving a Mo or Mo-alloy substrate subsequently described herein, the annealing ambient should be a non-oxidizing ambient, such as ultra-dry nitrogen, a hydrogen/nitrogen mixture, a vacuum, or another reducing environment known in the art to prevent oxidation and decomposition of the Mo substrate This is particularly important for thermal processing at temperatures above 3000C Optionally an encapsulating layer can be provided to protect the Mo or Mo alloy handle substrate from oxidation To increase the strength of the adhesion between the bonding layer and the handle substrate, an adhesion promoting film or adhesion layer can be deposited For the case of a Mo or Mo alloy handle substrate, suitable adhesion layer mateπals compπse TiN, Ti, Cr, molybdenum sihcide, any alloy of Mo, Si, C, and N, or another adhesion layer known in the art As with the bonding layer mateπal itself, the selection of the adhesion layer is subject to the requirements that the layer mateπals are thermally stable through the growth temperature of GaN, and that the constituents of the bonding layer do not diffuse into the GaN degrading the electπcal properties of the active device structure Preferably the adhesion layer compnses TiN or Ti and has a thickness of between 5nm and 75nm Optionally the film comprises a multi-layer stack of TiN and Ti, such as a stack comprising between Onm and 30nm TiN, lOnm and 150nm Ti, and 5nm and 50nm TiN In order to improve the resistance of the adhesion layer to oxidation, the adhesion layer can compπse a TiAlN alloy, or a multilayer stack compπsmg TiN and AlN or Ti, TiN, Al, and AlN, where the total thickness of the stack is between 20nm and 200nm
[0083] For the case of a handle substrate compπsmg P-AlN, impuπties and/or the constituents of smteπng aids such as yttπum, calcium, and aluminum, can be susceptible to diffusion and/or reaction with the bonding layer when the bonding layer is annealed above 8000C In order to prevent such diffusion and/or chemical reactions from occurπng, a diffusion barπer can be provided between the P-AlN top surface and the bonding layer Candidate diffusion barπer matenals compπse silicon nitπde, amorphous silicon carbide, alumina, aluminum nitπde, and titanium nitπde, and can be deposited by sputteπng, plasma-enhanced CVD, low-pressure CVD, e-beam evaporation, or other techniques known in the art Preferably the thickness of the diffusion barπer layer is between 5nm and 500nm Optionally an adhesion layer such as a layer of silicon dioxide or silicon carbide can be provided between the P-AlN
WASH_4640436 1 33 Atty Dkt No 048630-0131
handle substrate surface and the diffusion barrier Preferably the adhesion layer is between 5nm and 50nm thick It is possible that high vapor pressure elements such as calcium, and/or rapidly diffusing elements such as yttrium, can migrate from the P- AlN to the epitaxial device layer when the intermediate substrate is heated to the growth temperature, either by out-gassing of the elements into the growth chamber environment and subsequent deposition onto the growth surface, or by bulk diffusion into the epitaxial layers Optionally the substrate can be fully encapsulated by the diffusion barrier material in order to prevent the migration of these elements to the growth chamber and/or to the epitaxial device layer Optionally, alternative sintering aids can be used which do not outgas or react with process gasses in the epitaxial growth environment Such sinteπng aids compπse silicon oxide, silicon nitπde, silicon oxi-nitπdes, and other mateπals selected for their compatibility with the epitaxial growth environment and their sintering performance Alternatively poly- crystal AlN substrates can be obtained without sintering aids by press and sinter techniques as are known in the art
[0084] Duπng growth of Ill-nitride material on intermediate substrates compπsing P- AlN, extraneous growth of the Ill-nitride material can occur on the exposed surfaces of the P-AlN handle substrate This extraneous growth can be of poor quality and not suitable for device fabrication In cases where the intermediate substrate compπses a thm transfer layer 12 that does not fully cover the top surface of the handle substrate, and/or where the edges of the handle substrate are exposed, an encapsulating layer can be provided to prevent extraneous growth of the III-nitπde mateπal on the exposed regions of the handle substrate The encapsulating layer may compπse a diffusion barrier layer mateπal, a bonding layer mateπal, a combination of both a diffusion barπer and a bonding layer mateπal, or a layer of another mateπal selected for its resistance to extraneous growth, adhesion properties, stability at the growth temperature and in the growth environment, and resistance to chemical attack duπng pre-growth processing Preferably the encapsulating layer mateπal comprises silicon nitnde, silicon dioxide, or silicon oxi-nitπde
[0085J Providing bonding layers 13 and 21 reduces the surface smoothness requirement of the thin layer 12 and/or handle substrate 20 due to the improved mechanical compliance of the bonding layer relative to GaN and Mo Additionally,
WASH 4640436 1 34 Atty Dkt. No. 048630-0131
chemical -mechanical polishing of bonding layers 13, 21 to reduce the surface micro- roughness and thereby improve wafer bonding strengths is already known in the art and can be performed easily at low cost if needed, thus eliminating the costly polishing procedures for diffϊcult-to-polish surfaces such as GaN or Mo.
[0086] Preferably, the deposited bonding layer can be densified pπor to polishing, by annealing the film at a temperature between 200 and 1100 0C to reduce the quantity of hydrogen and other gaseous species trapped in the bonding layer material prior to polishing the bonding layer. Additionally, by densifying the bonding layer mateπal to a high temperature prior to polishing and subsequent bonding, the density of the deposited layer is increased, thus reducing the risk of buildup of stress in the bonding layer that may contribute to film adhesion instability during post-bonding processing. By performing this outgassing anneal prior to polishing, any stoichiometry loss at the surface of the bonding layer can be recovered by polishing away the surface material to leave a smooth (<1.0 nm rms-roughness) and homogeneous film. More preferably, the out-gassing anneal is conducted at a temperature above that necessary to ensure that out-gassing from the bonding layer duπng growth of the device structure at temperatures in excess of 10000C do not lead to failure of the bonded interface resulting from gas accumulation. This temperature can be determined by a combination of secondary ion mass spectroscopy (SIMS) analysis and sample fabπcation and stress testing This out-gassing anneal process can be advantageously performed to reduce residual stresses in the bonding layer film, and additionally to reduce the concentration of trapped gas in the bonding layer. For the case where an adhesion layer is provided between the bonding layer and the handle substrate surface, annealing of the layer stack prior to polishing can advantageously promote the thermal stability of the adhesion layer. In particular, if a molybdenum alloy handle substrate such as TZM is used, and if an adhesion layer is provided that comprises a film of TiN, then the adhesion layer is susceptible to structural and chemical instabilities such as agglomeration and/or oxidation if it is heated to over 10000C after the bonding layer has been polished. Annealing of the adhesion layer/bonding layer stack prior to polishing, at a temperature between 8000C and 1 15O0C for a peπod of between 5 minutes and 120 minutes, substantially reduces or eliminates any oxidation or agglomeration the adhesion layer during subsequent heating to over IOOOC after the bonding layer has been polished. In this way an
WASH 4640436 1 35 Atty. Dkt. No. 048630-0131
adhesion layer comprising a film of TiN can be rendered thermally stable at temperatures over 10000C, by performing an annealing step of the adhesion layer/bonding layer stack prior to polishing of the bonding layer. If a metallic or eutectic bonding layer is provided, then the annealing procedure is preferably performed at a temperature below which agglomeration or melting of the film will occur, for example a temperature below 200C. The annealing of a metallic or eutectic bonding layer can advantageously be performed in forming gas or other reducing environment to promote the reduction of surface oxides.
[0087] For the case that the handle substrate comprises yttria-containing P-AlN and the bonding layer comprises silicon dioxide, Y-Al-O-Si compounds can form in localized regions of the bonding layer upon annealing above 10000C. The presence of these compounds impacts the local polishing characteristics of the bonding layer and can result in shallow depressions in the polished bonding layer. In order to mitigate this effect, a diffusion barrier layer can be supplied as described above, or the densification temperature of the bonding layer prior to polishing can be selected to be at a temperature below which diffusion occurs. Preferably the densification temperature in this case is between 8000C and 10500C. Optionally the level of yttria sintering aid in the handle substrate material may be reduced in order to minimize the reaction of the sintering aid with the bonding layer. In cases where a diffusion barrier is not provided, or if the diffusion barrier is not adequate to prevent diffusion of yttrium and/or aluminum, and/or the densification temperature is not low enough to prevent the diffusion, the level of yttria in the handle substrate material is preferably less than 0.5% by weight. Optionally the P-AlN handle substrate can be formed by hot-pressing, which can require less sintering aid than tape-casting or press-and-sinter techniques. As noted above, a single layer can serve as a bonding layer, adhesion layer, diffusion barrier and/or encapsulating layer, depending on the material of the layer.
[0088] For light-emitting device structures shown in FIGs. 3A and 3B comprising photonic lattice structure etched in thin layer 12, the optional bonding layer 13 conforms to the exposed surfaces of the etched areas 14. The optional bonding layer 13 advantageously serves as surface passivation for the exposed etched areas 14 and prevents surface decomposition and geometrical distortions of etched areas 14 during
WASH_4640436.1 36 Atty Dkt No 048630-0131
subsequent processing and epitaxial growth steps The bonding layer(s) also serve as sacrificial release layers to allow the handle substrate 20 to be removed from the completed device if desired Additionally, the bonding layers can be selected to serve as a diffusion barrier between the Mo or Mo-alloy substrate and the thin layer 12 to inhibit the diffusion of metallic impurities from the Mo or Mo-alloy substrate to the device structure dunng high-temperature epitaxial processes Such bonding layers compπse TiN, amorphous Mo, amorphous TZM, or other layers known to those skilled in the art
[0089] Not shown are other optional processes that may be incorporated to improve the quality of the bond between the thin transferred layer and the handle substrate These processes include, but are not limited to an ion implantation that amorphizes the surface of thin transferred layer, thereby removing threading dislocations at the surface in the case of GaN mateπal and smoothing the surface
[0090] The surface of the bonding layer, the surface of the handle substrate, or both may also be treated, such as by etching, to increase their porosity These pores are useful for allowing trapped gas and implanted species to diffuse away from the bonded interface
[0091] Because of the extremely high cost of freestanding GaN substrates, minimizing potential yield losses dunng all processes involving the GaN substrate is desirable To improve the mechanical integrity of the freestanding GaN substrate dunng bonding it may be advantageous to attach the substrate to a mechanical support substrate Such a GaN/mechamcal support structure would reduce the yield loss for stressful processing steps in the fabncation of a GaN/Mo intermediate substrate compnsing ion implantation, bonding layer polishing, and reclaim of GaN substrate for producing more intermediate substrate Perhaps most importantly a GaN/mechamcal support structure will make the GaN substrate less susceptible to mechanical failure due to thermal stresses in the GaN and Mo or Mo alloy bonded pair that are induced by temperature excursions between the bond initiation temperature and the exfoliation and transfer of the thin GaN layer to the Mo or Mo alloy substrate The nsk of GaN fracture due to thermal stress induced in the bonded Mo/GaN structure can be further complicated by the possible presence of residual defects such as small cracks or polycrystalline inclusions in the freestanding GaN
WASH_464Q436 1 3-7 Atty. Dkt. No 048630-0131
substrate. These defects in the freestanding GaN substrate could serve as nucleation sites for further fractures to occur. The mechanical support substrate should be selected to have a CTE very near that of GaN, for example within 0 to 20% of the CTE of GaN. Any significant deviation from the CTE of GaN should be a lower CTE, rather than a higher CTE. This will ensure that the GaN substrate is in compressive stress, making it less susceptible to cracking or fracture. Candidate mateπals for mechanical support substrate that meet these requirements comprise W and MoW alloys. Because these mateπals are metallic, they are less brittle than GaN and thereby less prone to fracture. The GaN substrate can be mounted to the mechanical support substrate using a mateπal that is tolerant of the high temperatures experienced in the fabπcation steps of the GaN/Mo intermediate substrate, in particular the exfoliation anneal. Candidate mounting materials include ceramic pastes, metallic films, and compliant oxides.
[00921 Wafer bonding and layer transfer
[0093] In FIGs. 2F and 3C, the thin transferred layer 12 with the source wafer 10 is wafer bonded to the handle substrate 20. The wafer bonding can be achieved by direct wafer bonding, by bonding with optional bonding layers 13, 21, by metallic bonding, or by other well-known techniques as disclosed in "Semiconductor Wafer Bonding" by Q. -Y. Tong and U. Gόsele. For light-emitting device structures comprising a photonic lattice structure etched in semiconductor layer 12, the etched areas 14 advantageously collect trapped gas and implanted species and prevent formation of bubbles from excess gas pressure at the bonded interface. The improved bubble-free bonded interface increases the yield and efficiency of the light-emitting device structure. The photonic lattice structure formed by etched areas 14 simultaneously improves the light extraction efficiency of the light-emitting device according to the embodiments of the invention.
[0094] Thermal stress, mechanical stress, or chemical etching are applied to the weak interface 11 after wafer bonding to exfoliate the thin layer 12 from the source wafer 10 as illustrated in FIG. 2G leaving the thin layer 12 bonded to the handle substrate 20 The source wafer 10 is preferably removed by thermal annealing which causes the weak interface 11 to break and results in exfoliation of the thin layer 12 from the source wafer 10.
WASH 4640436 1 38 Atty Dkt No 048630-0131
[0095] When performing wafer bonding and layer transfer of a thin sapphire transferred layer 12 from a sapphire source wafer 10 to a handle substrate 20 that has been prepared as descπbed in the previous section, the surfaces of both substrates are prepared by removing organic contamination with a solvent clean Preferably, this process includes mega-sonic or ultra-sonic cleaning in acetone and methanol for a peπod of 10 seconds to 60 minutes, followed by a deionized water πnse The surfaces are then dπed by a combination of nitrogen blowing and spinning the sample If the bonding is performed without metallic bonding layers, for example if dielectric bonding layers or no bonding layers are used, the surfaces of the substrates are then prepared for wafer bonding using a plasma surface activation with an Ar, O2, N2, or other plasma species Preferably, the plasma treatment is performed with an atmospheric pressure plasma system using O2 at a power of 200 to 400 W using a scanning plasma head for a total number of passes of 1 to 10 at a rate of 25 mm/s At any point duπng the surface preparation, pπor to loading the substrates into the bonding apparatus, a CO2 particle removal technique can be applied to further clean the substrates pπor to bonding This consists of exposing the bonding surface to a jet of gas and solid phase CO2 while maintaining the substrate temperature and ambient in such a way that condensation of moisture on the bonding surface is prevented Preferably, the substrates are maintained at a temperature of at least 50 0C and are exposed to a CO2 jet for longer than one second The cleaned, prepared surfaces are then brought into contact at a controlled substrate temperature in a controlled gas ambient using a wafer bonding apparatus Preferably, the bond initiation temperature is between room temperature and 400 0C More preferably for the case of bonding without metallic bonding layers, the bond initiation temperature is between 150 and 350 0C and for bonding with metallic bonding layers the bond initiation temperature is between room temperature and 200 0C Preferably, the bond ambient is a vacuum in the pressure range of 101 to 10 6 torr As is descπbed in detail in the section titled "Stabilization of the thin transferred layer", the insertion of a bond strengthening anneal at a temperature above the bond initiation temperature but below the exfoliation temperature can dramatically increase the bond strength pπor to exfoliation Duπng bond initiation and thermal processing to transfer a thin sapphire film, the application of pressure normal to the bonded substrate surfaces increases the extent and stability of the transferred sapphire film Preferably, this bonding pressure is between 0 and 20 MPa More preferably for bonding without a metallic bonding
WASH 464Q436 1 39 Atty. Dkt. No. 048630-0131
layer, this bonding pressure is between 1 and 10 MPa, and for bonding with a metallic bonding layer the bonding pressure is between 0 and 5 MPa. To complete the exfoliation of the thin sapphire film, the temperature of the wafer-bonded sapphire- handle structure is raised to a peak temperature between 450 to 600 0C depending on the implantation conditions of the sapphire. The duration of the exfoliation step is between 1 and 60 minutes depending on the exfoliation conditions.
[0096] When performing wafer bonding and layer transfer of a thin GaN layer 12 from a freestanding GaN source wafer 10 to a handle substrate 20 the wafer bonding and layer transfer process is similar as the process for transferring a thin film of sapphire described above with the following exceptions. The improved CTE-match between he GaN source wafer and the handle substrate allows the bond initiation temperature be lower, because the temperature excursion between bond initiation and layer exfoliation induces less strain on the wafer-bonded GaN-handle structure. Thus, bond initiation is preferably performed between room temperature and 250 0C. More preferably, the bond is initiated at a temperature between 50 and 150 0C. Because GaN is a more brittle material than sapphire, the pressure applied during the bonding thermal cycle is reduced. Preferably, the bond pressure is between 0 and 10 MPa. More preferably the bond pressure is between 0.5 and 5 MPa. Because the exfoliation kinetics for GaN are superior to sapphire, the exfoliation temperature can be lower, preferably ranging from 350 to 600 0C.
[00971 Alternative wafer bondinfi and layer transfer strategies
[0098] The CTE-mismatch between sapphire and GaN (and hence any GaN CTE- matched handle substrate) presents challenges to wafer bonding and layer transfer. To minimize the impact of this CTE-mismatch, one of several alternative wafer bonding and layer transfer methods can be used.
[0099] The use of two wafer bonding and layer transfer steps along with first and second handle substrates can be used to fabricate the intermediate substrate for GaN growth comprising a thin transferred sapphire layer bonded to the second handle substrate. A thin transferred sapphire layer is first wafer bonded and transferred to a first handle substrate. Optionally metallic bonding layers such layers comprising Cu, Ni or eutectic alloy materials as described previously are provided to the bonding
WASH 4640436 1 40 Atty. Dkt. No. 048630-0131
surfaces of the sapphire layer and the first handle substrate. Adhesion layers compπsing Ta, TaN, Ti, TiN, Pt, Cr and others can also be provided between the substrate surface and the metallic bonding layers. Candidate materials for the first handle substrate compπse TZM, P-AlN, alumina and single crystal or poly-crystalline GaAs. After wafer bonding of the exposed face of the thin transferred sapphire layer to a second handle substrate that is closely CTE-matched to GaN and preferably comprises TZM or P-AlN, the structure of the first handle substrate is such that the first handle can be selectively removed from the thin sapphire layer supported by and bonded to the second handle substrate. Dielectric bonding layers such as silicon dioxide or silicon nitride can be provided on one or both bonding surfaces of the transferred sapphire and the second handle substrate. Alternatively a suitable metallic bonding layer such as Ni can be provided on both of the bonding surfaces, with appropπate adhesion layers as described above.
[0100] This double-bond process would preferably use a sacrificial lateral etch layer in the first handle substrate to enable selective release of the thin sapphire layer from the first handle substrate to the second handle substrate This etch layer is preferably selectively removable by etching relative to the second handle substrate and the bonding layer used between the thin sapphire layer and the second handle substrate in the intermediate substrate. Additionally, planaπzation and smoothing of the thin transferred sapphire layer supported on the first handle substrate to improve subsequent wafer bonding may be performed This can be done by deposition of a bonding layer and subsequent polishing as described in the section on "Preparation for wafer bonding." As an alternative to the use of a sacrificial lateral etch layer, a transparent first handle substrate could be used in conjunction with a bonding layer that can be decomposed, ablated, or otherwise weakened through the use of an optical process such as laser irradiation. Preferably, the first handle substrate removal can be enabled by bonding the implanted sapphire source wafer to a material that is CTE- matched to sapphire, or has a CTE that is between GaN and sapphire. As an alternative to the lateral etch layer, the first handle substrate may be selectively etchable, gπndable, or polishable relative to the thin sapphire layer, the second handle substrate, and bonding layer. For example if the first handle substrate comprises single crystal or poly-crystal GaAs, the first handle substrate can be selectively etched using nitric acid. Advantageously, by using a double-bond process, the highly
WASH_4640436 1 4 J Atty. Dkt. No. 048630-0131
polished epi-ready surface of the original sapphire source wafer is preserved and exposed as the growth surface in the final intermediate substrate, easing the process of damage removal and preparation of the surface of the thin transferred layer for subsequent GaN growth.
[0101] Another wafer bonding approach to minimize the adverse impact of the CTE- mismatch between sapphire and GaN-CTE-matched handle substrates is to thin the sapphire source wafer to minimize the elastic strain energy during wafer bonding and layer transfer while simultaneously increasing the stress in the wafer bonded sapphire wafer that would assist in the exfoliation of the thin sapphire layer. This can be done for sapphire source wafer as thin as 100 μm or thinner for R-plane-oriented sapphire and 150 μm or thinner for C-plane sapphire. By supporting an even thinner sapphire source wafer of either R- or C-plane orientation on a mechanical support substrate that is CTE-matched to the handle substrate, the sapphire source wafer can be made even thinner. This could be accomplished by bonding a thinned sapphire source wafer to the same material as the handle substrate using several strategies such as direct wafer bonding, adhesive bonding with materials known in the art comprising BCB, spin-on-glass, or other adhesives, metallic bonding, or eutectic bonding with a eutectic composition that is chosen to enable subsequent thermal processing without detachment or delamination of the sapphire source wafer from the mechanical support substrate.
[0102] After the wafer is bonded to the mechanical support substrate, a combination of grinding, lapping, and polishing can be used to further thin the sapphire source wafer, preferably to less than 50 μm in thickness. More preferably, the sapphire source wafer would be further thinned to less than 25 μm. After thinning, the surface may require thermal annealing, chemical-mechanical polishing, and/or deposition of a bonding layer and subsequent polishing to prepare the surface for bonding. Preferably the final root-mean-square surface roughness is < 1.0 ran.
[0103] Optionally, a thin sapphire transferred layer on a TZM or P-AlN handle substrate can be fabricated by bonding a thinned sapphire substrate to the handle substrate. Then the thinned sapphire substrate can be thinned by a combination of grinding, lapping, and polishing resulting in a thin film preferably thinner than 10 μm
WASH_4640436.1 42 Atty. Dkt No. 048630-0131
and more preferably thinner than 5 μm. Subsequent to the mechanical thinning and polishing of the thinned sapphire substrate, any subsurface lattice damage due to the thinning and polishing process can be removed by the use of a dry etch process to remove preferably at least 1 μm of sapphire material. Alternatively, the thinned sapphire substrate can be bonded to a first handle substrate using a eutectic bonding layer The first handle substrate compnses a disc of alumina, poly-AIN, or other material chosen for its low cost, CTE-match with sapphire, and rigidity. The first handle substrate is preferably flat and parallel to a tolerance of less than 1 micron over the diameter of the substrate Such tolerances can be achieved using standard double- side lapping techniques known in the art After bonding to the first handle substrate, the thinned sapphire substrate can be lapped and polished to a thin film preferably thinner than 5 μm and more preferably thinner than 2 μm. The thin film sapphire can then be bonded to a second handle substrate such as a substrate comprising TZM or P- AlN. The entire bonded stack can then be heated to a temperature exceeding the liquidus temperature of the eutectic alloy, and the first handle substrate removed by mechanical means. Preferably the eutectic alloy compnses Au and Sn and the liquidus temperature is below 250C. Optionally chemical treatments can be used to remove residual alloy metals from the sapphire surface and the surface can be polished to achieve a smooth surface finish suitable for epitaxial growth.
[0104] Optionally, the wafer bonding and layer transfer steps comprise the use of pre- patterned handle substrates to allow local relaxation of the stress and strain caused by the CTE-mismatch between sapphire and the handle substrate One example of the pre-patterned handle substrate would comprise a grid of etched trenches in the prepared bonding layer on the handle substrate Preferably the spacing and location of the grid of etched trenches would partially, selectively, or completely match the boundanes of the device or LED dies to be fabricated ultimately on the wafer-bonded intermediate substrate The depth of the trenches can be shallow, preferably greater than 5 nm deep The width of the trenches can be selected to either leave an intact thin sapphire layer above the trench following layer transfer and thinning or to leave an open trench without thm sapphire layer spanning the gap As an alternative to pre- patterning of the handle substrate, the pre-patterning can be applied to the prepared bonding layer on the sapphire source wafer or applied directly to the exposed and polished surface of the sapphire source wafer. Advantageously, any cracks and
WASH 4640436 1 43 Atty. Dkt. No. 048630-0131
buckling from local relaxation of the stress and strain would occur preferentially at the etch trenches, thereby minimizing any detrimental effect on the critical active regions of fabricated devices. As a general rule of thumb, if the trench width is narrower than the final thickness of the thin sapphire layer (<200 nm) the thin sapphire layer will span the trench and remain intact.
[0105] Optionally, an alternative bonding and layer transfer process for transfer of thin sapphire layer to a handle substrate takes advantage of the optical transparency of the source sapphire substrate. The transparent sapphire allows selective irradiation of the bonded interface and the implantation-induced defect structures with an optical source. Advantageously, the optical irradiation would selectively anneal the implanted region of the bonded structure and improve the exfoliation kinetics of the thin sapphire layer while reducing the temperature excursion and any associated elastic strain energy and stress induced in the bonded structure. The optical sources preferably produce irradiation selectively absorbed by the implanted region. Optical sources suitable for this process comprise CO, HF or DF lasers operating in pulsed mode. It is preferable that the optical source produces sufficient optical power to simultaneously irradiate the entire area of the bonded structure and to allow simultaneous exfoliation of the entire thin sapphire layer and to prevent generation of localized defects and non-uniform stress in the thin layer.
[0106] Optionally, an alternative bonding and layer transfer process for transfer of sapphire or GaN thin layers to a handle substrate uses microwave excitation to strengthen the bond and to drive exfoliation of the thin layer comprising sapphire or GaN. Microwave excitation has been shown to accelerate the H-induced exfoliation of Si in Applied Physics Letters 87 (22): Art. No. 224103 NOV 28 2005. The low absorption of sapphire in the microwave frequency range from 900 MHz to 2.5 GHz can be used to enable selective excitation and heating of the handle substrate, the bonding layer, bonds in the defect microstructure of the implanted region, or some other combination of these structural elements. An appropriate frequency range can be selected to minimize heating of the handle substrate so that the implanted region is selectively heated resulting in a temperature gradient in the sapphire source wafer that increases stress in the implanted region and enhances the layer transfer process. Such a process enables higher effective exfoliation temperatures in the implanted region
WASH_4640436.1 44 Atty. Dkt. No. 048630-0131
than can be achieved via uniform heating of the bonded structure and thereby enabling optimization of other parameters of the layer transfer process such as implantation dosage and required bond strength. Furthermore, by optimizing the power and frequency of the microwave radiation, the bonded interface can be excited leading to bond strength enhancement. In the event that the absorption of microwave radiation in the implanted region of the sapphire source wafer is insufficient for H- and/or He-implanted materials, selective microwave absorbers are preferably implanted, for example to a dose of 5x1015 ~ 5x1016 cm"2, to enhance local heating of the implanted region. Candidate species for implant comprise Mg, Be, Al and other elements, metallic or otherwise, that have high microwave absorption coefficients relative to sapphire. Because the stopping power of sapphire for heavy ions is quite high, the implant energy should be as high as practical, for example 150 to 400 keV. These selective absorbers could be implanted either prior to or after implantation with an exfoliating agent such as H and/or He.
[0107] Microwave excitation could also be used to drive the wafer bonding and layer transfer of thin GaN layer to a handle substrate. Preferably direct excitation of the implanted H and/or He in the GaN source wafer will allow for enhanced exfoliation at low substrate temperatures as was described for sapphire above. In the case that the H- and/or He-implantation-induced defects absorb weakly the microwave radiation, the same process for implanting selective microwave absorbers as described above for sapphire can preferably be applied to GaN. Alternatively, in the case of GaN, epitaxial growth can be used to grow a superstructure comprising a high Al content AlGaN absorber region of 50 nm thickness followed by a GaN region that is comparable in thickness to the depth of a H- or He-implanted region. For example, implantation of H at 100 keV results in a H peak centered at 600 nm below the GaN surface, while implantation of He at 150 keV results in a He peak centered at 600 nm below the GaN surface. As described in the "Source material preparation" section, the N-face of the GaN substrate should be presented for bonding, therfore the superstructure described above should be grown on the N-face of a freestanding GaN substrate. As described in the "Source material with improvements" section, preferably, the use of a bonding layer and polishing process can improve the surface of the freestanding GaN with epitaxial superstructure for bonding. H and/or He will be implanted to a dose sufficient to drive exfoliation of the GaN. The energy of the
WASH_4640436.1 45 Atty Dkt No 048630-0131
implant will be selected to place the concentration peak at or near the selective absorber layer of high Al content AlGaN For example, for the GaN layer thicknesses descπbed above for 100 keV implants Of H+ or 150 keV implants Of He+, implantation dosages of >1 5xl0I? cm 2 or >1 5xlO17 cm 2 of H or He respectively will be used preferably. Following bonding, the application of an appropnately selected frequency, power, and duration of microwave excitation can be used to perform wafer bonding and layer transfer.
[01081 Stabilization of the thin transferred layer
[0109] In the case of a mechanically ngid source wafer such as sapphire, there can be a propensity of the thin transferred layer to spontaneously peel away from the handle substrate or bonding layer, if a bonding layer has been deposited, following the layer transfer process The rate at which the thin transferred layer peels away from the handle substrate can increase with the magnitude of the in-plane stress in the film This stress can be introduced through damage induced duπng the implantation process and/or through CTE mismatch stress developed duπng the bonding and layer transfer process It is also observed that the delamination of the film can be substantially accelerated if the film is exposed to a humid environment or is dipped in water. It is believed that the water acts to reduce the surface energy of the freshly exposed surfaces as the delamination proceeds, as has been reported earlier for bonded silicon wafers (see Tong, Q Y et al in Journal of the Electrochemical Society 139 (11) 1101-1102 (1992)) Thus, the thin transferred layer is preferably not exposed to a humid environment or water during processing steps immediately following layer transfer and the process preferably is conducted in a dehumidified environment
[0110] The propensity of a transferred film to peel away from the bonding interface can be higher for layers such as sapphire whose surfaces are relatively chemically inert and stable against formation of covalent bonds with other surfaces The inert nature of the surface can be caused for example by the presence of hydro xyl groups that chemically passivate the surface, or it can be due to the intrinsic bond strength of the covalently bonded source material Furthermore the rigidity of the source wafer often prevents the bonding surfaces from coming into intimate contact under application of typical bonding pressures, which must be low enough to prevent
WASH_4640436 1 4g Atty. Dkt. No. 048630-0131
fracture of the source wafer or handle substrate. In order to promote strong adhesion between such inert layers and the handle substrate, it is preferable to first deposit a bonding layer as described previously, onto the surface of the source wafer. Adhesion between the bonding layer and the source wafer surface can be enhanced by plasma activation of the wafer surface prior to deposition, as commonly occurs for example in the PECVD process for depositing SiO2 or Si3N4 films. Thus, the bonding layer 13 may comprise silicon oxide, silicon nitride and/or aluminum nitride, which are deposited by a plasma-enhanced CVD process to simultaneously perform a plasma activation of the source wafer 10. Alternatively, a separate plasma activation treatment of the source wafer 10 may be performed prior to the deposition of the bonding layer 13. In this case, the bonding layer 13 may be deposited by a method other than PECVD. Optionally an adhesion layer is inserted between the bonding layer and the handle substrate. Preferably an annealing procedure is performed as described previously to densify the deposited bonding layer and further increase the adhesion strength. For the case of a bonding layer deposited on a sapphire source wafer, the annealing temperature is preferably between 600 0C and 1000 0C.
[0111] Additionally, by inserting a low-temperature bond-strengthening anneal step in the wafer bonding and layer transfer thermal cycle prior to the exfoliation of the transferred layer the stability and extent of the thin transferred layer on the handle substrate after bonding and exfoliation can be substantially improved. This is correlated to an increase in the bond strength during the low temperature anneal. This improves the bond strength at the time of exfoliation, improving the extent and uniformity of the exfoliation process. Furthermore, by transferring a more strongly bonded thin layer, buckling and fracture of the highly stressed thin transferred layer are less energetically favorable, leading to reduced buckling in later processing. The efficacy of the low-temperature bond-strengthening anneal is improved by increasing the temperature difference between the bond initiation temperature (that temperature at which pressure is applied to the sapphire-handle stack to initiate bonding) and the bond-strengthening anneal temperature. Preferably, bond initiation is performed at or below 150 0C and the bond-strengthening anneal is conducted at 250 0C or above for at least 30 minutes. Increasing the duration of the bond-strengthening anneal results in improved bond strength between the sapphire and the handle substrate with a saturation of bond strength generally being reached within 20 hours of bond initiation.
WASH_4640436.1 47 Atty. Dkt No. 048630-0131
However, maximizing the difference between the bond initiation temperature and the bond-strengthening anneal temperature reduces the time required to reach an acceptable bond strengthening The bond-strengthening anneal can be conducted with or without an applied pressure By annealing without an applied pressure, a simple batch furnace process can be used to perform the bond strengthening anneal improving process throughput, reducing capital equipment costs, and resulting in a more manufacturable process
[0112] In some cases it will be desirable to bond the handle substrate to the bare surface of the source wafer. Optionally an adhesion layer and preferably a bonding layer are first deposited on the handle substrate surface pπor to bonding In such cases where the bare surface of the source wafer is bonded to a handle substrate, or where the bare source wafer is bonded to the surface of a bonding layer deposited on a handle substrate, annealing steps can be performed following the layer transfer process in order to increase the strength of the bond. Such annealing steps can optimize the stability of the thin transferred layer against peeling and lift-off during subsequent process steps Preferably an external pressure is applied normal to the surface of the thin transferred layer to prevent the thin layer from peeling duπng the annealing procedure. This pressure is preferably between 0 5 MPa and 50 MPa and more preferably between 1 MPa and 20 MPa. The application of this pressure on the thin transferred layer enables more efficient bonding than is possible with pressure applied pπor to layer transfer, owing to the decreased πgidity of the thin transferred layer relative to the thick source wafer Optionally a sheet of material that is slightly compressible is inserted between the point at which pressure is applied and the top surface of the thin transferred layer, in order to more efficiently distribute the pressure over the thin layer and facilitate intimate contact of the bonding surfaces. Suitable materials include graphite, mica, or any other matenal that is compressible in a direction normal to its surface and maintain mechanical rigidity in the directions parallel to the surface The annealing temperature is selected to be in a range where substantial covalent bonding occurs between the surface of the thin transferred layer and the surface to which it is bonded In the case of a thin sapphire layer wafer bonded to a silicon dioxide, silicon nitride, or aluminum nitπde bonding layer, this temperature preferably falls in the range of between 500 0C and 1400 0C and is more
WASH_4640436 1 4g Atty. Dkt. No. 048630-0131
preferably between 600 0C and 1000 0C. The duration of the annealing process is preferably between 10 minutes and 10 hours.
[01131 Reusing source wafer
[0114] After the exfoliation, the source wafer 10 can be reused in subsequent repetition of the process by removing the ion implantation damage and roughness of the top surface of the source wafer 10 through the use of accepted semiconductor processing techniques such as chemical etching or chemical mechanical polishing. For thin layers transferred from the Ga-face of freestanding GaN substrate as the source wafer, hot KOH at 5 to 50% dilution in deionized water at a temperature between 25°C and 2000C, preferably between 4O0C and 1100C, for a duration between 10 seconds and 60 minutes, depending on the dilution ratio and total implantation dose, preferentially etches the implantation-induced damage at the exfoliated surface of the GaN source wafer leaving a smooth surface that is suitable for bonding following the deposition and polishing of a bonding layer on the GaN source wafer and subsequent implantation of the structure, as described below. Cross- sectional TEM analysis shows that the wet etch with KOH does not completely remove subsurface damage. For this reason, if it is desirable to completely remove subsurface implantation damage, a polish step or a dry etch comprising RIE may be necessary to remove subsurface damage while maintaining planarity and smoothness. When using a caustic wet etch to selectively remove damaged GaN from the Ga-face, it is important to protect the N-face using an encapsulating film that is not etched by the wet etch chosen for the Ga-face. Preferably, the encapsulating film comprises silicon dioxide, silicon nitride, amorphous silicon carbide, aluminum oxide or some other material that is conveniently deposited using chemical vapor deposition (CVD), such as plasma-enhanced or low-pressure CVD, or physical deposition techniques, such as sputter deposition or thermal evaporation.
[0115] One related application of the Ga-face GaN reclaim process described above is as a planarizing step for the growth surface of related Ill-nitride semiconductors. By implanting to a depth that is much greater than the spatial separation and peak-to- valley magnitude of surface features on the substrate, the exfoliation is expected to be highly planar with ~10 nm of surface roughness. Subsequently, by applying a damage-selective wet etch, the exposed surface can be highly planarized and the
WASH_4640436.1 49 Atty Dkt. No. 048630-0131
roughness reduced. Further processing such as RIE can then be used to remove the subsurface implantation damage. A brief wet etch would then leave a surface that is considerably improved for Ill-Nitride growth. Preferably, the III-Nitπde freestanding semiconductor is AlN and the implantation process consists of implanting with a dose sufficient to induce exfoliation to a depth of at least 500 nm followed by a wet etch in a KOH solution and a dry etch using a Cl-based RIE or ICP RIE step As with GaN reclaim, it is desirable to protect the N-face of the AlN using a deposited encapsulating film
[0116] For reusing freestanding GaN source wafer in the transfer of multiple thin layers from the N-face, a polishing process can be used to reduce the fracture-induced roughness of the N-face and to remove residual subsurface lattice damage caused by ion implantation. The polish process can be a stπctly mechanical process using a polish pad and a slurry comprising silica, alumina, SiC, diamond, or other slurry abrasive suspended in water as known in the art Alternatively, the chemistry of the suspending fluid can be adjusted to enhance the polish rate and improve the polish uniformity Such modification chemistries comprise KOH, NaOCl, or other chemicals known to controllably etch the N-face of GaN. The polish process is also applicable to the Ga-face of GaN. The polish process can be optimized to enable direct bonding of the N-face of GaN to the handle substrate. Optionally, the N-face can be planarized by reducing the roughness of the N-face of the GaN to an acceptable level with an initial polish followed by the deposition, densification, and polishing of a bonding layer material as is further described in the previous section Such a bonding layer comprises SiO2, Si3N4 or other material conveniently deposited and polished. The bonding layer should be thin following the polish process to allow subsequent ion implantation to create a damaged layer as the weak interface at a depth sufficient to allow removal of damage implantation damage and reduction of the surface roughness pπor to growth. Preferably, the post-polish bonding layer has a roughness of < 0 5 nm, a thickness of < 200 nm, and the implantation-induced damaged layer is at a depth > 500 nm from the GaN-bonding layer interface. By producing many thin transferred layers 12 from the same source wafer 10, the cost contribution of source wafer 10 per light-emitting semiconductor device can be reduced substantially The present cost of commercially available high-quality low- defect-density freestanding GaN or AlN substrates is relatively high for use in
WASH_4640436 1 5 Q Atty. Dkt. No. 048630-0131
manufacturing of high-brightness (HB) nitride semiconductor LEDs. The light- emitting device structure enabled according to the first embodiment of the invention (FIGS. 1 and 2H) reduces the cost contribution of source wafer 10 and enables economical production of much higher performance HB-LEDs as the direct benefit of using high-quality low-defect-density freestanding GaN or AlN substrates.
[0117] Similarly, for reusing sapphire source wafer in the transfer of multiple thin layers, a wet etch treatment can be used to reduce the fracture-induced roughness of the weak interface after exfoliation, to remove residual subsurface lattice damage caused by ion implantation, and to remove by lift-off any small remnants of the thin layer remaining on the source wafer. The wet etch treatment preferably uses heated chemical solutions containing phosphoric acid or more preferably a heated ortho- phosphoric solution sold under the trade name of Transetch-N®. The preferred temperature range of the heated chemical solution is 1500C to 220°C. The preferred treatment time ranges from 10 minutes to 2 hours. Following the chemical treatment, a high temperature anneal of the sapphire source wafer in the atmosphere is preferred. As is known in the art, for example in pages 8-12 of "Wide Energy Bandgap Electronic Devices" by F. Ren and J. C. Zolper, a high temperature anneal of the sapphire wafer surface at 13800C for ~ 1 hour results in atomically flat surface on the sapphire wafer and readies the treated sapphire source wafer for reuse in the transfer of subsequent thin layer.
[01181 Damage removal and smoothing of thin transferred layer
[0119] After exfoliation of the thin layer 12 from the source wafer 10, the surface at the weak interface 11 may be rough and may contain substantial lattice damage if ion implantation defined the weak interface 11. A smoothing or planarization step may be needed, comprising mechanical polishing, chemical mechanical polishing (CMP), or chemical etching of the surface of the thin transferred layer 12. High temperature thermal annealing is another option for smoothing the weak interface 11. As illustrated in FIG. 2H, the smoothing step removes surface damage and roughness and allows an improved surface 14 for subsequent epitaxial growth. Thus, an intermediate substrate 15 comprising the thin transferred layer 12 bonded to the handle substrate 20 is formed.
WASH_4640436.1 5 ] Atty. Dkt. No. 04S630-0131
10120] For thin transferred layer comprising GaN material, preferably the implantation damage on the Ga-face of the thin transferred layer in the weak interface 11 is removed by using inductively-coupled plasma reactive ion etching (ICP RIE). With the following exemplary process parameters for the ICP RIE, a gas mixture of Cl2 at 5 seem and N2 at 45sccm, ICP power at 500W, substrate power at 5OW, chamber pressure at 0.5 Pa, and wafer chuck at room temperature (200C), the implantation damage is controllably removed at a rate of 50-80nm/minute. Depending on the initial thickness of the thin transferred layer immediately after transfer and the desired final thickness, an appropriate amount of sapphire including implantation damage can be removed. Preferably, the final thickness of the thin GaN layer should be 5 μm or less. More preferably, the final thickness of the thin GaN layer is between 50 and 1000 nm.
[0121] For thin transferred layer comprising GaN material, a thermal annealing treatment can be used to reduce lattice strain in the transferred layer, arising from defects and stress associated with the implantation and transfer process. The present inventors have found that depending on the implant conditions, there can be a significant lattice strain in the GaN transferred layer 12. This lattice strain can be in the form of a compressive strain in the in-plane direction. The present inventors have found that annealing of the GaN transferred layer can substantially reduce this strain, as measured by X-ray diffraction. For example, an in-plane strain present in the thin GaN single crystal layer after it has been exfoliated but prior to the annealing process, has a magnitude greater than 0.3%, while an in-plane strain present in the thin GaN single crystal layer after the annealing process has a magnitude less than 0.6%, such as less than 0.3%. In order to minimize roughening of the surface during the annealing process, the film is preferably capped with a capping material prior to heating. The capping material is deposited directly onto the exposed GaN transferred layer surface prior to annealing, and is removed after the annealing process is complete. Suitable capping materials include silicon nitride, silicon dioxide, or other dielectrics deposited by PECVD, LPCVD or sputtering. The thickness of the capping material is thick enough to protect the GaN transferred film surface during annealing and thin enough to avoid cracking of the encapsulation material during annealing. An exemplary capping layer comprises a film of nitrogen-rich silicon nitride deposited by PECVD with a thickness between 50nm and 400nm. Preferably the GaN transferred
WASH_4640436.1 52 US2009/051546
Atty. Dkt. No 048630-0131
film is annealed in an atmosphere comprising nitrogen, ammonia or ammonia and hydrogen, and is annealed at a temperature between 7000C and 13000C, for example between 8000C and 12000C for a time interval of between 10 and 60 minutes. After the annealing process and prior to using the intermediate substrate for growth, the capping layer can be removed using selective chemical etching or dry etching such as ICP RIE Preferably ICP RIE is used. The annealing process can be performed either before or after the implantation damage on the Ga-face of the thin transfer layer is removed. If the annealing process is performed prior to removing the implantation damage, and if the implantation damage is to be removed by ICP RIE, then the capping layer and the implantation damage can be removed using the same ICP RIE tool, in order to reduce processing time and costs. In general, different RIE chemistπes can be used for each step. For example, CF4 gas can be used during the removal of a silicon nitride capping layer, and Cl2 can be used during the removal of the implantation damage.
[0122] For thin transferred layer comprising GaN, the procedure comprising ion implantation, layer transfer, and encapsulated annealing as descπbed above may be used to reduce a level of defects such as a dislocation density in an epitaxial film that is subsequently grown on the transferred layer A level of defects in the epitaxial film can be less than a level of defects present in the GaN source wafer from which the layer was transferred For example, a dislocation density in the GaN source mateπal of greater than 1X107 cm"2 may be reduced to a density below 1X107 cm"2, such as IXlO6Cm"2, in an epitaxial GaN film grown on the transferred layer, as determined by transmission electron microscopy measurements. It is therefore a property of the present invention that it can be used to produce GaN or other Ill-nitride mateπal having lower dislocation density than that produced by other methods, such as by MOCVD or HVPE of GaN on sapphire substrates In the embodiment descπbed, a layer is transferred after the implantation step and epitaxial growth is performed on the exposed surface of the transferred layer. In another embodiment of this invention, a level of defects in a GaN or other Ill-nitride material is reduced using a process comprising ion implantation of the Ill-nitride mateπal and optionally exfoliation of a layer, but the epitaxial growth is performed on the surface of the source wafer. It is anticipated that this procedure can be used to reduce a level of defects in GaN material produced by any number of techniques known in the art, such as by MOCVD
WASH_4640436 1 53 Atty Dkt No 048630-0131
or HVPE on sapphire substrates. For example, a GaN film grown by MOCVD on a c- plane sapphire substrate using state of the art techniques has Ga-face polanty and typically has a dislocation density of greater than IXlO8Cm"2, such as IXlO9Cm 2 According to the embodiment of the present invention, the GaN film (or another III- nitπde film) grown on the sapphire (or another substrate) substrate is then subjected to a process comprising ion implantation of the exposed Ga-face to generated a damaged region, optionally followed by exfoliation of a thin film, followed by encapsulated annealing, before subsequent growth of additional GaN material by MOCVD on the damaged or exfoliated GaN film located on the sapphire substrate The ion implantation species and energy can be selected to induce exfoliation preferably using hydrogen ions, helium ions, or some combination of both as descnbed elsewhere in the specification Alternatively, a heavy ion species, such as those ions with an atomic number greater than beryllium (atomic number 4), can be implanted to maximize damage near the surface of the film at minimal implant dose A dislocation density in the mateπal produced by the subsequent growth of GaN can be reduced relative to a dislocation density in the mateπal produced by the initial growth of GaN on sapphire For example the dislocation density in the subsequent growth matenal can be less than IxIO9Cm 2 , such as IxIO9Cm 2 to IxIO8Cm 2
[0123] For thin transferred layer compnsing GaN matenal, optionally the implantation damage on the Ga-face of the thin transferred layer in the weak interface 11 can be selectively removed after exfoliation of the thin transferred GaN layer 12 by using a wet chemical etch compnsing a hot KOH deionized water solution as disclosed in the earlier section on reusing source wafer. Using this etch, surfaces with a roughness below 1 nm are achieved Furthermore, by using a very dilute solution, any threading dislocations present in the thin transferred layer would be preferentially etched at a slow enough rate to minimize formation of etch pits Any etch pits formed would be very shallow with very low aspect ratio (<0 2) This wet chemical etch process can be used either instead of the ICP-RIE process mentioned, or it can be used after ICP RIE in order to reduce the surface roughness further or to remove damage caused by the ICP-RIE process.
[0124] For thin transferred layer compnsing sapphire matenal, preferably the implantation damage on the exposed surface at the weak interface 11 is removed after
WASH_4640436 1 54 Atty. Dkt. No. 048630-0131
exfoliation of the thin transferred layer 12 by using inductively-coupled plasma reactive ion etching (ICP RIE) followed by wet chemical etch. With the following exemplary process parameters for an ICP RIE, a gas mixture of BCI3 at 15 seem and Cl2 at 15 seem, ICP power at 700W, substrate power at 350W, chamber pressure of 4 Pascal, and wafer chuck temperature at room temperature (2O0C), the implantation damage is controllably removed at 20~30nm/minute of etch time. Depending on the initial thickness of the thin transferred layer immediately after transfer and the desired final thickness, an appropriate amount of sapphire including implantation damage can be removed. Preferably the final thickness of the thin sapphire layer should be 5 μm or less to prevent cracking and of the thin sapphire layer during subsequent thermal cycling. More preferably, the final thickness of the thin sapphire layer is between 50 and 1000 run. After etch in ICP RIE, preferably a wet chemical etch is used to remove residual subsurface lattice damage caused by ICP RIE. The wet etch treatment preferably uses heated chemical solutions containing phosphoric acid or more preferably a heated ortho-phosphoric solution sold under the trade name of Transetch-N®. The preferred temperature range of the heated chemical solution is 1500C to 220°C. The preferred treatment time ranges from 10 minutes to 2 hours. After the wet etch treatment, the improved surface 14 is ready for epitaxial growth.
[01251 Epitaxial growth
[0126] In FIG. 21, the active layers 30 of the light-emitting device structure are preferably epitaxially deposited in a metal-organic chemical vapor deposition (MOCVD) reactor or a molecular beam epitaxy (MBE) chamber. Other epitaxial techniques can also be used to deposit the active layers 30, for example HVPE. Active layers 30 may comprise any Ill-nitride material or combination of Ill-Nitride materials, including GaN, AlN, AlGaN, InGaN, and InAlGaN. One specific example of active layers 30 comprises an n-type AlxGai-xN cladding 31, an InyGai_yN active region 32, a p-type
Figure imgf000057_0001
cladding 33, and a p-type GaN contact 34. Many other designs are possible and known in the art. For example, the active layers 30 can be modified instead to comprise a p-type AlxGai-xN cladding 31, an InyGai-yN active region 32, an n-type Al7Ga1^N cladding 33, and an n-type GaN contact 34. The active layers 30 can be further modified with additional layers near the UIyGa1 -yN active region 32 to allow better carrier confinement or stronger wave guiding effects
WASH_4640436.1 55 Atty. Dkt. No. 048630-0131
for application to laser devices. The InyGai-yN active region 32 can further incorporate single quantum well or multiple quantum wells to improve the performance of the light-emitting device. For light-emitting devices operating in the UV wavelength range, the thickness of the GaN contact 34 can be minimized to reduce internal absorption and to improve light-extraction efficiency. Furthermore, the thicknesses of the layers in the active layers 30 can be optimized to allow constructive interference in combination with reflected light from an optically- reflective first terminal contact 40 to improve light-extraction efficiency of the light- emitting device structure.
[0127] For intermediate substrate 15 comprising thin transferred sapphire layer, additional preparation steps during epitaxial growth would improve the quality of the active layers 30. Preferably, the MOCVD deposition of active layers 30 is preceded by a high temperature anneal in hydrogen (between 10000C to 12000C) for 5 to 20 minutes, a deposition of a thin layer of GaN at low temperature (5000C to 700°C with 10 to 100 nm nominal thickness), and a deposition of thick layer of GaN at normal growth temperature (10000C to 11000C with 0.5 μm to 5 μm nominal thickness). Alternatively, other preparation steps well known in the art of GaN growth on conventional bulk sapphire substrate can also be applied to the growth on the intermediate substrate comprising thin transferred sapphire layer according to the embodiments of the invention. Optionally, the growth surface of the thin transferred sapphire layer can be cleaned inside the MOCVD reactor by flowing HCl gas.
[0128] The quality of active layers 30 grown on wafer-bonded intermediate substrates can be improved dramatically. The wafer-bonded intermediate substrate has the potential to improve the crystalline quality of high-temperature epitaxial growth by providing efficient thermal coupling to the wafer susceptor in the growth process. Compared to conventional substrates such as sapphire, the Mo-based substrate will offer more effective radiative coupling to the wafer susceptor and will provide significantly better temperature control and temperature uniformity over the wafer surface. The use of an optically reflective handle substrate such as a Mo-based substrate, or an encapsulated single crystal substrate comprising GaAs or InP, will enable the use of commercially available in situ monitoring techniques as are known in the art, such as emissivity-corrected pyrometry. Access to such in situ monitoring
WASH_4640436.1 55 2009/051546
Atty Dkt No 048630-0131
techniques will enable improved control over cntical growth parameters such as wafer temperature, relative to growth on optically transparent substrates such as sapphire for which such techniques are not readily available Thus, one aspect of the invention provides a method in which properties of the intermediate substrate and/or the active layer(s) are optically monitored before and/or during the growth of the active layer(s) The optical monitoring comprises reflectance momtoπng from a reflective handle substrate, such as emissivity-corrected pyrometry, and the property monitored may comprise the intermediate substrate temperature or other suitable properties The method may also compπse controlling or changing the active layer growth parameters, such as the growth temperature of the wafer susceptor, furnace or other heating device(s) and/or the reactant flow rate(s), such as gas flow rate(s) in a CVD process
[0129] The better match in CTE between GaN and Mo relative to GaN and sapphire also improves crystal quality by reducing wafer bow and stress duπng growth The reduced wafer stress may minimize the creation of new crystal defects resulting from stress-induced plastic deformation duπng temperature excursions at elevated growth temperatures and wafer cool down The thickness of the GaN buffer layer grown on conventional substrates comprising sapphire or SiC can be reduced substantially on the intermediate substrate according to the embodiments of the invention and results in cost savings from shorter growth time and less material consumed The reduced wafer bow would allow higher uniformity in the grown active layers 30 in terms of layer thickness, mateπal composition, and mateπal strain by providing more uniform thermal contact between the substrate and the wafer susceptor The higher growth uniformity would enable higher production yields and better reliability of the light- emittmg devices according to the embodiments of the invention Reduced wafer stress also eliminates the need for additional complicated buffer or interlayer structures incorporated into the epitaxial growth to prevent cracking or defect generation in the epitaxial layers duπng temperature excursions, such as the example descπbed by S Raghavan et al in "Effect of AlN interlayers on growth stress in GaN layers deposited on (111) Si," Appl Phys Lett 87, 142101 (2005)
[0130] The better match in CTE between GaN and Mo relative to GaN and sapphire also simplifies the use of larger substrates in the device manufactunng process,
WASH_4640436 1 57 2009/051546
Atty Dkt No 048630-0131
resulting in significant cost reduction The better match in CTE between GaN and Mo relative to GaN and sapphire simplifies the growth of In containing materials on the intermediate substrate comprising thin sapphire layer It is known in the art that simultaneously achieving high In incorporation and excellent crystalline quality is extremely difficult in InGaN growth on conventional substrates comprising sapphire, SiC, or freestanding GaN templates The difficulties result from the large lattice mismatch between InN and GaN, the InGaN composition pulling effect (see chapter C2 in "Properties, processing, and applications of Gallium Nitπde and Related Semiconductors" edited by J H Edgar et al , 1999), and stress evolution duπng GaN growth on conventional substrates (see "Stress evolution duπng metalorganic chemical vapor deposition of GaN" by S Hearne et al in Appl Phys. Lett 74, 356 (1999)) The narrow and conflicting constraints imposed by each conventional substrate are widened or eliminated by the intermediate substrate compπsing thin sapphire layer Several curves of stress-thickness product plotted versus time of typical buffer GaN growths on various substrates including the intermediate substrate are shown in FIG 11 Growth of InGaN material requires significantly lower growth temperature than GaN to increase incorporation of In, for example 500°C to 8500C It is also highly desirable for GaN to be under tensile stress duπng InGaN growth to decrease the difference in lattice constants between GaN and InGaN and to increase the incorporation of In In addition, for uniform composition and thickness of InGaN mateπal over an entire substrate, it is important to minimize the stress-thickness product at InGaN growth conditions to minimize wafer bow and resulting thermal non-uniformity Based on FIG. 11, only the intermediate substrate according to the embodiments of the invention simultaneously meets these requirements for high quality InGaN growth and high In incorporation High quality InGaN mateπals with high In incorporation enable the development of high-efficiency high-bπghtness III- nitπde LEDs at longer wavelengths compπsing colors of green, amber, and red that are cπtical for next-generation high-performance solid-state-lightmg sources
[0131] The reduced wafer stress from better CTE match offers much larger parameter space for the design of higher-performance active layers 30 grown on the intermediate substrate according to the embodiments of the invention. It is well known in the art that straining of the quantum wells in active layers 30 can improve the performance of the resulting device, for example reducing the threshold current or increasing the
WASH_4640436 1 5g 51546
Atty Dkt No 048630-0131
speed of the laser devices The magnitude of the improvement is determined by the amount of strain However, the maximum strain achievable in the quantum wells is fundamentally limited by material instability, generation of undesirable crystal defects, and the stress-thickness products duπng the growth and temperature excursions For example in conventional III-nitπde growth on bulk sapphire substrate, the allowable maximum strain is restπcted simultaneously by CTE-induced tensile strain at growth temperature (for example 1050 0C) and compressive strain at lowest operational temperature of the device These constraints are removed by the intermediate substrate according to the embodiments of the invention
[0132] In addition to expanding the design space for the active layers, the reduced wafer stress from better CTE match also offers additional flexibility in applying higher Al composition mateπals for shorter-wavelength UV applications The better CTE match maintains similar wafer stress levels at the high growth temperature and at room temperature If the wafer stress level is slightly tensile at growth temperature, it will remain slightly tensile at room temperature The stability in wafer stress level over large temperature excursions allows high-performance epilayer designs near the mechanical limits of the mateπals without compensating for thermally-mduced strain Device epilayers with higher compressive stress and higher Al-composition can be grown on the intermediate substrate according to the embodiments of the invention than epilayers demonstrated by J. Han et al. in "Monitoring and controlling of strain duπng MOCVD of AlGaN for UV optoelectronics" MRS Internet J Nitπde Semicond Res 4Sl, G7 7 (1999)
[0133] To serve as an example, an epitaxial growth of GaN was performed on the intermediate substrate according to the embodiments of the invention compπsing thm transferred sapphire layer and poly-crystalline AlN handle substrate The epitaxial growth was performed in a hoπzontal-flow MOCVD reactor with radio-frequency (RF) heated wafer susceptor. The hydrogen anneal, low-temperature GaN, and thick GaN steps were performed and the resulting GaN mateπal was analyzed The x-ray diffraction spectrum of the GaN sample at room temperature is shown in FIG 9 as a continuous curve A reference GaN mateπal grown on a conventional bulk sapphire substrate under similar growth conditions was also measured and shown as a dashed curve The x-ray diffraction spectra demonstrate that the GaN grown on the
WASH_4640436 1 59 Atty. Dkt. No. 048630-0131
intermediate substrate according to the embodiments of the invention is nearly stress free with calculated lattice constant very close to that of unstressed freestanding GaN material. Cross-sectional transmission electron microscopy (TEM) was also performed to analyze the defect microstructure of the GaN material, with an image shown in FIG. 10. In this image, the GaN layer is located at the top, thin sapphire layer in the middle, and part of the bonding layer at the bottom. The polycrystalline AlN handle substrate is not shown. The density of threading dislocation appears lower than GaN material grown under similar conditions on conventional bulk sapphire substrate. Although the thin sapphire layer has residual defects from implantation and transfer damages, the growth of the high-quality GaN layer was not adversely affected. This result shows that growth of high-quality GaN can occur even on imperfect sapphire transferred films or sapphire surfaces with less than perfect crystallinity.
[0134] The thickness of the portion of the sapphire layer visible in Figure 10 is about 300 ran. The sapphire layer thickness uniformity along the portion of its length visible in Figure 10 appears to be about 3%. In other words, the film thickness along about 5 microns of its length varies by at about 3%. In general, the thin layer 12 made of sapphire or other materials (such as GaN, SiC, Si(II l), etc.) may have a thickness uniformity along at least a part of its length, such as at least 5 microns of its length, that is less than 10%, such as 5Vo or less, for example 3-5%.
[0135] It may also be possible to use the metallic nature of the handle substrate to better control the wafer temperature in certain epitaxial reactors that employ RF heating. Specifically, it may be possible to use the RF generator in certain types of reactors to directly heat the handle substrate. By calibrating the heating of the substrate as a function of the RF power output, using, for example a pyrometer, it may be possible to achieve superior control of the temperature of the handle substrate and thin transferred layer. It may also be possible to modify the design of the reactor to increase the level of RF heating that occurs in the handle substrate.
[0136] In some implementations, the metallic nature of the handle substrate necessitates modifications to the growth parameters. In cases where the growth reactor uses RF generators for substrate heating and the handle substrate contains metal or other materials capable of converting RF power into heat, a reduced level of
WASH_4640436.1 βQ Atty. Dkt. No. 048630-0131
RF power will be required to heat the surface of the thin transferred layer to the desired temperature. As mentioned previously, this effect may be used to obtain better control over the temperature of the surface of the thin transferred layer.
[0137] Depending on the growth technique and specific recipe, it may be necessary to modify the growth process to account for the strain in the single crystal film resulting from the difference between the CTE of the single crystal film and the handle substrate material. Techniques for growing on strained films are well known and include the growth of linearly graded or step-graded buffer layers, in which the composition of the buffer layer is gradually adjusted throughout the thickness of the layer in order to minimize the formation of dislocations or other strain-induced defects.
[0138] In another embodiment to be described later in more detail, the intermediate substrates are used to produce high quality, freestanding GaN substrates rather than active device layers. A thick (preferably thicker than 100 micron) GaN layer is grown using MOCVD and/or HVPE on the thin transferred layer of a material suitable for the growth of GaN comprising GaN, sapphire, silicon carbide, or silicon. Preferably, the handle substrate is TZM for this application. Once the GaN layer reaches the target thickness, the handle substrate is preferably removed by the methods described below, and a freestanding GaN substrate is created. Although freestanding GaN substrates are used as an example, the intermediate substrates can also be used to produce freestanding substrates of other Ill-nitride materials, such as AlN.
[0139] The thick GaN layer is preferably grown by HVPE. More preferably the thick GaN layer is formed by MOCVD followed by HVPE where a thin nucleation layer with low temperature buffer layer (preferably <2μm total) is deposited by MOCVD followed by a thick layer deposited by HVPE. This preferred combination of MOCVD with HVPE allows uniform nucleation of GaN from MOCVD and much higher growth rate (generally 10~100μm/hr and higher) from HVPE to economically produce high-quality freestanding GaN substrates. The growth conditions for MOCVD nucleation layer has been described earlier in this section. HVPE growth is typically carried out in a quartz reactor within a multi-zone furnace. The growth zone temperature is set between 10000C to 13000C and the Ga source boat between 7000C and 9000C. Gases of HCl and NH3 flow over the Ga source to form GaCl and deposit
WASH_4640436.1 g| US2009/051546
Atty. Dkt. No. 048630-0131
GaN in the growth zone onto the intermediate substrate. The HVPE growth of GaN is well known in the art, see for example chapter 1 in "Wide Energy Bandgap Electronic Devices" by F. Ren and J. C. Zolper.
[0140] The freestanding GaN substrates produced with the intermediate substrate according to the embodiments of the invention offer several advantages over GaN substrates produced on conventional substrates such as sapphire. The CTE match of the intermediate substrate to GaN eliminates nearly all of the thermally-induced bowing, warping, and cracking problems that become more severe with larger diameter substrates. Necessity for high temperature laser-lift-off operation to minimize CTE-mismatch-induced cracking is also eliminated by utilizing the intermediate substrate.
[01411 Device processing and first contact
[0142] Optionally, as illustrated in FIG. 5A, an alternate photonic lattice structure can be formed by etching into the active layer 30 with reactive ion etching (RIE), inductively-coupled plasma reactive ion etching (ICP-RIE), or with other fabrication methods known in the art. This etch is preferably performed after the epitaxial deposition of the active layers 30. The etched areas 35 comprise patterns such as those illustrated in US Patent nos. 5,955,749 and 6,479,371 or other patterns known in the art of photonic bandgap and periodic grating structures. Usually the dimensions of such patterns are on the order of the wavelength of the light to be emitted by the light-emitting device structure, adjusted by the refractive index of the materials used in the device structure. For the highest contrast in the refractive index, the etched areas 35 preferably extend through the active layer 30 into the thin layer 12. To simplify subsequent contact processing and to prevent electrical shorting, the etched areas 35 are preferably filled with electrically-insulating low-refractive-index dielectric material.
[0143] In FIG. 2J and 5B, one or more metallic or metal-oxide films are deposited on top of active layers 30 to form a first terminal contact 40. The preferred composition depends on the specific material of the active layers 30. For active layers 30 comprising p-type GaN contact 34, Ni-Au is preferred as one component of the first terminal contact 40. For active layers 30 comprising n-type GaN contact 34, it is
WASH_4640436 1 g2 Atty. Dkt No 048630-0131
preferable to include Al, such as Ti-Al or W-Al for example, in the first terminal contact 40. In addition, the first terminal contact 40 preferably comprises optically- reflective layers and barrier layers, for example the omni-directional reflective structures as disclosed in US Patent nos 6,130,780 and 6,784,462, to provide for higher light-extraction efficiency and better stability and reliability of the light- emitting device
[0144] Preferably, the optically-reflective layer compnses at least one element selected from the group of Ag, Ru, Os, Mo, Cr, Rh, Ni, Au, Pd, Ir, Ti, Pt, W, and Al For example, optical reflectivities of Ag, Al, Rh, Cr, Pd, and Au at optical wavelengths around 500nm are 91%, 92%, 75%, 69%, 69%, and 44% respectively. Therefore, Ag or Al are the most preferable matenals with respect to reflectivity. However, it is preferable to employ Rh for good reflectivity, stability at high temperatures, and low resistance electrical contact to the p-type GaN contact layer 34. In addition, a transparent contact layer, for example ITO, can be incorporated into the optically-reflective layer directly adjacent to the GaN contact 34 to further improve the optical reflectivity at non-normal incidence angles in the manner known in the art Furthermore, employing barrier layers within first terminal contact 40 formed of ZnO:Al, Au, Sn, Pd, Pt, In, Ti, Ni, W, Mo, Au-Sn, Sn-Pd, In-Pd, Ti-Pt-Au, and Ti-Pt- Sn, etc. placed on either or both sides of the optically-reflective layer can prevent mter-diffusion and alloying of the optically-reflective layer with surrounding materials that would cause deterioration in reflectivity and reduce light-extraction efficiency
[0145] Final substrate preparation and wafer bonding
[0146] In FIG. 2K, the final or device substrate 50 is preferably thermally conductive which improves the thermal dissipation characteristics of light-emitting device structures according to the embodiments of the invention. The final substrate can also be electrically conductive to allow opposed terminal (i e , vertical) structure Materials for the final substrate 50 comprise single-crystalline, polycrystallme, and amorphous semiconductors such as SiC, Si, GaN, AlN, and ZnO, metallic elements (including alloys) such as CuW, W, Mo, and oxides and nitπdes of metallic elements such as TiN The specific choice of the final substrate 50 depends on the subsequent fabπcation processes and specific design requirements Three preferred matenals for
WASH_4640436 1 £|3 Atty. Dkt. No. 048630-0131
use in the nitride semiconductor embodiments as final substrate 50 are SiC, AlN, and CuW. SiC offers excellent thermal and electrical conductivity to allow high power operation of the light-emitting devices. AlN offers an excellent match of the thermal expansion coefficient to active layers 30. CuW offers close match of thermal expansion coefficient to active layers 30 and good thermal and electrical conductivity. Polycrystalline SiC, polycrystalline AlN, and CuW materials are relatively inexpensive and well suited for low-cost mass production.
[0147] As illustrated in FIGs. 2L and 2M, the intermediate substrate comprising the light-emitting device structure is wafer bonded to the final substrate 50 at the exposed surface of the first terminal contact 40. Preferably, a eutectic bonding layer 51 is provided on the final substrate 50, although the eutectic bonding layer can be provided instead on first terminal contact 40 or simultaneously on both final substrate 50 and the first terminal contact 40. The wafer bonding can be achieved by eutectic bonding with bonding layer 51, by direct covalent wafer bonding, or by other well- known techniques as disclosed in "Semiconductor Wafer Bonding" by Q. -Y. Tong and U. Gosele. Low-temperature eutectic bonding is preferred. The eutectic bonding layer 51 comprises Au-Sn, Sn-Pd, In-Pd, and other compounds well known in the art. If Au-Sn is used, then the composition is preferably either approximately 80%-Au or 10%-Au for which the eutectic temperatures are approximately 280C and 210C respectively. Other compositions can also be selected based on their eutectic temperature, cost, CTE match with the final substrate, and their resistance to chemical attack during subsequent processing steps. The eutectic bonding layer can be provided by depositing a thin film multilayer stack of the component materials, for example alternate layers of Au and Sn, and/or by co-deposition of a single layer of the component materials, by sputtering, evaporation, electroplating, or other techniques known in the art. Preferably the total thickness of the deposited eutectic bonding layer is between 0.5 microns and 20 microns. Alternatively the bonding layer can be provided as a preformed eutectic solder disc as is commercially available. The thickness of the solder disc is preferably between 10 microns and 100 microns. Optionally an adhesion layer structure is provided on the top surface of the final substrate 50 and/or the exposed surface of the first terminal contact 40. If both an adhesion layer structure and a eutectic bonding layer are provided to either or both of the final substrate and the first terminal contact, then the adhesion layer is provided
WASH_4640436 1 54 2009/051546
Atty Dkt. No 048630-0131
prior to the provision of the eutectic bonding layer and the eutectic bonding layer 51 is provided to the surface of the adhesion layer Suitable adhesion layers compπse Ti/Pt/Au, Cr/Au, Ni/ Au, Ni/Pt/Au, and other metallic multilayer structures as are known in the art Alternatively the eutectic bonding layer is attached directly on the exposed surface of the first terminal contact 40 and/or the final substrate 50 if no adhesion layer is provided. In other words, an adhesion layer and/or a eutectic bonding layer may be provided on either or both of the final substrate 50 and the exposed surface of the first terminal contact 40.
[0148] In the case that the first terminal contact 40 is bonded to the final substrate 50 using a low-temperature eutectic bonding layer, then the bonding process compπses forming a prepared surface on both the first terminal contact and the final substrate, placing the prepared surfaces in contact to form a stack, heating the stack to a temperature higher than the eutectic temperature of the bonding layer, annealing the stack at that temperature, and cooling the stack back to room temperature. The prepared surface compπses an adhesion layer and/or a eutectic bonding layer as descπbed above, but comprises at least one eutectic layer on at least one of the surfaces to be bonded. Preferably the annealing temperature is between 10 degrees C and 100 degrees C above the eutectic temperature of the eutectic alloy. The annealing time is selected to be sufficient to allow interdiffusion of the eutectic alloy components throughout the bondig layer and is between 10 seconds and 2 hours and is preferably between 30 seconds and 10 minutes. The bonding procedure can be performed on a hot plate, in a furnace, or in a wafer bonding apparatus equipped with a heater as is commercially available Optionally the bonding process is performed in an inert gas or reducing environment in order to prevent oxidation of the bonding layer materials. Optionally an external pressure is applied to the stack during the annealing process.
[0149] Removal of the handle substrate and/or of the entire intermediate substrate
[0150] In FIG. 2N, the handle substrate 20 and bonding layers 13, 21 are removed by etching using conventional techniques such as wet chemical etching, plasma etching, reactive-ion etching, inductively-coupled plasma reactive ion etching and other techniques known in the art. For the preferred embodiment of handle substrate 20 compπsing Mo or TZM and final substrate 50 comprising CuW, a mixture of
WASH_4640436 1 65 Atty. Dkt. No. 048630-0131
chemical etchants comprised of HNO3 and NH4F in H2O, preferably HNO3:H2O:NH4F (126:60:5), can be applied to remove the handle substrate 20 while leaving the final substrate 50 intact. The ratios of the three constituent chemicals of the etchant can be varied. If the handle substrate comprises polycrystalline AlN, suitable etchants include KOH, AZ400K photoresist developer, NaOH or other chemical solutions containing KOH or NaOH. Preferably, if the handle substrate is AlN, the etchant comprises KOH which is known to selectively etch AlN but does not etch W or Cu appreciably. More preferably for the case of an AlN handle substrate the etchant comprises an aqueous solution of KOH with a concentration between 20% and 70%. Optionally the etching solution for either AlN, or Mo or TZM handle substrates, can be heated to enhance the etch rate. Preferably the temperature of the etching solution is between 25 0C and 150 0C.
[0151] In cases where the eutectic bonding layers 51 are susceptible to etching by the etching solution used in the handle substrate removal, a passivating layer can be provided to cover the exposed edges of the eutectic bonding layers. The passivating layer is provided after the final substrate has been bonded and before the handle substrate is exposed to the etching solution. Suitable passivating layer materials comprise spin on glass, photo resist, wax, ceramic pastes, and other materials selected for their chemical resistance, adhesion properties, ease of application, and cost.
[0152] The handle substrate can be thinned prior to the chemical etch removal process, using conventional grinding methods as are known in the art, in order to reduce the amount of time required for the chemical etching of the remaining handle substrate material. Preferably for a TZM or P-AlN handle substrate, the handle substrate is ground to a thickness of between 20 microns and 150 microns. The grinding can be accomplished using a fixed abrasive diamond, diamond slurry, or alumina slurry, or any combination of these abrasives or others known to those skilled in the art.
[0153] In addition, the SiO2 bonding layers 13, 21 can be removed by HF etching solutions without significantly etching the device structure or final substrate. If the bonding layer comprises AlN then KOH can be used to selectively remove the bonding layer. For AlxGai-xN light-emitting devices operating in the UV wavelength range, the thin transferred layer 12 comprising GaN can also be removed to eliminate
WASH_4640436.1 gβ Atty. Dkt. No. 048630-0131
internal absorption and to improve light-extraction efficiency. Additionally, the preferred process for exfoliation of the GaN film causes point defects in the thin transferred GaN layer 12. While these point defects will not affect the quality of the light-emitting device grown on the thin transferred layer 12, the defects will degrade the electrical performance of the device. For that reason, even in devices that emit at a lower energy than the bandgap of GaN, removal of the thin transferred layer 12 from the light-emitting device is desirable. Optionally the handle substrate can be ground to a smaller thickness prior to etching, in order to decrease the duration of the etching process required for complete removal of handle substrate. Preferably the final thickness of the handle substrate after grinding is between 25 microns and 150 microns. If desired, the bonding layer(s) may be removed as sacrificial release layers by selective etching to separate the handle substrate 20 from the rest of the device. This way, the handle substrate 20 can be reused if desired.
[0154] For the case in which the handle substrate 20 is removed by chemical etching, a potential concern is contamination of the device surfaces with Cu and other trace metals released from the final substrate during the etch process. Contamination of the epitaxially grown device structure may occur by the dissolution of Cu or other potential contaminants from the handle substrate or the final substrate during chemical etching used to remove the handle substrate. Subsequently, these contaminants can redeposit on the exposed surface of the device structure or bonding layer following complete removal of the handle substrate. In subsequent thermal cycling any contamination on the surface of the device structure could potentially diffuse into the active region of the LED or LD structure. Such contaminants have the potential to dramatically reduce the performance of the finished device. To minimize the risk of degradation of the light-emitting device by contaminants originating in the final substrate, the final substrate and transferred active layer composite can be treated with a wet chemical process known to those skilled in the art designed to remove surface contaminants such as an NH4θH:H2O2:H2O in a ratio of between 1: 1:3 and 1 :1 :8 followed by a deionized water rinse. This treatment is performed prior to removal of the bonding layer. Optionally, a conformal protective film can be deposited on the exposed side of the final substrate prior to the chemical etching process to remove handle substrate. The protective film is selected for its chemical resistivity to the etching solution used to remove the handle substrate. By depositing
WASH_4640436.1 (fj Atty. Dkt. No. 048630-0131
a thin protective film by a separate step from the fabrication of the bulk final substrate, the chemical purity of the protective film can be better controlled with little impact on the material cost of the final substrate. So, the small quantity of the protective film that might be etched during the handle substrate removal presents no risk of contamination of the surface of the finished device. Materials suitable for use as a protective film are divided into conductive materials that may remain an integral component of the finished device and insulating films that can be conveniently removed following the removal of the handle substrate. A conductive protective film comprises W, but more broadly comprises any thermally and electrically conductive material that does not pose a contamination risk to the device and etches slowly (<0.1 μm min"1) in the etchant used to remove the handle substrate. Insulating protective films comprise dielectrics commonly found in the semiconductor processing industry, including Si3N4, SiO2, and SiOxNy, but more broadly comprise any material that does not pose a contamination risk to the device, etches slowly (<0.1 μm min" ) in the etch used to remove the handle substrate, and can be conveniently removed following removal of the handle substrate by any number of processes including chemical etching, grinding, lapping, reactive ion etching, chemical mechanical polishing, or other film removal processes known to those skilled in the art. Both electrically conductive and insulating films can be deposited by sputtering, CVD, or electron- beam evaporation or other methods know to those skilled in the art. The desired thickness of the film is dependent upon the deposition method selected, but it should be sufficiently thick that there are no pinholes in the film that may contribute to contamination. Generally, films with thicknesses in excess of 0.1 μm or greater are sufficiently thick to prevent pinholes from penetrating through to the underlying surface. Further, the edges of the final substrate 50 can be beveled so that the deposition process covers all exposed surfaces and edges of the final substrate 50. To reduce costs, the final substrate with protective coatings can be pre- fabricated in mass quantities prior to the step in which the final substrate is bonded to the active layer device structure. Alternatively, by depositing the protective layer on the final substrate after bonding the final substrate to the active layer device structure and using a beveled final substrate, the bonded interface can be protected from any potential chemical attack during the etch of the handle substrate.
WASH_4640436.1 βg Atty Dkt No 048630-0131
[0155] In the case where the thm transferred layer compπses GaN, following the removal of the handle substrate 20 and bonding layer(s) 13, 21, it may also be desirable to remove the thin GaN layer 12 that was originally bonded and transferred to serve as an epitaxial template for the LED device This is desirable in the event that the processing used to bond, exfoliate, and prepare the thin GaN layer for epitaxy has lead to significant lattice damage that reduces the conductivity of the thin transferred GaN or other template layers Alternatively, in the application of this method to UV LEDs, it is desirable to remove the thin GaN layer to avoid absorption of light emitted from the active region of the LED. The selective removal of the thin transferred GaN layer can be accomplished in several ways including, but not limited to, wet chemical etching, electrochemical etching, photochemical etching, photo- electrochemical etching, chemical mechanical polishing, dry etching with a halogen- contaimng plasma as known to those skilled in the art, or dry etch using a halogen- containing high-density plasma such as inductively-coupled plasma reactive ion etching (ICP RIE) process involving chloπne (Cl2), boron tπchlonde (BCl3), sulfur hexafluoπde (SF6), or carbon tetrafluoπde (CF4) If dry etch is used to selectively remove the thin transferred GaN layer, it is important to minimize ionic damage from the ion etching process This can be accomplished by using low bias voltage to decrease the energy of the ions while maintaining acceptable etch rates Preferably, bias voltage less than 400V is used More preferably, bias voltage less than 100V is used To improve production efficiency, a high-low etch technique can be used to quickly remove bulk of the thin GaN layer at high bias voltage and etch rate, and then reduce the etch rate and bias voltage of the plasma near the end of the etch to minimize ionic damage. High-density plasma etch techniques such as ICP RIE is especially favorable for selective removal of the thin transferred GaN layer To improve the robustness of the process for removal of the thin transferred layer, it may be desirable to grow a thicker buffer layer on the GaN/Mo intermediate substrate in order to make the control of the etch depth and rate less cπtical to avoid damaging the active region of the device In addition to selectively removing the thin transferred GaN layer, the generalized process descπbed above can be applied to sapphire, SiC, Si(I I l), and other desirable mateπals for use as thin transferred layers for III-nitπde growth Alternatively, an additional sacrificial AlN or equivalent etch layer can be grown epitaxially between the thm transferred GaN layer 12 and active layers 30 Grooves comprising grid patterns are preferably formed into the thin transferred GaN
WASH_4640436 1 59 Atty Dkt No 048630-0131
layer by photolithography and reactive ion etching to locally expose the sacrificial AlN etch layer A selective wet-chemical etch is preferably used to quickly remove the sacrificial etch layer by lateral etching and remove the thin transferred GaN layer by lift-off The grooves accelerate the lateral etching process by reducing the lateral extent of etching required as compared to conventional full wafer lift-off process
[0156] Photoelectrochemical (PEC) etching can also be applied to the precision removal of the thin transferred GaN layer with well-controlled etch depth and layer selectivity An etch-stop layer can be grown inside the GaN buffer at a specific location The etch stop layer would have wider bandgap than the photon energy of the photon source Once the etching reaches the etch stop layer, the etch rate would reduce to zero due to the lack of photo-generated earners available at the material surface Stopping at the etch stop layer would allow light-emitting devices with precise thicknesses and optimized optical properties especially important for micro- cavity LED or vertical-cavity surface-emitting lasers (VCSEL) Some possible etching solutions for GaN comprise 1 3 (45%) KOH/H2O or 1 10 HC1/H2O solutions Hg arc lamps or He-Cd lasers can be used as photon sources to activate the etching, see for example chapter B4 3 in "Properties, processing, and applications of Gallium Nitπde and Related Semiconductors" edited by J H Edgar et al , 1999 AlGaN mateπal with the appropπate bandgap can be inserted into the buffer GaN growth for use as an etch- stop layer
[0157] In the case where the thin transferred layer compπses sapphire, following the removal of the handle substrate 20 and bonding layer(s) 13, 21, it may be desirable to remove all or portions of the insulating thin sapphire layer 12 that was originally bonded and transferred to serve as an epitaxial template for the LED device The selective removal of the thin sapphire layer can be accomplished in several ways composing wet chemical etching, chemical mechanical polishing, dry etching with a halogen-containing plasma as known to those skilled in the art, or dry etch using a halogen-containing high-density plasma such as inductively-coupled plasma reactive ion etching (ICP RIE) process involving chlorine (Cl2), boron tπchloπde (BCl3), sulfur hexafluoπde (SF6), and/or carbon tetrafluoπde (CF4) It is preferable to use dry etch to selectively remove the thin transferred sapphire layer It is more preferable to apply an etch chemistry such as CF4 and/or other F-based chemistry in ICP RIE that
WASH_4640436 1 JQ Atty. Dkt. No. 048630-0131
has similar etch rates in sapphire and GaN in order to maintain surface smoothness and planaπty after removal of the thin sapphire layer.
[0158] A photoresist mask or other patterned mask may be applied before the etch to allow localized removal of the thin sapphire layer for making electrical contact while leaving other areas of thin sapphire layer intact. The portions of the remaining thin sapphire layer can serve as a passivation layer against external environmental contamination and/or moisture infiltration, eliminating the need for an additional deposition step to form a separate passivation layer comprising silicon mtnde as is commonly required in conventional LED fabncation It is known in the art that GaN devices are susceptible to high temperature degradation of p contact resistance induced by diffusion of moisture or hydrogen. The thin sapphire layer can act as a diffusion barrier to prevent the diffusion of undesirable species into the p-GaN contact and greatly improve the reliability of the fabricated device. The thin sapphire layer with refractive index of 1 77 can also act as an optical refractive-index-matching layer between GaN with refractive index of 2.5 and packaging materials compnsing epoxy or silicone with refractive index of 1.38-1.57 to enhance light-coupling efficiency Further patterning of the thin sapphire layer to form photonic lattice structure similar to the structure illustrated in FIG 4 can also enhance the light-coupling efficiency of the fabπcated device
[0159] If dry etch is used to selectively remove the thin sapphire layer, it is important to minimize ionic damage from the ion etching process This can be accomplished by using low bias voltage to decrease the energy of the ions while maintaining acceptable etch rates. Preferably, bias voltage less than 400V is used. To improve production efficiency, a high-low etch technique can be used to quickly remove bulk of the thin sapphire layer at high bias voltage and etch rate in a Cl-based chemistry, and then switch the etch chemistry to F-based plasma near the sapphire and GaN interface, and then switching the etch chemistry back to Cl-based plasma with reduced etch rate and bias voltage near the end of the buffer GaN etch to minimize residual ionic damage. High-density plasma etch techniques such as ICP RIE is especially favorable for selective removal of the thin transferred sapphire layer and the associated highly defective GaN buffer near the sapphire/buffer interface. To improve the robustness of the process for removal of the thin transferred layer, it may be desirable to grow a
WASH_4640436 1 7 J Atty Dkt No 048630-0131
thicker buffer layer on the intermediate substrate in order to make the control of the etch depth and rate less cntical to avoid damaging the active region of the device
[0160] To further improve performance of the LED devices, it may be desirable to remove the GaN buffer layer (not shown) usually grown on the GaN/Mo intermediate substrate 15 pπor to the growth of the active layers 30, in addition to removing the thin transferred GaN layer 12 to eliminate absorption of light emitted from the active region of the LED and to minimize thickness of the LED active region for better light extraction efficiency. It is known in the art that microcavity LEDs with higher light output can be created by preferably minimizing the total thickness of the LED active region to less than several wavelengths of the light and for blue-emitting LED to less than 0.5 μm. The GaN material can be removed similarly as descnbed previously for removing the thin transferred GaN layer. The removal can be accomplished with any the techniques previously mentioned for the removal of thin GaN layer.
[0161] Alternatively, if desired, the handle substrate 20 and the thin layer 12 may be retained in the final device structure In this case, the handle substrate can serve as the final device substrate of the completed device.
[01621 Device processing and second contact
[0163] The following description applies to an embodiment of the invention where the thin transferred layer 12, here for example GaN, is not removed If the thin transferred layer 12 was removed in pnor processing steps, the following descnption would apply directly to the active layers 30 instead of the thin transferred layer 12 Although not shown in FIG. 2N, optionally patterning or roughening the thin transferred semiconductor layer 12 by etching or by other known methods can further improve light-extraction efficiency of the light-emitting device according to the embodiments of the invention. The patterning or roughening increase the escape probability for light generated in the active layers 30. Some preferred examples for the patterning are to form a grating pattern on the thin transferred semiconductor layer 12 or to form a photonic lattice structure through the thin transferred semiconductor layer 12 and the active layers 30 The patterns can be formed with uniform or nonuniform striped shape, grid pattern, rectangular shape, or other engineered patterns such as those disclosed by US patent application no 2005/0059179, US Patent nos
WASH_4640436 1 72 Atty. Dkt. No. 048630-0131
5,955,749, 6,479,371, and others known in the art of photonic bandgap and periodic grating structures. The patterns are preferably formed by standard holographic grating exposure followed by wet chemical etching or dry plasma etching. Other standard patterning techniques comprise electron-beam lithography, phase-mask lithography, x-ray lithography, natural lithography, etc. The periodicity of the patterns should preferably be on the order of the wavelength of light. The depth of the grating patterns should preferably be less than the thickness of the thin semiconductor layer 12 to prevent exposure and oxidation of active layers 30. For device structures with thin layer 12 already removed, the grating patterns should preferably be less than the thickness of the cladding layer 31. For photonic lattice structures, the patterns preferably extend through the thin semiconductor layer 12 into the active layers 30 to maximize the refractive-index contrast.
[0164] In FIG. 2O, one or more metallic or metal -oxide films are deposited on the thin transferred semiconductor layer 12 to form a second terminal contact 60. The preferred composition depends on the specific material of the thin transferred semiconductor layer 12. For thin transferred semiconductor layer 12 comprising p- type GaN, Ni-Au is preferred as one component of the second terminal contact 60. For thin transferred semiconductor layer 12 comprising n-type GaN, it is preferable to include Al, such as Ti-Al or W-Al for example, in the second terminal contact 60. Second terminal contact 60 does not necessarily have to cover the entire surface of thin transferred semiconductor layer 12 comprising n-type GaN. This reduces the light-blocking area and improves light-extraction efficiency. In addition, the second terminal contact 60 preferably comprises optically-reflective layer to provide for higher light-extraction efficiency of the light-emitting device. Alternatively, a transparent contact, for example ITO or ZnO:Al, can also be used as second terminal contact 60. The transparent contact would allow large current flow without high spreading resistance in either p-type or n-type semiconductor.
101651 Completed device
[0166] Specific embodiments of completed light-emitting devices according to the embodiments of the invention are illustrated in FIGS. 1, 4, and 6. The arrows in the figures indicate the preferred direction for light output. FIG. 1 shows a preferred light-emitting device according to an embodiment of the invention produced by
WASH 4640436.1 73 Λtty. Dkt. No. 048630-0131
processing steps illustrated in FIGS. 2A-2O. FIG. 4 shows another preferred light- emitting device comprising photonic lattice structure produced by combining processing steps illustrated in FIGS. 2A-2O and FIGS. 3A-3C. FIG. 6 shows yet another preferred light-emitting device comprising photonic lattice structure produced by combining processing steps illustrated in FIGS. 2A-2O and FIGS. 5A-5B.
[0167] The semiconductor light-emitting device as described has unique advantages. The cost per light-emitting device of the source wafer material is reduced significantly via reuse of expensive high-quality source wafers. The quality of the substrate material is improved by three or more orders of magnitude as compared to those used for conventional LED production (reduction of 103 in dislocation-defect density, for example from 109/cm3 to 106/cm3). This improvement in material quality enables the subsequent growth of device layers with high material quality. These high material quality device layers are capable of supporting significantly higher levels of current density than device layers typically grown on sapphire substrates. These higher current density levels lead to higher light output per unit area of the processed wafer. Because many manufacturing costs are closely linked to area of the wafer being processed, the higher light output per unit area translates into higher light output per manufacturing dollar, In summary, the simultaneous improvement in production cost and material quality enables the development of cost-effective solid state lighting sources with very high brightness. More light can be produced by smaller-area devices, thereby improving effective light output power per unit area of semiconductor while reducing the cost per watt of light output and improving long- term reliability.
[0168] The incorporation of grating and reflective layer into the light-emitting device structure after active layer epitaxial growth minimizes high-temperature thermal cycling of these delicate structures. All of the time consuming, low yield, and high cost steps used in conventional LED production, such as flip-chip mounting, laser liftoff of sapphire substrate, transfer of unsupported thin-film active devices have been eliminated by the light-emitting device of this invention. The active layer epitaxial growth occurs after the thin transferred semiconductor layer and handle substrate are completely bonded and mechanically robust. The delicate active layers containing highly strained materials are not subjected to extraneous thermal stresses and
WASH_4640436.1 74 Atty. Dkt No. 048630-0131
mechanical stresses from high-temperature wafer bonding steps or other manipulations that can significantly degrade the performance and reliability of the semiconductor light-emitting device
[0169] Compared to conventional light-emitting devices grown on sapphire, the usable area of light-emission in the light-emitting devices according to the embodiments of the invention is increased significantly by eliminating one contact on the top surface Lateral current flow through the chip and resulting excess heat are also eliminated by the light-emitting devices according to the embodiments of the invention.
[0170] For light-emitting devices comprising thin sapphire layer on intermediate substrate according to the embodiments of the invention, portions of the thin sapphire layer can serve as a passivation layer against external environmental contamination and/or moisture infiltration, prevent diffusion of undesirable species into the p-GaN contact, and greatly improve the reliability of the completed light-emitting device.
[0171] The better match in CTE between GaN and the intermediate substrate according to the embodiments of the invention improves crystal quality by reducing wafer bow and stress during growth. The reduced wafer bow would allow better uniformity between devices fabricated from each wafer. The better uniformity and consistency would enable higher production yields and better reliability of the light- emitting devices
[0172] The better CTE match also improves In containing materials grown on the intermediate substrate comprising thm sapphire layer according to the embodiments of the invention. High In incorporation and excellent crystalline quality can be achieved, enabling the development of high-efficiency high-brightness III-nitπde LEDs at longer wavelengths compnsing colors of green, amber, and red that are cπtical for next-generation high-performance solid-state-lighting sources.
[0173] The reduced wafer stress from better CTE match offers much larger parameter space for the design of higher-performance active layers that would reduce the threshold current or increase the speed of the light-emitting devices. The better CTE match also offers additional flexibility in applying higher Al composition materials necessary for light-emitting devices applied to shorter-wavelength UV applications.
WASH_4640436 1 75 Atty. Dkt. No. 048630-0131
[01741 Alternative embodiments
[0175] In an alternative second embodiment, rather than bonding a Ill-nitride semiconductor source wafer 10 to the handle substrate and then exfoliating a thin III- nitπde semiconductor layer 12 from the semiconductor source wafer, a single- crystalline material which supports epitaxial growth of Ill-mtπde semiconductor layers is bonded to the handle substrate. This single-crystal material comprises sapphire, silicon carbide or any other suitable material which supports epitaxial growth of III-nitπde semiconductor layers such as GaN, InGaN, AlGaN, etc Thus, the GaN substrate 10 shown in Figure 2A is substituted with a single-crystalline mateπal comprising sapphire, SiC, or other ceramic materials.
[0176] A thin transferred layer 12 from a single-crystal material such as sapphire, may be formed on the handle substrate using an ion implantation-induced exfoliation from a bulk substrate, as shown in Figures 2A-2H, or using a substrate bond and etch-back process (i.e., etching and/or polishing away the single-crystalline material to leave only a thin layer of the single-crystalline mateπal bonded to the handle substrate), or using a lateral etch of a weakened interface 11 generated by ion implantation. One or more III-nitπde semiconductor layers 31-34, such as GaN, InGaN, etc., which make up the light-emitting device are then epitaxially grown over the thin layer 12 of single-crystalline material, such as sapphire, while this thin layer 12 is bonded to the handle substrate 20. Further processing of this alternative second embodiment is similar to the process steps already shown in the Figures and described above
[0177] In this case, the handle substrate 20 would be comprised of a material that is better CTE matched to Ill-mtπde semiconductors (i.e., GaN, etc.) than the single- crystallme source wafer, such as sapphire, and which may possess a higher thermal conductivity than sapphire Because the thickness of the sapphire film would be small relative to the thickness of the handle substrate 20, the overall CTE of the completed intermediate substrate 15 would be closely matched to III-nitπde semiconductor layers, such as GaN. For example, the CTE of the intermediate substrate comprising the handle substrate 20 covered with a thin layer 12 of the single-crystalline mateπal, such as sapphire, would differ by 20% or less, such as 10% or less, from the CTE of the Ill-nitride semiconductor layer(s) 31-34.
WASH_4640436 1 ηfi Atty. Dkt. No. 048630-0131
[0178] In addition, assuming the handle substrate 20 could be easily removed, it will be easier to remove the remaining thin layer 12 after growth of a GaN device layer(s) 31-34 relative to the case where a bulk sapphire wafer was used to form a conventional Ill-nitride light-emitting device. An intermediate substrate 15 comprising thin sapphire layer of the second embodiment would possess some of the advantages of the intermediate substrate comprising thin GaN layer of the first embodiment when compared to a conventional bulk sapphire substrate. Specifically, the better CTE match of the thin sapphire layer on handle substrate 20 to the III- nitride active layers as compared to a conventional bulk sapphire substrate would reduce the bowing and resultant thermal variation across the substrate during GaN growth, resulting in improved device uniformity. In addition, it will be easier to create front side contacts through the removal of the insulating thin sapphire layer 12 following device growth. However, at the same time, the GaN device structures grown on the intermediate substrate comprising thin sapphire layer of the second embodiment would still suffer from the lattice-mismatch-induced dislocations that are a part of any heteroepitaxial Ill-nitride growth process on sapphire. Optionally, silicon carbide or other ceramic materials could be used in the place of sapphire.
[0179] One additional benefit of this second embodiment relative to the first is that the source wafers for the second embodiment are available in larger sizes than the freestanding GaN of the first embodiment. Consequently, it may be possible to manufacture substrates with larger diameter according to the second embodiment than the first. In the case where the thin layer 12 is sapphire and the handle substrate 20 is an alloy of molybdenum, it is possible to manufacture 75, 100, 150 mm and larger substrates. Larger wafer sizes offer economy of scale and help device manufacturers to reduce their production costs per device.
[0180] It should be noted that the thin transferred single-crystalline layer may be mis- cut from the primary crystallo graphic orientation, for example (0001) for Ill-nitride growth. In the case where the thin transferred single-crystalline layer is sapphire, this mis-cut is typically between 0° and 0.3°. In the case where exfoliation or bond and etch back processes are used to transfer the thin single-crystalline layer on the handle substrate, the mis-cut in the thin transferred single-crystalline layer can be achieved by using a mis-cut source wafer. In cases where mis-cut source wafers are not readily
WASH_4640436.1 77 Atty. Dkt. No. 048630-0131
available, it is possible to deposit a thm layer of mateπal with a non-zero stopping power on the source wafer and then polish it so that its thickness varies across the surface of the wafer. In this case, the thickness variation is established so that the implanted ions reach planar but off axis depths in the source wafer so that a thin mis- cut layer can be transferred from the source wafer.
[0181] It is also possible to use non-standard crystallographic orientations of matenal as the source wafer for the thin single-crystalline layer In the case where the matenal is sapphire, the source wafer may be of the R-plane variety The resulting GaN growths on the intermediate substrate comprising thin single-crystalline layer from this substrate can produce non-polar GaN materials, according to techniques described by Li Dong-Sheng et al in 2004 Chinese Phys. Lett. 21 970-971 and other published results.
[0182] In a third embodiment, an epitaxially-grown layer on a source wafer 10 can be bonded to the handle substrate 20 Preferably, the epitaxially-grown layer on a source wafer 10 comprises a film of AlGaN grown epitaxially on a sapphire or SiC substrate, using techniques known in the art such as HVPE, MOCVD or MBE. In this embodiment the GaN source wafer 10 in Figure 2A is substituted with an epitaxially- grown layer on a substrate comprising an AlxGai.xN layer on a SiC or sapphire substrate, such that a thm layer of the AlxGai-xN layer, where 0 <x <1, is bonded and transferred to the handle substrate
[0183] A thm transferred layer 12 of the epitaxially-grown AlGaN film may be formed on the handle substrate 20 using exfoliation or a substrate bond and etch-back process. Further processing of this third embodiment is similar to the process steps already shown in the Figures and described above.
[0184] In a fourth embodiment, the intermediate substrates are used to produce high quality, freestanding GaN substrates rather than active device layers In one implementation of this embodiment, a thin smgle-crystalhne layer of GaN is transferred from an existing freestanding GaN source wafer A thick (preferably >50 micron, and more preferably >100 micron) GaN layer is then grown on the thm transferred smgle-crystalhne layer using MOCVD or HVPE Preferably, the handle substrate is TZM for this application. Once the GaN layer reaches the target
WASH_4640436 1 7g Atty Dkt No 048630-0131
thickness, the handle substrate is removed By beginning the freestanding GaN growth process with a high quality, thin single-crystalline layer of GaN, it may be possible to reach higher levels of mateπal quality than can be achieved through standard epitaxial approaches
[0185] In another implementation of the fourth embodiment, that is similar to the second embodiment descnbed above, a thin single-crystalline layer of a mateπal suitable for the growth of GaN is bonded to a handle substrate The thin single- crystalline layer compnses sapphire, silicon carbide, or silicon, but is preferably sapphire The thin transferred single-crystalline layer then becomes the seed layer for the growth of a thick layer of GaN In one implementation, this technique may be combined with lateral overgrowth techniques including, but not limited to, epitaxial lateral overgrowth and pendeoepitaxy, in order to generate higher quality GaN Once a target thickness is reached, the handle substrate is easily removed by any of the processes mentioned previously Once the handle substrate is removed, the thin single-crystalline layer is also removed through any of the associated processes mentioned previously This approach has a number of advantages over existing techniques for producing freestanding III-nitπde substrates via heteroepitaxy on sapphire substrates In particular, in the case where the thin transferred single- crystalline layer is sapphire and the handle substrate is an alloy of molybdenum, the CTE match of the intermediate substrate to GaN is sufficiently close so as to enable the growth of thick GaN films without deleteπous bowing and cracking even at large substrate sizes As a result this technique enables the growth of larger freestanding III-nitπde substrates than could be produced by heteroepitaxial growth on bulk sapphire substrates
[0186] In another implementation of the fourth embodiment that is similar to the third embodiment descnbed earlier, a thin single-crystalline layer of epitaxial GaN grown by heteroepitaxy is transferred onto a handle substrate The epitaxial GaN may be grown on any substrate that is suitable for the growth of GaN, including, but not limited to, sapphire, silicon carbide, and sihcon(l l l) The transferred single- crystalline layer then becomes the seed layer for the growth of a thick layer of GaN Once a target thickness is reached, the handle substrate is easily removed and the thick layer of GaN becomes free standing This approach has a number of advantages
WASH_4640436 1 79 Atty. Dkt. No 048630-0131
over existing techniques for producing freestanding substrates via heteroepitaxy on sapphire substrates. By transferring a thm GaN layer grown in a separate process, the nucleation and growth of a freestanding GaN substrate by HVPE or any other suitable method of thick epitaxy required in the fabrication of a freestanding GaN substrate will be improved by reducing the difficulty of nucleation and initiation of GaN growth by switching the growth from heteroepitaxy in the case of GaN on sapphire to homoepitaxy
[0187] While particular embodiments comprising LED devices have been shown and described, the methods descnbed herein can also be applied advantageously to laser diodes and Ill-nitride based transistors, such as high electron mobility transistors (HEMT) as described in "Wide Energy Bandgap Electronic Devices" by F Ren and J. C. Zolper and heterostructure bipolar transistor (HBT) as described in "GaN HBT- Toward an RF Device" by L S McCarthy et. al. IEEE Transaction on Electron Devices, Vol. 48, No. 3, March 2001 These devices may be used in RF and microwave circuits.
[0188] HEMTs find their use in microwave circuit applications, such as in microwave monolithic ICs (MMICs) The transistor behaves much like conventional Field Effect Transistor (FET). A conducting channel between dram and source electrodes can be affected by applying a voltage to the gate electrode This causes modulation of the drain-source current. In a HEMT, the conducting channel is created by a heterostructure which confines the charge carriers to a thin layer The heterostructure may compnse an AlGaN/GaN or an InAlN/GaN heterostructure, for example. The gate electrode is formed above the heterostructure channel while source and drain regions abut the heterostructure channel
[0189] HBTs find their use in RF circuits hi a HBT, a heterojunction exists in its npn or pnp collector/base/emitter structure Thus, the HBT may compnse an AlGaN/GaN heterostructure including an n++ GaN subcollector, an n+ GaN collector, a p+GaN base, an n- GaN spacer, an n+ AlGaN emitter and an n++ AlGaN emitter cap.
[0190] The LED active layers 30 can be substituted with the appropriate device layer structure known in the art for the transistors, such as HEMT or HBT The intermediate substrate shown in Figure 2H allows growth of high quality epitaxial
WASH_4640436 1 gQ 009/051546
Atty Dkt No 048630-0131
matenal. The high-quality epitaxial material can be transferred to a final device substrate chosen to optimize performance of the electronic device in specific applications, such as high speed or high power applications. For example, the preferred final device substrate for high-speed HEMT comprises thermally conductive and electrically insulating mateπals such as insulating polycrystallme AlN or SiC, since HEMTs generally comprise lateral devices (i e , with electrodes on top of the device) On the other hand, the preferred final device substrate for high-power HBT comprises thermally conductive and electrically conductive materials similar to those mateπals selected for LEDs, since HBTs are preferably vertical devices with one (i e , collector) contact contacting the conductive substrate and the other (i.e., emitter) contact contacting the top of the device, such as the emitter cap. The fabrication and contact processing steps for the active layers of these electronic devices are well known in the art. Electronic devices of much higher performance can be fabπcated from the high quality epitaxial material and optimized final device substrate according to the embodiments of the invention.
[01911 Ion-Beam Modification of Source Material for Improved Growth
[0192] As descπbed above, it is an embodiment of this invention that implantation is used as a mechanism to bring about a surface and near-surface modification of a first matenal, such as a substrate having a surface composition of a single crystal semiconductor material, in order to improve the quality of matenal subsequently deposited on this first matenal using epitaxial growth techniques. In one implementation of this embodiment, a gallium nitride (GaN) layer that has been transferred from a bulk gallium nitnde substrate using implantation-induced layer transfer, can be used to grow epitaxial gallium nitnde material having a defect density that is lower than the bulk gallium nitnde substrate that provided the layer This reduction in defect density is due to the surface and near surface modification caused by the implantation, annealing, and damage removal processes.
[01931 Structure of Ion-Beam-Modified Source Matenal
[0194] The implantation, annealing and damage removal processes result in a structure compnsing a substantially single-crystal layer of GaN of thickness between 10 nm and 5 microns, such as between 50 nm and 1000 nm, that is itself highly
WASH_4640436 1 gl Atty Dkt No 048630-0131
defective but suitable for epitaxial growth of low defect density GaN Preferably the structure is such that a minimal density of threading dislocations intersects the exposed surface of the GaN layer on which the epitaxial GaN is to be grown The implantation dose is selected to be high enough to induce a high density of localized defects in the near surface region of the GaN material. The defects can comprise point defects or extended defects such as platelets or other dislocation loops formed dunng the implantation process or subsequent annealing of the implanted near-surface matenal The level of implantation required to induce these defects is dependent upon the energy and species of ion used. For instance, as is descnbed in detail below, the ion-beam modification effect has been observed in GaN films transferred from bulk GaN that was implanted with implanted with 2X1017 cm 2 He+ ions at an energy of 150 keV and with 2X1017 cm 2 H+ ions at an energy of 100 keV, bonded to a polycrystalline AlN substrate, and the films being transferred from the bulk GaN to the AlN substrate One skilled in the art can use this information to arrive at new implantation conditions resulting in a level of induced defects similar to that in the sample descnbed below. The matenal structure resulting from this ion-beam modification contains a dense defect structure that can comprise substantial strain field vanations such as oscillations in the strain field magnitude or polanty on a length scale of between 1 run and 100 nm, such as between 5 nm and 30 nm. Such defects are seen in the cross-sectional transmission electron micrograph shown in Figure 12 Optionally the structure is annealed to a temperature between 6000C and 14000C, such as between 8000C and 12000C for a duration between 1 mm and 24 hours, such as between 30 min and 3 hours, in order to facilitate the motion of the dislocations in the material The dislocations interact with the implantation-induced defects, causing the dislocations to be annihilated or to bend over into dislocation loops that do not intersect the surface. Optionally the layer is encapsulated with silicon nitride or other passivatmg matenal prior to the anneal step in order to suppress decomposition of the GaN surface and to prevent surface diffusion or motion of the atomic steps on the surface Such passivation can further frustrate the interaction of threading dislocations with the surface In the absence of such encapsulation, surface diffusion allows the core of the dislocation to open at the surface thus reducing the energy associated with the dislocation and preventing it from bending away from the surface
WASH_4640436 1 §2 Atty. Dkt. No. 048630-0131
[0195] Optionally the implantation process is selected to be one that induces a nanostructured near-surface region with localized voids, with a characteristic length scale between 1 nm and 100 ran, such as between 5 ran and 30 nm. Alternatively the nanostructure can be induced using etching techniques such as anodic wet chemical etching or reactive ion etching (RIE). This nanostructure can function to induce bending and annihilation of threading dislocations. Furthermore during the subsequent epitaxial growth, the presence of nanostructure at the surface can result in lateral overgrowth processes occurring on a nanometer scale. Such lateral overgrowth processes can effectively mitigate the propagation of threading dislocations into the epitaxially grown material. Optionally the nanostructure is induced by a combination of ion implantation and etching techniques. For example ion implantation techniques and optionally layer exfoliation as described above can be used to induce a near surface structure comprising a matrix of point defects and extended defects, and optionally voids, on length scales below 100 ran, and the resulting near surface structure can be subjected to etching such as by wet etching, anodic wet etching, or dry etching, optionally using an etching mask. By using a combination of ion implantation and etching techniques as described, a greater variety of nanostructure can be accessed compared to using either technique alone. For example wet etching is known to induce structure that is predominately columnar in nature, so that relatively little structural variation is induced along the direction perpendicular to the plane of the surface. By using ion implantation to induce defects and damage prior to chemical etching, the chemical etching can proceed along directions in the plane of the surface, so that the resulting structural variations are induced in directions perpendicular and parallel to the plane of the surface. It is anticipated that such enhanced dimensionality of the structural variations can result in more effective blocking of the propagation of threading dislocations as compared to a structure having structural variations confined predominantly along directions parallel to a single plane. Optionally the structure also comprises an epitaxial layer or layers deposited on the transferred layer, wherein a density of defects present in the epitaxial layer or layers is lower than a density of defects present in the source material from which the transfer layer was obtained. The epitaxial layer or layers deposited on the substantially single-crystalline but highly defective transfer layer may be used to form devices on the structure, such as light emitting diodes (LEDs), laser diodes (LDs), and transistor devices such as high electron mobility tranasistors (HEMTs). It is
WASH_4640436.1 g3 Atty Dkt. No. 048630-0131
understood that these and other grown device structures can be made on all subsequent embodiments described below
[0196] Although a specific embodiment has been described, it is understood that the invention can be applied to the reduction of defects in epitaxial material grown on other single-crystal materials, such as silicon, gallium arsenide, silicon germanium, indium phosphide, silicon carbide or other materials used in the growth of semiconductor materials The structure compπses a substantially single crystal but highly defective layer of thickness between 10 nm and 5 microns, where the defective structure compπses a dense matπx of point defects or extended defects that induce strain field vaπations such as oscillations in the strain field magnitude or polarity on length scales smaller than 100 nm. Optionally the defects can comprise nano structures such as density vaπations or voids that have characteπstic length scales less than 100 nm. The defect structure has the property that it effectively blocks the propagation of at least a majority of the dislocations, such as at least 50% of the threading dislocations, for example between 50 and 100%, such as 60 to 90% of the threading dislocations, to the surface of the layer or into a semiconductor material that is epitaxially grown on the layer The term "substantially single crystalline" means a single crystalline region that contains a defect structure created by the ion implantation. For the embodiment where a thin layer of material is transferred to a support substrate, the structure compπses the substantially single crystal but highly defective layer descπbed, wherein the layer can comprise GaN, other lll-nitπde, silicon, gallium arsenide, indium phosphide, silicon carbide or other material, attached to a support substrate compπsing a polycrystalline AlN, silicon, refractory metal, or other suitable support substrate. Optionally the structure also compπses a bonding layer, diffusion barrier layer, or a plurality of such layers as described earlier. In another embodiment the structure comprises the substantially single crystal but highly defective layer described above, wherein the layer is formed within a first epitaxial layer of semiconductor mateπal that has been grown on a substrate whose composition differs from that of the epitaxial layer. For example the substantially single crystal but highly defective layer can be formed within a first epitaxial layer of silicon germanium grown on a silicon substrate, a first epitaxial layer of gallium arsenide layer grown on a silicon or germanium substrate, a first epitaxial layer of gallium nitπde grown on a sapphire, silicon, or silicon carbide
WASH_4640436 1 g4 Atty. Dkt. No. 048630-0131
substrate, or other combination of compound or elemental semiconductor grown on a semiconductor or ceramic substrate. Optionally the structure also comprises a second epitaxial layer deposited on the first epitaxial layer that has a substantially lower density of defects than a density of defects present in the first epitaxial layer prior to the formation of the substantially single crystal but highly defective layer. In another embodiment, the structure comprises the substantially single crystal but highly defective layer described wherein the layer is formed within a surface and near- surface portion of a bulk semiconductor substrate, such as a bulk substrate of GaN, AlN, InN, InGaN, or other Ill-nitride material, or any bulk semiconductor substrate material. Optionally the structure also comprises an epitaxial layer deposited on the surface of the bulk semiconductor substrate wherein a density of defects present in the epitaxial layer is substantially lower than a density of defects present in the bulk semiconductor substrate prior to the formation of the substantially single crystal but highly defective layer. The epitaxial layer may comprise a Ill-nitride layer in which large-area plan-view cathodoluminescence measurements do not reveal any optically active threading dislocations and having a defect density was below 2X104 cm"2, such as IXlO4 cm"2 to IXlO3 cm"2 for example.
[01971 Specific Implementation of Ion-Beam Modified Source Material
[0198] In one specific implementation, a gallium nitride source wafer comprising a substrate of freestanding GaN formed using HVPE, and having a defect density between IXlO6 cm"2 and 3X107 cm" was implanted with an exfoliating dose of H and He ions. The exfoliating dose comprised a dose of 2X1017 cm"2 of He ions implanted with an implantation energy of 150 keV followed by a dose of 2X1017 cm'2 of H ions with implantation energy of 100 keV. The implanted surface was then bonded to the bonding surface of a poly-crystal aluminum nitride support substrate, where the bonding surface comprised an approximately 1 micron thick layer of PECVD silicon dioxide deposited using TEOS precursor. The bonded stack was heated in vacuum under a mechanically applied pressure of approximately 7 MPa to a temperature of 2000C for 2 hours in order to strengthen the bonded interface and then heated to 6000C for 1 hour in order to induce transfer of a substantially single crystal but highly defective layer of GaN from the source wafer to the support substrate, forming a composite substrate comprised of a substantially single crystal but highly defective
WASI-L464Q436.1 g5 Atty. Dkt. No. 048630-0131
layer of GaN on poly-crystal aluminum nitride. The thickness of the transferred GaN layer was 690 ran as measured by ellipsometry. Following the transfer process, the composite substrate was annealed at 7000C in nitrogen ambient for 30 minutes in order to stabilize the bonding interface. ICP RIE comprising a mixture of chlorine and nitrogen was used to thin the transferred GaN layer to a thickness of 430 nm. The thinned transfer layer was then encapsulated with a 250 nm thick PECVD silicon nitride film and annealed at 7000C for 30 minutes in nitrogen ambient. The composite substrate was then annealed for an additional 30 min at a temperature of 11000C in an ambient comprising hydrogen and ammonia. Following this annealing step, ICP RIE comprising carbon tetra fluoride (CF4) and oxygen was used to remove the silicon nitride encapsulation layer, and ICP RIE comprising chlorine and nitrogen was used to thin the transferred GaN layer to 200 nm. The residual in-plane strain in the transferred GaN layer was less than 0.3% compressive as determined by X-ray diffraction measurements. The composite substrate so prepared was transferred to an MOCVD growth chamber and heated to 110OC under ammonia and hydrogen ambient. An 800 nm thick layer of epitaxial GaN was then deposited at a rate of 0.9 microns/hour using trimethyl gallium precursor, before cooling the substrate to room temperature. The epitaxial layer quality was studied by atomic force microscopy (AFM), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and cathodoluminescence (CL). AFM and SEM revealed a smooth growth surface having well-defined atomic steps. No dislocations were identified in plan- view and cross-sectional TEM images of the layer, which implied that the defect density was below 5X106 cm"2. Large-area plan- view CL measurements also did not reveal any optically active threading dislocations, indicating that the defect density was below 2X10 cm"2. It is believed that this dislocation density is lower than that of any GaN produced by HVPE, MOCVD or other epitaxial growth techniques known in the art prior to the present invention. CL measurements were also performed on a bulk GaN substrate from the same manufacturer and of the same specification as the GaN substrate from which the GaN layer was transferred. The threading dislocation density for this bulk GaN substrate was found to be 3X105 cm"2 according to CL, which is consistent with the manufacturer's specification. Thus using the specific implementation described, the inventors have demonstrated that the threading dislocation density in an epitaxial GaN layer grown on a composite substrate, can be reduced at least two orders of magnitude compared to the threading dislocation
WASI-L4640436.1 gg Atty. Dkt. No. 048630-0131
density present in the bulk GaN substrate which provided the GaN transfer layer for the composite substrate. The invention therefore provides a method of obtaining a layer of semiconductor material having a substantially lower defect density than the semiconductor material used in its fabrication. Furthermore the invention provides a method of obtaining GaN material having a substantially lower defect density than any other epitaxial growth method known in the art prior to the invention.
[01991 Ion-Beam-Modified Source Material without Layer Transfer
[0200] As described above, it is a further embodiment of this invention that a substantial reduction in a defect density can be achieved in an epitaxial GaN layer grown on a bulk GaN substrate or grown on a first epitaxial GaN layer that has been grown on another substrate such as sapphire, by implanting the bulk GaN substrate or first epitaxial GaN layer and then performing annealing and damage removal steps similar to those described above for the manufacture of the composite substrate. The specific parameters of the implantation, annealing, and damage removal steps can be chosen so that the resulting surface and near surface regions of the bulk GaN substrate or first epitaxial GaN layer in this embodiment are similar to the corresponding regions of the transferred GaN layer of the composite substrate embodiment previously described. Optionally a combination of ion implantation and chemical etching can be used to induce nanostructure as described above, for example ion implantation and optionally layer exfoliation followed by chemical etching such as anodic wet etching. In the case of an ion-beam-modified bulk GaN substrate the structure comprises a bulk GaN substrate at least 50 microns thick with a substantially single crystal but highly defective layer of GaN with a minimal density of threading dislocations intersecting the exposed surface of the GaN substrate on which epitaxial GaN is to be grown. Optionally the structure also comprises an epitaxial layer deposited on the surface of the bulk semiconductor substrate wherein a density of defects present in the epitaxial layer is substantially lower than a density of defects present in the bulk semiconductor substrate prior to the formation of the substantially single crystal but highly defective layer. In the case of an ion-beam-modified first epitaxial GaN layer that has been grown on another substrate such as sapphire the structure comprises the first epitaxial GaN film of at least 20 nm thickness with a substantially single crystal but highly defective layer of GaN of at least 10 nm
WASH_4640436.1 37 Atty. Dkt. No. 048630-0131
thickness with a minimal density of threading dislocations intersecting the exposed surface of the GaN film on which epitaxial GaN is to be grown. Optionally the structure also comprises a second epitaxial layer or layers deposited on the surface of the ion-beam-modified first epitaxial layer wherein a density of defects present in the second epitaxial layer or layers is substantially lower than a density of defects present in the first epitaxial layer prior to the formation of the substantially single crystal but highly defective layer. For the implantation step, creation of these comparable regions can be accomplished with either a dose that leads to exfoliation or a lower, near-surface dose that is insufficient to induce exfoliation but is selected to induce a substantially single crystal but highly defective layer similar to the composite substrates described above. Such engineering is easily accomplished by one skilled in the art and the steps one would employ to develop this process are described below.
[0201] A process, by which the desired surface and near-surface structure for low- defect density epitaxial growth of GaN can be obtained, is now described.
[0202] If an implantation that leads to exfoliation of the implanted GaN layer is chosen, the implantation species comprise light elements such as ions of H, He or combinations thereof. The total implantation dose for the combination of species used is selected such that exfoliation occurs at a temperature between 1000C and 10000C such as between 2000C and 8000C. This can be achieved if the total dose is between IXlO17 cm"2 and IXlO18 cm"2 such as between 2X1017 cm"2 of 5X1017 cm"2. The energy of the implantation is selected so that the thickness of the exfoliated layer is between 100 run and 2000 nm thick and is dependent on the species used. The implantation energy falls in the range of between 25 keV and 500 keV.
[0203] If an implantation that does not lead to exfoliation is chosen, the implantation species can be the same as those used for the exfoliating implant, or they can be heavier species. In either case a lower dose is used for the non-exfoliating implant. If the implanted species comprise H, He or other light elements, then the dose can be selected to be between 5% and 90% of the exfoliating implant. If heavier species such as Ar or Ne are used, the dose and energy can be selected using a common simulation program such as SRIM familiar to those skilled in the art. The simulation can be used to scale the new implant dose to result in a defect density similar to that produced in the transferred film in the previously described composite substrate
WASH 4640436.1 Atty Dkt No 048630-0131
formed by implantation of a GaN source wafer with 2X1017 cm 2 He ions at 150keV followed by 2X1017 cm 2 H ions at 100keV. This approach results in an implant dose between IXlO14 cm 2 and IXlO17 cm 2 depending on the ion species. The energy and species of the implant is selected to minimize cost and optimize the damage in the region near the surface The peak of the implantation damage is chosen to occur at a position between 10 nm and 1000 nm from the surface, for example between 100 nm and 500 nm from the surface Typical energies range from 25 keV to 500 keV depending on the ion species and the desired damage depth Candidate implantation species can be any ion and compπse but are not limited to light ions such as H and He, noble gas ions such as Ne, Ar, Kr, and Xe, typical III-nitπde dopants such as Mg and Si, and III-nitπde semiconductor constituents such as N, Al, Ga, and In Cross- sectional TEM, Rutherford backscatteπng (RBS), and assessment of GaN grown on ion-beam-modified mateπals using TEM and CL along with other characteπzation methods known to those skilled in the art can be used to refine the non-exfoliating ion implantation process
[0204] Because the damage creation process does not require precise control of the location or doping level, non-conventional ion sources such as Plasma immersion ion implantation (PIII), Kaufman ion sources, and other equipment capable of accelerating and directing an ion beam to a surface can be used to create the ion- induced damage in GaN.
[0205] If an exfoliating implantation dose and energy are selected, then the implanted GaN layer must be exfoliated pnor to growth in order to expose the underlying damaged near surface region Exfoliation of the implanted layer can be achieved by annealing the implanted mateπal to a temperature above the exfoliation temperature Optionally the annealing is earned out in nitrogen or other inert gas ambient or in hydrogen or ammonia ambient. The exfoliation temperature depends on the species and dose selected For example, if the implanted species are a combination of He and H then a total dose between 3X1017 cm 2 and 5X1017 cm 2 can be found for which the exfoliation temperature is between 2000C and 8000C It is sometimes found that the implanted layer does not exfoliate completely but rather small unexfohated regions can remain attached to the source substrate In such cases it can be beneficial to provide an overlayer pnor to the exfoliation step The overlayer matenal bonds to the
WASH_4640436 1 g9 Atty Dkt No 048630-0131
implanted GaN layer and holds it together during the exfoliation step Optionally the overlayer material can be selected to induce additional mechanical strain during the annealing step due to thermal expansion mismatch or densification effects, so that the propensity of the implanted layer to exfoliate is enhanced. Suitable overlayer materials compπse deposited dielectrics such as silicon nitride and silicon dioxide, polymer materials such as SU8, or any material that can bond effectively to the GaN surface and that can maintain mechanical integrity in the annealing ambient and at the annealing temperature Alternatively bonding via a metallic bonding layer to a sacrificial substrate can be used to uniformly exfoliate the GaN film. Other methods known to those skilled in the art can be used to improve the uniformity of the exfoliated GaN overlayer and thus improve the uniformity of the exposed ion-beam- modified GaN layer.
[0206] Following the implantation step or optionally following the exfoliation step if it is used, the film can optionally be thinned using chemical or dry etching techniques such as ICP-RIE, in order to remove some of the implantation-damaged region. The amount of material removed during this step can be selected to optimize the effectiveness of the subsequent encapsulated annealing step in reducing the density of threading dislocations that intersect the surface.
[0207] Pπor to the high temperature annealing treatment, an encapsulation layer can be provided to the implanted surface of the GaN mateπal. The encapsulation layer can serve to prevent decomposition of the GaN surface during the annealing treatment, and can also act to enhance the dislocation reduction process as descπbed earlier. Suitable encapsulation layer materials comprise deposited dielectrics such as PECVD silicon nitride, LPCVD silicon mtπde, PECVD silicon dioxide, PECVD SiC, or other materials selected for their high temperature stability, adhesion to GaN, ease of removal, and cost For example, a nitrogen-rich PECVD silicon nitride having a thickness between 50 nm and 500 nm can be used.
[0208] In order to facilitate interactions between the pre-existing threading dislocations and the implantation-induced defects as described above, the implanted layer is optionally annealed to a temperature between 6000C and 1400°C, such as between 800°C and 12000C for a duration between 1 mm and 24 hours, such as between 30 min and 3 hours, in order to facilitate the motion of the dislocations in the
WASH 4640436 1 90 Atty. Dkt. No. 048630-0131
material. Optionally an ambient comprising an inert gas, nitrogen, hydrogen, ammonia, or ammonia and nitrogen or hydrogen is provided.
[0209] Following the annealing step above, the encapsulation layer, if provided, can be removed using dry etching such as ICP-RIE, wet chemical etching, or CMP. A portion of the implanted and annealed GaN near-surface region can optionally be removed using ICP-RIE, wet chemical, CMP or other means. For example ICP-RIE comprising oxygen and CF4 can be used to remove a silicon nitride encapsulation layer, and ICP-RIE comprising chlorine and nitrogen can be used to thin the implanted GaN region.
[0210] Optionally the exposed GaN surface can be patterned or masked prior to growth, for example by ICP-RIE or wet chemical etching through a patterned mask, or deposition of a silicon dioxide or silicon nitride layer followed by patterning, using techniques known in the art. Optionally the encapsulation layer if provided and not removed, can be patterned to form a growth mask. Such a growth mask or patterned surface can facilitate further reduction in the defect density in the epitaxial GaN layer grown on the implanted GaN, and it can also facilitate the reduction of any residual strain in the implanted GaN template resulting from the implantation process.
[0211] While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that changes and modifications may be made without departing from the invention in its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as fall within the true spirit and scope of this invention. All patents, published applications and articles mentioned herein are incorporated by reference in their entirety. U.S. provisional applications having the following serial numbers are incorporated herein by reference in their entirety: 60/654,523, filed February 18, 2005, 60/657,385 filed March 2, 2005, 60/673,367 filed on April 21 , 2005, 60/682,823 filed on May 20, 2005, 60/700,357 filed on July 19, 2005, 60/703,889 filed on August 01 , 2005, 60/71 1,416 filed on August 26, 2005, 60/751,308 filed on December 19, 2005 and 60/762,490 filed on January 27, 2006. U.S. application serial number 11/408,239 filed on April 21, 2006 is also incorporated herein by reference in its entirety. Any features, materials and techniques of any
WASH_4640436.1 g\ Atty. Dkt. No. 048630-0131
embodiment described above may be used in combination with any other features, materials and/or techniques of one or more other embodiments described above.
WASH 4640436.1 92

Claims

CLAIMS:
1. A method comprising: patterning a source substrate; forming a weak interface in the source substrate; bonding the source substrate to a handle substrate; and exfoliating a thin layer from the source substrate such that the thin layer remains bonded to the handle substrate; wherein the thin layer comprises a pattern corresponding to the patterning of the source substrate.
2. The method of claim 1 , wherein patterning the source substrate comprises patterning a bonding layer on the source substrate.
3. The method of claim 1, wherein patterning the source substrate comprises directly patterning an exposed surface of the source substrate.
4. The method of any preceding claim, wherein patterning the source substrate comprises forming one or more etched trenches.
5. The method of any preceding claim, wherein patterning the source substrate comprises forming a grid of etched trenches.
6. The method of claim 4 or claim 5, wherein the etched trenches provide local relaxation of the stress and strain caused by CTE-mismatch between the thin layer and the handle substrate.
7. The method of claim 6, further comprising epitaxially growing a single crystal compound semiconductor layer on the thin layer.
8. The method of claim 7, wherein cracking and buckling in the single crystal compound semiconductor layer occurs preferentially in regions overlaying the trenches.
93
WASH 6218400.1
9. The method of claim 8, further comprising: forming device layers of a semiconductor light-emitting device or a transistor over the compound semiconductor layer; wherein the step of forming the device layers comprises locating the light-emitting device or the transistor away from the regions overlaying the trenches.
10. The method of any of claims 7, 8, or 9, wherein: the compound semiconductor layer comprises a single crystal Ill-nitride layer; and a coefficient of thermal expansion of the handle substrate is closely matched to a coefficient of thermal expansion of the Ill-nitride layer.
11. A method of making an intermediate substrate, comprising: forming a weak interface in a source substrate; bonding the source substrate to a handle substrate; exfoliating a thin layer from the source substrate such that the thin layer remains bonded to the handle substrate; capping the thin layer bonded to the handle substrate; and after capping the thin layer, annealing the thin layer.
12. The method of claim 11 , wherein annealing the thin layer comprises reducing compressive strain in the thin layer.
13. The method of claim 11 or claim 12, wherein the step of capping comprises forming a dielectric capping material over the thin layer.
14. The method of claim 13, wherein the capping material comprises at least one material selected from silicon nitride or silicon dioxide.
15. The method of any of claims 11, 12, 13, or 14, wherein capping the thin layer comprises depositing a layer of capping material with a thickness large enough to protect a surface of the
94
WASH 6218400.1 thin layer during annealing and small enough to avoid cracking of the capping material during annealing.
16. The method of claim 15, wherein the thickness of the capping material is in a range of 50 nm to 400 nm.
17. The method of any preceding claim, wherein the handle substrate comprises a metal or a metal alloy substrate.
18. The method of any preceding claim, wherein the handle substrate comprises a Mo or Mo alloy substrate.
19. The method of any preceding claim, wherein the handle substrate comprises polycrystalline aluminum nitride or polycrystalline silicon carbide.
20. The method of any preceding claim, wherein the thin layer comprises a single crystal layer.
21. The method of any preceding claim, wherein the thin layer comprises a single crystal GaN, Si (111) Or SiC layer.
22. The method of any preceding claim wherein bonding the source substrate to the handle substrate comprises providing a metallic bonding layer on a bonding surface of the thin layer, a bonding surface of the handle substrate, or the bonding surfaces of both the thin layer and the handle substrate.
23. The method of any preceding claim wherein the metallic bonding layer comprises at least one material selected from Cu, Ni or a eutectic alloy material.
95
WAS I-L6218400.1
24. The method of claim 22 or 23, further comprising providing an adhesion layer between the bonding layer and the bonding surface of the thin layer or the bonding surface of the support substrate.
96
WASH 62184QQ.1
PCT/US2009/051546 2008-07-24 2009-07-23 Bonded intermediate substrate and method of making same WO2010011842A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/012,336 US20110117726A1 (en) 2008-07-24 2011-01-24 Bonded intermediate substrate and method of making same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/178,838 2008-07-24
US12/178,838 US20090278233A1 (en) 2007-07-26 2008-07-24 Bonded intermediate substrate and method of making same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/178,838 Continuation US20090278233A1 (en) 2007-07-26 2008-07-24 Bonded intermediate substrate and method of making same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/012,336 Continuation US20110117726A1 (en) 2008-07-24 2011-01-24 Bonded intermediate substrate and method of making same

Publications (2)

Publication Number Publication Date
WO2010011842A2 true WO2010011842A2 (en) 2010-01-28
WO2010011842A3 WO2010011842A3 (en) 2010-03-18

Family

ID=41174544

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/051546 WO2010011842A2 (en) 2008-07-24 2009-07-23 Bonded intermediate substrate and method of making same

Country Status (2)

Country Link
US (2) US20090278233A1 (en)
WO (1) WO2010011842A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9058990B1 (en) 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8507361B2 (en) 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US7417266B1 (en) 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
JP5023318B2 (en) * 2005-05-19 2012-09-12 国立大学法人三重大学 3-5 nitride semiconductor multilayer substrate, 3-5 nitride semiconductor free-standing substrate manufacturing method, and semiconductor device
WO2006126525A1 (en) * 2005-05-23 2006-11-30 Neomax Materials Co., Ltd. Cu-Mo SUBSTRATE AND METHOD FOR PRODUCING SAME
TWI451597B (en) * 2010-10-29 2014-09-01 Epistar Corp Optoelectronic device and method for manufacturing the same
US9530940B2 (en) 2005-10-19 2016-12-27 Epistar Corporation Light-emitting device with high light extraction
WO2008080148A2 (en) * 2006-12-21 2008-07-03 Doheny Eye Institute Disposable vitrectomy handpiece
JP4321595B2 (en) * 2007-01-23 2009-08-26 住友電気工業株式会社 Method for producing group III-V compound semiconductor substrate
KR100893360B1 (en) * 2008-05-02 2009-04-15 (주)그랜드 텍 A formation method of buffer layer for gan single crystal
JP5408477B2 (en) * 2008-05-13 2014-02-05 株式会社リコー Surface emitting laser element, surface emitting laser array, optical scanning device, and image forming apparatus
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8852378B2 (en) * 2008-07-15 2014-10-07 Corporation For National Research Initiatives Tailorable titanium-tungsten alloy material thermally matched to semiconductor substrates and devices
DE102008035816B4 (en) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Increase performance in PMOS and NMOS transistors by using an embedded deformed semiconductor material
JP2010045156A (en) * 2008-08-12 2010-02-25 Toshiba Corp Method of producing semiconductor device
US8946736B2 (en) 2010-10-29 2015-02-03 Epistar Corporation Optoelectronic device and method for manufacturing the same
US9070827B2 (en) 2010-10-29 2015-06-30 Epistar Corporation Optoelectronic device and method for manufacturing the same
US8568959B2 (en) * 2008-10-03 2013-10-29 International Business Machines Corporation Techniques for reducing degradation and/or modifying feature size of photomasks
US8551862B2 (en) 2009-01-15 2013-10-08 Shin-Etsu Chemical Co., Ltd. Method of manufacturing laminated wafer by high temperature laminating method
KR100931483B1 (en) * 2009-03-06 2009-12-11 이정훈 Light emitting device
JP2011029574A (en) * 2009-03-31 2011-02-10 Toyoda Gosei Co Ltd Method for producing group iii nitride-based compound semiconductor device
DE212010000149U1 (en) * 2009-09-18 2012-05-09 Mercedes Textiles Ltd. Clearly visible locking lever for fire hose couplings
US8605766B2 (en) 2009-10-13 2013-12-10 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a mach zehnder modulator
US11181688B2 (en) 2009-10-13 2021-11-23 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8615025B2 (en) * 2009-10-13 2013-12-24 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser
US8630326B2 (en) 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US9316785B2 (en) 2013-10-09 2016-04-19 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US8867578B2 (en) 2009-10-13 2014-10-21 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser for a cable TV transmitter
US8559470B2 (en) 2009-10-13 2013-10-15 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a phase modulator
US9882073B2 (en) 2013-10-09 2018-01-30 Skorpios Technologies, Inc. Structures for bonding a direct-bandgap chip to a silicon photonic device
US8368995B2 (en) 2009-10-13 2013-02-05 Skorpios Technologies, Inc. Method and system for hybrid integration of an opto-electronic integrated circuit
FR2953328B1 (en) * 2009-12-01 2012-03-30 S O I Tec Silicon On Insulator Tech HETEROSTRUCTURE FOR ELECTRONIC POWER COMPONENTS, OPTOELECTRONIC OR PHOTOVOLTAIC COMPONENTS
US9012253B2 (en) * 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
US8748288B2 (en) * 2010-02-05 2014-06-10 International Business Machines Corporation Bonded structure with enhanced adhesion strength
JP5652742B2 (en) * 2010-02-12 2015-01-14 日本電気硝子株式会社 Tempered plate glass and manufacturing method thereof
JP5644129B2 (en) * 2010-02-12 2014-12-24 日本電気硝子株式会社 Tempered plate glass and manufacturing method thereof
WO2011109811A2 (en) * 2010-03-05 2011-09-09 Alta Devices, Inc. Substrate clean solution for copper contamination removal
EP2372755B1 (en) * 2010-03-31 2013-03-20 EV Group E. Thallner GmbH Method for permanently connecting two metal surfaces
US9558954B2 (en) * 2010-04-22 2017-01-31 Luminus Devices, Inc. Selective wet etching and textured surface planarization processes
KR101039880B1 (en) * 2010-04-28 2011-06-09 엘지이노텍 주식회사 Light emitting device, method for fabricating the same and light emitting device package
US8513120B2 (en) * 2010-04-29 2013-08-20 Medtronic, Inc. Gold-tin etch using combination of halogen plasma and wet etch
US8536022B2 (en) * 2010-05-19 2013-09-17 Koninklijke Philips N.V. Method of growing composite substrate using a relaxed strained layer
JP2012033689A (en) * 2010-07-30 2012-02-16 Sumitomo Electric Device Innovations Inc Manufacturing method of semiconductor device
US8563334B2 (en) * 2010-09-14 2013-10-22 Tsmc Solid State Lighting Ltd. Method to remove sapphire substrate
FR2967812B1 (en) * 2010-11-19 2016-06-10 S O I Tec Silicon On Insulator Tech ELECTRONIC DEVICE FOR RADIOFREQUENCY OR POWER APPLICATIONS AND METHOD OF MANUFACTURING SUCH A DEVICE
US8476146B2 (en) * 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8735191B2 (en) 2012-01-04 2014-05-27 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding using pedestals
US8222084B2 (en) 2010-12-08 2012-07-17 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding
US8859394B2 (en) 2012-01-18 2014-10-14 Skorpios Technologies, Inc. Vertical integration of CMOS electronics with photonic devices
US9922967B2 (en) 2010-12-08 2018-03-20 Skorpios Technologies, Inc. Multilevel template assisted wafer bonding
US8482103B2 (en) * 2010-12-09 2013-07-09 Industrial Technology Research Institute Nitride semiconductor template and fabricating method thereof
FR2971620A1 (en) * 2011-02-11 2012-08-17 Soitec Silicon On Insulator Fabricating epitaxial layer on semiconductor substrate in the fabrication of e.g. Schottky diode, by providing substrate, forming epitaxial layer in contact with seed layer epitaxy process, and adjusting lattice parameters of layers
US9184228B2 (en) * 2011-03-07 2015-11-10 Sumitomo Electric Industries, Ltd. Composite base including sintered base and base surface flattening layer, and composite substrate including that composite base and semiconductor crystalline layer
FI20115255A0 (en) * 2011-03-14 2011-03-14 Optogan Oy Composite semiconductor substrate, semiconductor device, and manufacturing process
US9269870B2 (en) * 2011-03-17 2016-02-23 Epistar Corporation Light-emitting device with intermediate layer
CN103597589B (en) * 2011-04-11 2017-02-15 北达科他州立大学研究基金会 Selective laser-assisted transfer of discrete components
TWI459592B (en) * 2011-04-26 2014-11-01 Univ Nat Chiao Tung A thin-film light-emitting diode with nano-scale epitaxial lateral growth and a method for fabricating the same
WO2012149514A2 (en) * 2011-04-29 2012-11-01 Amberwave, Inc. Thin film solder bond
US8912017B2 (en) * 2011-05-10 2014-12-16 Ostendo Technologies, Inc. Semiconductor wafer bonding incorporating electrical and optical interconnects
JP4989773B1 (en) * 2011-05-16 2012-08-01 株式会社東芝 Semiconductor light emitting device
US8623734B2 (en) * 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
CN102214753A (en) * 2011-06-02 2011-10-12 中国科学院半导体研究所 LED (light-emitting diode) with GaN (gallium nitride)-based vertical structure using grapheme film current extension layer
US20120252192A1 (en) * 2011-07-08 2012-10-04 Trustees Of Dartmouth College Method of growing heteroepitaxial single crystal or large grained semiconductor films on glass substrates and devices thereon
US8916455B2 (en) 2011-07-08 2014-12-23 Solar Tectic Llc Method of growing heteroepitaxial single crystal or large grained semiconductor films on glass substrates and devices thereon
RU2469433C1 (en) 2011-07-13 2012-12-10 Юрий Георгиевич Шретер Method for laser separation of epitaxial film or layer of epitaxial film from growth substrate of epitaxial semiconductor structure (versions)
WO2013011415A1 (en) * 2011-07-15 2013-01-24 Koninklijke Philips Electronics N.V. Method of bonding a semiconductor device to a support substrate
US9224904B1 (en) * 2011-07-24 2015-12-29 Ananda Kumar Composite substrates of silicon and ceramic
US9064980B2 (en) * 2011-08-25 2015-06-23 Palo Alto Research Center Incorporated Devices having removed aluminum nitride sections
US9977188B2 (en) 2011-08-30 2018-05-22 Skorpios Technologies, Inc. Integrated photonics mode expander
US9885832B2 (en) 2014-05-27 2018-02-06 Skorpios Technologies, Inc. Waveguide mode expander using amorphous silicon
US9097846B2 (en) * 2011-08-30 2015-08-04 Skorpios Technologies, Inc. Integrated waveguide coupler
US9653313B2 (en) * 2013-05-01 2017-05-16 Sensor Electronic Technology, Inc. Stress relieving semiconductor layer
US10032956B2 (en) 2011-09-06 2018-07-24 Sensor Electronic Technology, Inc. Patterned substrate design for layer growth
JP2014532307A (en) * 2011-09-30 2014-12-04 マイクロリンク デバイシズ,インコーポレーテッド Light emitting diodes manufactured by epitaxial lift-off
US9755023B2 (en) * 2011-09-30 2017-09-05 The University Of Kentucky Research Foundation Photoelectrochemical cell including Ga(Sbx)N1-x semiconductor electrode
JP5724819B2 (en) * 2011-10-17 2015-05-27 日立金属株式会社 Nitride semiconductor growth substrate and manufacturing method thereof, nitride semiconductor epitaxial substrate, and nitride semiconductor device
JP2013089741A (en) * 2011-10-18 2013-05-13 Renesas Electronics Corp Semiconductor device, semiconductor substrate, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
US20130099277A1 (en) * 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
US8646505B2 (en) 2011-11-18 2014-02-11 LuxVue Technology Corporation Micro device transfer head
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) * 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
CN104011852B (en) * 2011-12-20 2016-12-21 株式会社东芝 Ceramic copper circuit substrate and the semiconductor device employing Ceramic copper circuit substrate
FR2985853B1 (en) * 2012-01-16 2015-03-06 Soitec Silicon On Insulator METHODS OF MAKING SEMICONDUCTOR STRUCTURES USING THERMAL SPRAY PROCESSES, AND SEMICONDUCTOR STRUCTURES MANUFACTURED USING SAID METHODS
WO2013093590A1 (en) * 2011-12-23 2013-06-27 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US20130160702A1 (en) * 2011-12-23 2013-06-27 Soitec Methods of growing iii-v semiconductor materials, and related systems
US9269876B2 (en) * 2012-03-06 2016-02-23 Soraa, Inc. Light emitting diodes with low refractive index material layers to reduce light guiding effects
US9202983B2 (en) 2012-03-16 2015-12-01 Epistar Corporation Light-emitting device
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9450152B2 (en) * 2012-05-29 2016-09-20 Micron Technology, Inc. Solid state transducer dies having reflective features over contacts and associated systems and methods
US9312303B2 (en) * 2012-06-14 2016-04-12 Epistar Corporation Light-emitting device and method for manufacturing the same
WO2014054428A1 (en) * 2012-10-01 2014-04-10 シャープ株式会社 Semiconductor device
JP6322890B2 (en) 2013-02-18 2018-05-16 住友電気工業株式会社 Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device
US9917004B2 (en) 2012-10-12 2018-03-13 Sumitomo Electric Industries, Ltd. Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device
US8828762B2 (en) 2012-10-18 2014-09-09 International Business Machines Corporation Carbon nanostructure device fabrication utilizing protect layers
CN104756245B (en) * 2012-10-26 2017-09-22 Rfhic公司 The semiconductor devices and its manufacture method of reliability and working life with raising
US8841177B2 (en) 2012-11-15 2014-09-23 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
CN104995713A (en) 2013-02-18 2015-10-21 住友电气工业株式会社 Group iii-nitride composite substrate and method of producing same, layered group iii-nitride composite substrate, as well as group iii-nitride semiconductor device and method of producing same
US8796082B1 (en) * 2013-02-22 2014-08-05 The United States Of America As Represented By The Scretary Of The Army Method of optimizing a GA—nitride device material structure for a frequency multiplication device
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US10060049B2 (en) * 2013-03-14 2018-08-28 Roger Ian Lounsbury Method of making a joint between sapphire parts
US9096050B2 (en) * 2013-04-02 2015-08-04 International Business Machines Corporation Wafer scale epitaxial graphene transfer
JP6239735B2 (en) * 2013-05-01 2017-11-29 センサー エレクトロニック テクノロジー インコーポレイテッド Semiconductor layer to relieve stress
US10460952B2 (en) * 2013-05-01 2019-10-29 Sensor Electronic Technology, Inc. Stress relieving semiconductor layer
US20160172327A1 (en) * 2013-06-21 2016-06-16 University Of Connecticut Low-Temperature Bonding and Sealing With Spaced Nanorods
WO2015013556A2 (en) * 2013-07-25 2015-01-29 The Trustees Of Dartmouth College Systems and methods using metal nanostructures in spectrally selective absorbers
JP6052420B2 (en) * 2013-08-27 2016-12-27 富士電機株式会社 Manufacturing method of semiconductor device
GB201319117D0 (en) * 2013-10-30 2013-12-11 Element Six Technologies Us Corp Semiconductor device structures comprising polycrystalline CVD Diamond with improved near-substrate thermal conductivity
KR102086360B1 (en) * 2013-11-07 2020-03-09 삼성전자주식회사 Method for forming an electrode on n-type nitride semiconductor, nitride semiconductor device and method for manufacutring the same
JP6282094B2 (en) * 2013-11-27 2018-02-21 キヤノン株式会社 Surface emitting laser and optical coherence tomography using the same
US9664855B2 (en) 2014-03-07 2017-05-30 Skorpios Technologies, Inc. Wide shoulder, high order mode filter for thick-silicon waveguides
US10003173B2 (en) 2014-04-23 2018-06-19 Skorpios Technologies, Inc. Widely tunable laser control
US9787053B2 (en) * 2014-06-20 2017-10-10 PlayNitride Inc. Laser diode chip and flip chip type laser diode package structure
US9209142B1 (en) 2014-09-05 2015-12-08 Skorpios Technologies, Inc. Semiconductor bonding with compliant resin and utilizing hydrogen implantation for transfer-wafer removal
KR102248478B1 (en) 2014-09-18 2021-05-06 인텔 코포레이션 Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon cmos-compatible semiconductor devices
US10229991B2 (en) 2014-09-25 2019-03-12 Intel Corporation III-N epitaxial device structures on free standing silicon mesas
KR102333752B1 (en) 2014-11-18 2021-12-01 인텔 코포레이션 Cmos circuits using n-channel and p-channel gallium nitride transistors
EP3221885B1 (en) 2014-11-18 2019-10-23 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafer and a method of manufacturing
US10224233B2 (en) 2014-11-18 2019-03-05 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising a charge trapping layer formed by He-N2 co-implantation
US10601071B2 (en) 2014-12-02 2020-03-24 Polyplus Battery Company Methods of making and inspecting a web of vitreous lithium sulfide separator sheet and lithium electrode assemblies
US10147968B2 (en) 2014-12-02 2018-12-04 Polyplus Battery Company Standalone sulfide based lithium ion-conducting glass solid electrolyte and associated structures, cells and methods
US11749834B2 (en) 2014-12-02 2023-09-05 Polyplus Battery Company Methods of making lithium ion conducting sulfide glass
US10164289B2 (en) 2014-12-02 2018-12-25 Polyplus Battery Company Vitreous solid electrolyte sheets of Li ion conducting sulfur-based glass and associated structures, cells and methods
EP3235005A4 (en) 2014-12-18 2018-09-12 Intel Corporation N-channel gallium nitride transistors
USRE49869E1 (en) 2015-02-10 2024-03-12 iBeam Materials, Inc. Group-III nitride devices and systems on IBAD-textured substrates
MY188989A (en) 2015-02-10 2022-01-17 Ibeam Mat Inc Epitaxial hexagonal materials on ibad-textured substrates
US10243105B2 (en) 2015-02-10 2019-03-26 iBeam Materials, Inc. Group-III nitride devices and systems on IBAD-textured substrates
US10018579B1 (en) 2015-02-18 2018-07-10 Kla-Tencor Corporation System and method for cathodoluminescence-based semiconductor wafer defect inspection
EP4120320A1 (en) 2015-03-03 2023-01-18 GlobalWafers Co., Ltd. Charge trapping polycrystalline silicon films on silicon substrates with controllable film stress
US9829631B2 (en) 2015-04-20 2017-11-28 Skorpios Technologies, Inc. Vertical output couplers for photonic devices
KR102346591B1 (en) 2015-05-19 2022-01-04 인텔 코포레이션 Semiconductor Devices With Raised Doped Crystalline Structures
JP6533309B2 (en) 2015-06-01 2019-06-19 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Method of manufacturing multilayer structure
EP3314659A4 (en) * 2015-06-26 2019-01-23 INTEL Corporation Heteroepitaxial structures with high temperature stable substrate interface material
US9778183B2 (en) 2015-08-20 2017-10-03 Industrial Technology Research Institute Sensing chip
JP2017050316A (en) * 2015-08-31 2017-03-09 富士ゼロックス株式会社 Manufacturing method for light-emitting element
EP3378094B1 (en) 2015-11-20 2021-09-15 Globalwafers Co., Ltd. Manufacturing method of smoothing a semiconductor surface
US10658471B2 (en) 2015-12-24 2020-05-19 Intel Corporation Transition metal dichalcogenides (TMDCS) over III-nitride heteroepitaxial layers
US11848227B2 (en) 2016-03-07 2023-12-19 Globalwafers Co., Ltd. Method of manufacturing a semiconductor on insulator structure by a pressurized bond treatment
US11114332B2 (en) 2016-03-07 2021-09-07 Globalwafers Co., Ltd. Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof
WO2017155806A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a plasma oxide layer and method of manufacture thereof
US10373830B2 (en) 2016-03-08 2019-08-06 Ostendo Technologies, Inc. Apparatus and methods to remove unbonded areas within bonded substrates using localized electromagnetic wave annealing
EP3219832B1 (en) * 2016-03-16 2020-06-24 Thorlabs Inc. Method for manufacturing direct-bonded optical coatings
WO2017197039A1 (en) 2016-05-10 2017-11-16 Polyplus Battery Company Solid-state laminate electrode assemblies and methods of making
WO2017214084A1 (en) 2016-06-08 2017-12-14 Sunedison Semiconductor Limited High resistivity single crystal silicon ingot and wafer having improved mechanical strength
CN114256068A (en) * 2016-06-14 2022-03-29 克罗米斯有限公司 Engineered substrate structures for power and radio frequency applications
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
US10510582B2 (en) * 2016-06-14 2019-12-17 QROMIS, Inc. Engineered substrate structure
US10269617B2 (en) 2016-06-22 2019-04-23 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising an isolation region
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
US10186630B2 (en) * 2016-08-02 2019-01-22 QMAT, Inc. Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
DE102016114550B4 (en) 2016-08-05 2021-10-21 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Component and method for manufacturing components
US10249786B2 (en) * 2016-11-29 2019-04-02 Palo Alto Research Center Incorporated Thin film and substrate-removed group III-nitride based devices and method
US10355120B2 (en) * 2017-01-18 2019-07-16 QROMIS, Inc. Gallium nitride epitaxial structures for power devices
US10622468B2 (en) 2017-02-21 2020-04-14 QROMIS, Inc. RF device integrated on an engineered substrate
US10600635B2 (en) * 2017-04-20 2020-03-24 Elyakim Kassel Method and apparatus for a semiconductor-on-higher thermal conductive multi-layer composite wafer
WO2018195702A1 (en) * 2017-04-24 2018-11-01 苏州晶湛半导体有限公司 Semiconductor structure, and manufacturing method of semiconductor structure
US11043465B2 (en) * 2017-05-11 2021-06-22 Sumitomo Electric Industries, Ltd. Semiconductor device
US10629950B2 (en) 2017-07-07 2020-04-21 Polyplus Battery Company Encapsulated sulfide glass solid electrolytes and solid-state laminate electrode assemblies
WO2019018386A1 (en) * 2017-07-19 2019-01-24 Polyplus Battery Company Solid-state laminate electrode assembly fabrication and making thin extruded lithium metal foils
US10868293B2 (en) 2017-07-07 2020-12-15 Polyplus Battery Company Treating sulfide glass surfaces and making solid state laminate electrode assemblies
US10862171B2 (en) 2017-07-19 2020-12-08 Polyplus Battery Company Solid-state laminate electrode assembly fabrication and making thin extruded lithium metal foils
CN107481982B (en) * 2017-08-08 2019-08-16 中国科学院半导体研究所 AlN substrate high efficiency and heat radiation HEMT device and preparation method thereof
US10840264B2 (en) 2017-09-28 2020-11-17 International Business Machines Corporation Ultra-thin-body GaN on insulator device
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
US10649148B2 (en) 2017-10-25 2020-05-12 Skorpios Technologies, Inc. Multistage spot size converter in silicon photonics
US10564356B2 (en) 2017-11-16 2020-02-18 Samsung Electronics Co., Ltd. Heterogeneous integrated circuit for short wavelengths
US11393765B2 (en) 2017-11-16 2022-07-19 Samsung Electronics Co., Ltd. Heterogeneous integrated circuit for short wavelengths
FR3074608B1 (en) * 2017-12-05 2019-12-06 Soitec PROCESS FOR THE PREPARATION OF A RESIDUE OF A DONOR SUBSTRATE, SUBSTRATE OBTAINED AT THE END OF THIS PROCESS, AND USE OF SUCH A SUBSTRATE
US10504716B2 (en) * 2018-03-15 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor device and manufacturing method of the same
EP4210092A1 (en) 2018-06-08 2023-07-12 GlobalWafers Co., Ltd. Method for transfer of a thin layer of silicon
US11011671B2 (en) * 2018-06-14 2021-05-18 Nichia Corporation Light emitting device
KR20210039438A (en) * 2018-08-09 2021-04-09 신에쓰 가가꾸 고교 가부시끼가이샤 Manufacturing method of GaN laminated substrate
US11365492B2 (en) * 2018-09-11 2022-06-21 Thorlabs, Inc. Substrate-transferred stacked optical coatings
TWI698915B (en) * 2019-01-18 2020-07-11 國立交通大學 Process method for heterogeneous epitaxial semiconductor material on mica sheet
US11360263B2 (en) 2019-01-31 2022-06-14 Skorpios Technologies. Inc. Self-aligned spot size converter
US11127595B2 (en) * 2019-09-19 2021-09-21 Microsoft Technology Licensing, Llc Method for bonding a semiconductor substrate to a carrier
US11631889B2 (en) 2020-01-15 2023-04-18 Polyplus Battery Company Methods and materials for protection of sulfide glass solid electrolytes
CN113658849A (en) * 2021-07-06 2021-11-16 华为技术有限公司 Composite substrate, manufacturing method thereof, semiconductor device and electronic equipment
CN113658850A (en) * 2021-07-06 2021-11-16 华为技术有限公司 Composite substrate, manufacturing method thereof, semiconductor device and electronic equipment
CN114717660B (en) * 2022-04-06 2023-03-24 松山湖材料实验室 Aluminum nitride single crystal composite substrate and manufacturing method, application and stress and/or polarization control method thereof
CN115527836B (en) * 2022-09-28 2024-01-26 松山湖材料实验室 Preparation method of aluminum nitride composite substrate
CN115954378B (en) * 2023-03-15 2023-06-02 江西兆驰半导体有限公司 Gallium nitride power device and preparation method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005029576A2 (en) * 2003-02-18 2005-03-31 Corning Incorporated Glass-based soi structures
US20060071274A1 (en) * 2004-09-28 2006-04-06 International Business Machines Corporation Method and structure for bonded silicon-on-insulator wafer
US20060214225A1 (en) * 2005-03-24 2006-09-28 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
WO2006116030A2 (en) * 2005-04-21 2006-11-02 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20070175384A1 (en) * 2006-01-31 2007-08-02 Osram Opto Semiconductors Gmbh Method of fabricating a quasi-substarte wafer and semiconductor body fabricated using such a quasi-substarte wafer

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US449327A (en) * 1891-03-31 Centrifugal machine
US5217564A (en) * 1980-04-10 1993-06-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
FR2504522A1 (en) * 1981-04-24 1982-10-29 Inst Francais Du Petrole PROCESS FOR THE PURIFICATION OF A C4 AND / OR C5 CUT OF HYDROCARBONS CONTAINING WATER AND DIMETHYLETHER AS IMPURITIES
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US6067062A (en) * 1990-09-05 2000-05-23 Seiko Instruments Inc. Light valve device
US5090977A (en) * 1990-11-13 1992-02-25 Exxon Chemical Patents Inc. Sequence for separating propylene from cracked gases
FR2681472B1 (en) * 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5231047A (en) * 1991-12-19 1993-07-27 Energy Conversion Devices, Inc. High quality photovoltaic semiconductor material and laser ablation method of fabrication same
FR2697835B1 (en) * 1992-11-06 1995-01-27 Inst Francais Du Petrole Method and device for catalytic dehydrogenation of a C2 + paraffinic charge comprising means for inhibiting the water in the effluent.
US5336841A (en) * 1993-04-05 1994-08-09 Chemical Research & Licensing Company Oxygenate removal in MTBE process
FR2711986B1 (en) * 1993-11-05 1996-02-02 Inst Francais Du Petrole Process for the separation of oxygenated hydrocarbon compounds, combining distillation and permeation and its use in etherification.
US5391257A (en) * 1993-12-10 1995-02-21 Rockwell International Corporation Method of transferring a thin film to an alternate substrate
US5641381A (en) * 1995-03-27 1997-06-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Preferentially etched epitaxial liftoff of InP material
DE19516487C1 (en) * 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Vertical integration process for microelectronic system
FR2747506B1 (en) * 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748851B1 (en) * 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
FR2748850B1 (en) * 1996-05-15 1998-07-24 Commissariat Energie Atomique PROCESS FOR MAKING A THIN FILM OF SOLID MATERIAL AND APPLICATIONS OF THIS PROCESS
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5955749A (en) * 1996-12-02 1999-09-21 Massachusetts Institute Of Technology Light emitting device utilizing a periodic dielectric structure
SG63832A1 (en) * 1997-03-26 1999-03-30 Canon Kk Substrate and production method thereof
US5985742A (en) * 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) * 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5914433A (en) * 1997-07-22 1999-06-22 Uop Lll Process for producing polymer grade olefins
FR2767416B1 (en) * 1997-08-12 1999-10-01 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SOLID MATERIAL
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
FR2773261B1 (en) * 1997-12-30 2000-01-28 Commissariat Energie Atomique METHOD FOR THE TRANSFER OF A THIN FILM COMPRISING A STEP OF CREATING INCLUSIONS
FR2774510B1 (en) * 1998-02-02 2001-10-26 Soitec Silicon On Insulator PROCESS FOR TREATING SUBSTRATES, ESPECIALLY SEMICONDUCTORS
JP3654836B2 (en) * 1998-02-19 2005-06-02 マサチューセッツ インスティテュート オブ テクノロジー Photonic crystal omnidirectional reflector
US6121504A (en) * 1998-04-29 2000-09-19 Exxon Chemical Patents Inc. Process for converting oxygenates to olefins with direct product quenching for heat recovery
JP3525061B2 (en) * 1998-09-25 2004-05-10 株式会社東芝 Method for manufacturing semiconductor light emitting device
US6346458B1 (en) * 1998-12-31 2002-02-12 Robert W. Bower Transposed split of ion cut materials
US6328796B1 (en) * 1999-02-01 2001-12-11 The United States Of America As Represented By The Secretary Of The Navy Single-crystal material on non-single-crystalline substrate
EP1168539B1 (en) * 1999-03-04 2009-12-16 Nichia Corporation Nitride semiconductor laser device
FR2795866B1 (en) * 1999-06-30 2001-08-17 Commissariat Energie Atomique METHOD FOR PRODUCING A THIN MEMBRANE AND MEMBRANE STRUCTURE THUS OBTAINED
FR2795865B1 (en) * 1999-06-30 2001-08-17 Commissariat Energie Atomique METHOD FOR MAKING A THIN FILM USING PRESSURIZATION
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US7105997B1 (en) * 1999-08-31 2006-09-12 Micron Technology, Inc. Field emitter devices with emitters having implanted layer
JP4414535B2 (en) * 2000-01-13 2010-02-10 進 野田 Manufacturing method of semiconductor device
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
JP4064592B2 (en) * 2000-02-14 2008-03-19 シャープ株式会社 Photoelectric conversion device
US6596079B1 (en) * 2000-03-13 2003-07-22 Advanced Technology Materials, Inc. III-V nitride substrate boule and method of making and using the same
JP2001267242A (en) * 2000-03-14 2001-09-28 Toyoda Gosei Co Ltd Group iii nitride-based compound semiconductor and method of manufacturing the same
FR2810448B1 (en) * 2000-06-16 2003-09-19 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES AND SUBSTRATES OBTAINED BY THIS PROCESS
FR2840731B3 (en) * 2002-06-11 2004-07-30 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE HAVING A USEFUL LAYER OF SINGLE-CRYSTAL SEMICONDUCTOR MATERIAL OF IMPROVED PROPERTIES
FR2817394B1 (en) * 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
FR2817395B1 (en) * 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
US6497763B2 (en) * 2001-01-19 2002-12-24 The United States Of America As Represented By The Secretary Of The Navy Electronic device with composite substrate
US7238622B2 (en) * 2001-04-17 2007-07-03 California Institute Of Technology Wafer bonded virtual substrate and method for forming the same
WO2002084725A1 (en) * 2001-04-17 2002-10-24 California Institute Of Technology A method of using a germanium layer transfer to si for photovoltaic applications and heterostructure made thereby
US20050026432A1 (en) * 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
US20030064535A1 (en) * 2001-09-28 2003-04-03 Kub Francis J. Method of manufacturing a semiconductor device having a thin GaN material directly bonded to an optimized substrate
US6784462B2 (en) * 2001-12-13 2004-08-31 Rensselaer Polytechnic Institute Light-emitting diode with planar omni-directional reflector
FR2834123B1 (en) * 2001-12-21 2005-02-04 Soitec Silicon On Insulator SEMICONDUCTOR THIN FILM DELIVERY METHOD AND METHOD FOR OBTAINING A DONOR WAFER FOR SUCH A DELAYING METHOD
WO2003058725A1 (en) * 2002-01-02 2003-07-17 Reveo, Inc. Photovoltaic cell and method of manufacture of photovoltaic cells
FR2835097B1 (en) * 2002-01-23 2005-10-14 OPTIMIZED METHOD FOR DEFERRING A THIN LAYER OF SILICON CARBIDE ON A RECEPTACLE SUBSTRATE
US20030186521A1 (en) * 2002-03-29 2003-10-02 Kub Francis J. Method of transferring thin film functional material to a semiconductor substrate or optimized substrate using a hydrogen ion splitting technique
KR100476901B1 (en) * 2002-05-22 2005-03-17 삼성전자주식회사 Method of forming SOI(Silicon-On-Insulator) semiconductor substrate
US6989314B2 (en) * 2003-02-12 2006-01-24 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure and method of making same
US7018909B2 (en) * 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
ATE504082T1 (en) * 2003-05-27 2011-04-15 Soitec Silicon On Insulator METHOD FOR PRODUCING A HETEROEPITACTIC MICROSTRUCTURE
US7344903B2 (en) * 2003-09-17 2008-03-18 Luminus Devices, Inc. Light emitting device processes
US20060021565A1 (en) * 2004-07-30 2006-02-02 Aonex Technologies, Inc. GaInP / GaAs / Si triple junction solar cell enabled by wafer bonding and layer transfer
US7846759B2 (en) * 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
EP1681712A1 (en) * 2005-01-13 2006-07-19 S.O.I. Tec Silicon on Insulator Technologies S.A. Method of producing substrates for optoelectronic applications
JP4849419B2 (en) * 2005-02-03 2012-01-11 ソイテック Method for reducing trap density in semiconductor wafers
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
US7804100B2 (en) * 2005-03-14 2010-09-28 Philips Lumileds Lighting Company, Llc Polarization-reversed III-nitride light emitting device
US7732301B1 (en) * 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005029576A2 (en) * 2003-02-18 2005-03-31 Corning Incorporated Glass-based soi structures
US20060071274A1 (en) * 2004-09-28 2006-04-06 International Business Machines Corporation Method and structure for bonded silicon-on-insulator wafer
US20060214225A1 (en) * 2005-03-24 2006-09-28 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
WO2006116030A2 (en) * 2005-04-21 2006-11-02 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20070175384A1 (en) * 2006-01-31 2007-08-02 Osram Opto Semiconductors Gmbh Method of fabricating a quasi-substarte wafer and semiconductor body fabricated using such a quasi-substarte wafer

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9202741B2 (en) 2011-02-03 2015-12-01 Soitec Metallic carrier for layer transfer and methods for forming the same
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9716148B2 (en) 2012-03-09 2017-07-25 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum, and structures formed by such methods
US9058990B1 (en) 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane

Also Published As

Publication number Publication date
US20090278233A1 (en) 2009-11-12
WO2010011842A3 (en) 2010-03-18
US20110117726A1 (en) 2011-05-19

Similar Documents

Publication Publication Date Title
US7732301B1 (en) Bonded intermediate substrate and method of making same
US8101498B2 (en) Bonded intermediate substrate and method of making same
US20110117726A1 (en) Bonded intermediate substrate and method of making same
US9650723B1 (en) Large area seed crystal for ammonothermal crystal growth and method of making
US5786606A (en) Semiconductor light-emitting device
JP5312797B2 (en) Method for producing optoelectronic substrate
JP5441297B2 (en) III-V light emitting device
US7943484B2 (en) Method for laterally cutting through a semiconductor wafer and optoelectronic component
US9991414B2 (en) Method of forming a composite substrate
US9209359B2 (en) Light emitting device with improved extraction efficiency
US20090117711A1 (en) Method for Laterally Cutting Through a Semiconductor Wafer and Optoelectronic Component
US9647174B2 (en) Optoelectronic semiconductor chip
JP2009038344A (en) Formation of nitride-based optoelectronic/electronic device structure on lattice-matched substrate
KR20130029455A (en) Manufacturing method for gan semiconductor device
WO2012066033A1 (en) Method for forming a buried metal layer structure
US20170069788A1 (en) Fabrication of thin-film devices using selective area epitaxy
CN108699687B (en) Compound semiconductor substrate, pellicle, and method for producing compound semiconductor substrate
US20060172506A1 (en) Process for producing a semiconductor chip
US8785294B2 (en) Silicon carbide lamina
TW201838194A (en) Vertical gallium nitride schottky diode
JP2011061084A (en) Method for manufacturing laminated substrate
JP2010226023A (en) Method of manufacturing substrate product having nitride based compound semiconductor layer on support substrate, and method of manufacturing semiconductor device
US7446346B2 (en) Semiconductor substrate for optoelectronic components and method for fabricating it
JP2008282942A (en) Semiconductor element, and manufacturing method thereof
KR20130112903A (en) Iii-nitride layer grown on a substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09790769

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09790769

Country of ref document: EP

Kind code of ref document: A2