WO2009136019A3 - Dispositif et procede de traitement chimique en phase vapeur - Google Patents

Dispositif et procede de traitement chimique en phase vapeur Download PDF

Info

Publication number
WO2009136019A3
WO2009136019A3 PCT/FR2009/000479 FR2009000479W WO2009136019A3 WO 2009136019 A3 WO2009136019 A3 WO 2009136019A3 FR 2009000479 W FR2009000479 W FR 2009000479W WO 2009136019 A3 WO2009136019 A3 WO 2009136019A3
Authority
WO
WIPO (PCT)
Prior art keywords
upper wall
chamber
substrate holder
vapor phase
channels
Prior art date
Application number
PCT/FR2009/000479
Other languages
English (en)
Other versions
WO2009136019A2 (fr
Inventor
Christophe Borean
Jean-Luc Delcarri
Original Assignee
Altatech Semiconductor
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altatech Semiconductor filed Critical Altatech Semiconductor
Priority to US12/990,143 priority Critical patent/US8967081B2/en
Priority to EP09742217.4A priority patent/EP2271790B1/fr
Publication of WO2009136019A2 publication Critical patent/WO2009136019A2/fr
Publication of WO2009136019A3 publication Critical patent/WO2009136019A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

Dispositif de traitement (1) de substrats, comprenant une chambre (4) à pression et température contrôlées, un support (5) de substrat disposé dans la chambre (4), la chambre (4) comprenant une entrée de gaz pour réaliser un dépôt en phase vapeur, et une paroi supérieure (30) de chambre munie d'une pluralité de premiers canaux (45) reliés à une première entrée (11) et une pluralité de deuxièmes canaux (37) reliés à une deuxième entrée (12), les premiers et deuxièmes canaux débouchant dans la chambre (4) et étant répartis régulièrement dans la paroi supérieure (30), un élément de chauffage (14) disposé au-dessus de la paroi supérieure (30), et un anneau (49) d' évacuation de gaz disposé entre la paroi supérieure (30) et le support (5) de substrat, la paroi supérieure (30) étant conductrice électriquement et isolée par rapport au support (5) de substrat de façon à pouvoir appliquer une tension entre la paroi supérieure (30) et le support (5) de substrat.
PCT/FR2009/000479 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur WO2009136019A2 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/990,143 US8967081B2 (en) 2008-04-28 2009-04-22 Device and process for chemical vapor phase treatment
EP09742217.4A EP2271790B1 (fr) 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0802375 2008-04-28
FR0802375A FR2930561B1 (fr) 2008-04-28 2008-04-28 Dispositif et procede de traitement chimique en phase vapeur.

Publications (2)

Publication Number Publication Date
WO2009136019A2 WO2009136019A2 (fr) 2009-11-12
WO2009136019A3 true WO2009136019A3 (fr) 2009-12-30

Family

ID=40303474

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/FR2009/000479 WO2009136019A2 (fr) 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur

Country Status (5)

Country Link
US (1) US8967081B2 (fr)
EP (1) EP2271790B1 (fr)
KR (1) KR101639690B1 (fr)
FR (1) FR2930561B1 (fr)
WO (1) WO2009136019A2 (fr)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9267742B2 (en) * 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
DE102012215513A1 (de) * 2012-08-31 2014-03-06 J. Schmalz Gmbh Greifvorrichtung
FR3002241B1 (fr) 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
FR3002242B1 (fr) * 2013-02-21 2015-04-03 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
CN105088334B (zh) * 2014-04-28 2018-01-09 北京北方华创微电子装备有限公司 顶盖装置及工艺设备
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
FR3056992B1 (fr) 2016-10-04 2022-03-11 Unity Semiconductor Procede d'injection d'especes chimiques en phase gazeuse sous forme pulsee avec plasma
FR3056993B1 (fr) 2016-10-04 2018-10-12 Kobus Sas Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
FR3061914B1 (fr) 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
FR3070399B1 (fr) 2017-08-29 2020-09-25 Kobus Sas Procede pour le depot d'un materiau isolant dans un via, etreacteur de cvd pulse mettant en oeuvre ce procede
KR102251770B1 (ko) * 2018-01-24 2021-05-12 어플라이드 머티어리얼스, 인코포레이티드 하이브리드 재료 설계를 갖는 고온 면판
CN108807127B (zh) * 2018-06-01 2020-03-31 北京北方华创微电子装备有限公司 上电极组件、反应腔室以及原子层沉积设备
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN114464519B (zh) * 2021-12-27 2024-03-29 拓荆科技股份有限公司 抽气环及半导体处理装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
EP1033743A2 (fr) * 1999-03-03 2000-09-06 Ebara Corporation Appareillage et procédé pour le traitement d'un substrat
US20010042799A1 (en) * 2000-02-16 2001-11-22 Apex Co. Ltd. Showerhead apparatus for radical-assisted deposition
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2003064059A2 (fr) * 2002-01-26 2003-08-07 Applied Materials, Inc. Integration de couches de titane et de nitrure de titane
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus
WO2005111267A2 (fr) * 2004-04-30 2005-11-24 Lam Research Corporation Appareil comprenant un element de distribution de gaz fournissant un gaz de traitement et une puissance de frequence radio pour le traitement au plasma

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
CH689767A5 (de) * 1992-03-24 1999-10-15 Balzers Hochvakuum Verfahren zur Werkstueckbehandlung in einer Vakuumatmosphaere und Vakuumbehandlungsanlage.
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
KR100427425B1 (ko) * 1995-04-20 2005-08-01 가부시키 가이샤 에바라 세이사꾸쇼 박막증착장치
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
KR100471728B1 (ko) * 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
WO2001046498A2 (fr) * 1999-12-22 2001-06-28 Aixtron Ag Reacteur de depot chimique en phase vapeur et chambre de traitement destinee a ce reacteur
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
EP1124252A2 (fr) * 2000-02-10 2001-08-16 Applied Materials, Inc. Appareil et méthode de traitement de substrats
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
EP1174910A3 (fr) * 2000-07-20 2010-01-06 Applied Materials, Inc. Méthode et appareil permettant d'enlever un substrat d'un support
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
KR101004222B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
KR20020023784A (ko) * 2001-12-18 2002-03-29 김중근 수직형 cnc 밀링 머신
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
JP2003268552A (ja) * 2002-03-18 2003-09-25 Watanabe Shoko:Kk 気化器及びそれを用いた各種装置並びに気化方法
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100629172B1 (ko) * 2004-11-08 2006-09-27 삼성전자주식회사 막 형성 장치
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
EP1033743A2 (fr) * 1999-03-03 2000-09-06 Ebara Corporation Appareillage et procédé pour le traitement d'un substrat
US20010042799A1 (en) * 2000-02-16 2001-11-22 Apex Co. Ltd. Showerhead apparatus for radical-assisted deposition
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2003064059A2 (fr) * 2002-01-26 2003-08-07 Applied Materials, Inc. Integration de couches de titane et de nitrure de titane
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus
WO2005111267A2 (fr) * 2004-04-30 2005-11-24 Lam Research Corporation Appareil comprenant un element de distribution de gaz fournissant un gaz de traitement et une puissance de frequence radio pour le traitement au plasma

Also Published As

Publication number Publication date
EP2271790B1 (fr) 2016-06-15
EP2271790A2 (fr) 2011-01-12
FR2930561B1 (fr) 2011-01-14
KR20110036702A (ko) 2011-04-08
US8967081B2 (en) 2015-03-03
KR101639690B1 (ko) 2016-07-14
FR2930561A1 (fr) 2009-10-30
WO2009136019A2 (fr) 2009-11-12
US20110143551A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
WO2009136019A3 (fr) Dispositif et procede de traitement chimique en phase vapeur
WO2006017596A3 (fr) Caisson a gaz chauffe pour applications de depot chimique en phase vapeur active par plasma
WO2011149678A3 (fr) Système de dépôt chimique en phase vapeur discontinu linéaire
CN106148916A (zh) 高温衬底基座模块及其组件
WO2011006018A3 (fr) Appareil et procédé de traitement par plasma
WO2011029096A3 (fr) Appareil de dépôt chimique en phase vapeur assisté par plasma
WO2011017501A3 (fr) Appareil de dépôt chimique en phase vapeur
WO2012044622A3 (fr) Formation d'une pellicule diélectrique à basse température par dépôt chimique en phase vapeur
WO2011037377A3 (fr) Dispositif de formation de couche épitaxiale discontinu et procédé de formation correspondant
WO2008123060A1 (fr) Vacuum processing apparatus appareil de traitement sous vide
WO2013016191A3 (fr) Procédés et appareil destinés au dépôt de matériaux sur un substrat
WO2005111268A3 (fr) Appareil comprenant une electrode en pomme d'arrosoir et un element chauffant pour le traitement de plasma
TW200746269A (en) Vapor phase growth apparatus and method for vapor phase growth
TW200719412A (en) Substrate processing apparatus and substrate processing method
WO2010045538A3 (fr) Procédés et appareil de commande de chaleur rapidement réactifs dans des dispositifs de traitement par plasma
WO2006091448A3 (fr) Reacteur de depot chimique en phase vapeur a entrees multiples
WO2009086013A3 (fr) Procédé et appareil de régulation de la température d'un substrat
WO2011028349A3 (fr) Dépôt de film contenant une source de silicium par plasma d'hydrogène à distance
WO2007122203A3 (fr) Appareil d'évaporation thermique, utilisation de ce dernier et procédé de dépôt d'une matière
WO2009044474A1 (fr) Appareil de formation de films minces sous vide
TW200505280A (en) Manufacturing method and manufacturing apparatus of organic thin film
WO2012170511A3 (fr) Procédés de nettoyage d'une surface d'un substrat à l'aide d'une chambre de dépôt chimique en phase vapeur par filament chaud (hwcvd)
WO2011149615A3 (fr) Appareil et procédé hybride de dépôt chimique en phase vapeur à fil chaud et de dépôt chimique en phase vapeur activé par plasma
WO2012176996A3 (fr) Élément d'injection utilisé dans la fabrication d'un semi-conducteur et appareil de traitement de substrat qui comprend ce dernier
WO2009091214A3 (fr) Dispositif de support de substrat et dispositif de traitement de substrat équipé d'un tel dispositif de support de substrat

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09742217

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009742217

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107026592

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 12990143

Country of ref document: US