WO2009136019A2 - Dispositif et procede de traitement chimique en phase vapeur - Google Patents

Dispositif et procede de traitement chimique en phase vapeur Download PDF

Info

Publication number
WO2009136019A2
WO2009136019A2 PCT/FR2009/000479 FR2009000479W WO2009136019A2 WO 2009136019 A2 WO2009136019 A2 WO 2009136019A2 FR 2009000479 W FR2009000479 W FR 2009000479W WO 2009136019 A2 WO2009136019 A2 WO 2009136019A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
upper wall
gas
ring
substrate
Prior art date
Application number
PCT/FR2009/000479
Other languages
English (en)
Other versions
WO2009136019A3 (fr
Inventor
Christophe Borean
Jean-Luc Delcarri
Original Assignee
Altatech Semiconductor
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altatech Semiconductor filed Critical Altatech Semiconductor
Priority to US12/990,143 priority Critical patent/US8967081B2/en
Priority to EP09742217.4A priority patent/EP2271790B1/fr
Publication of WO2009136019A2 publication Critical patent/WO2009136019A2/fr
Publication of WO2009136019A3 publication Critical patent/WO2009136019A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the invention relates to. manufacturing of integrated circuits or microsystems and more particularly chemical vapor deposition equipment.
  • Integrated circuits or microsystems are made from wafers or substrates, generally made of silicon or another semiconductor material, which undergo a series of stages of deposition of thin layers of various materials, masking and lithography of these layers and then of engraving of these same layers. Between these steps of manufacturing the active elements of the devices, are interspersed steps of cleaning surfaces and inspection steps where the quality of manufacturing processes is examined.
  • the present invention is particularly interested in the deposition equipment of the constituent layers of integrated circuits and microsystems and more particularly to chemical vapor deposition equipment.
  • the physical deposits which relate more particularly to the deposition of metal layers, are in pressure ranges from a few nanobars to a few microbars. They have the advantage of being relatively simple to implement since they use only neutral gases for the realization of deposits. On the other hand, by their directional nature, they have the disadvantage of not uniformly cover patterns having horizontal surfaces and vertical or near-vertical surfaces such as those appearing in the manufacture of integrated circuits and systems.
  • the rate of coverage of a surface by a physical deposit is directly proportional to the solid angle seen from this surface.
  • the projecting portions and the horizontal surfaces of a pattern are covered with a greater thickness than the re-entrant angles or the vertical surfaces. This results in an inhomogeneity of deposits that may be detrimental to the proper functioning of the electronic device manufactured.
  • the chemical deposits and in particular chemical vapor deposition intrinsically cover the surface of the patterns constituting the integrated circuits or microsystems. This characteristic is particularly advantageous in recent circuits or microsystems where the patterns to cover may have very high form factors (ratio between the width and the height of the pattern).
  • Integrated circuit manufacturing systems or microsystems generally comprise a processing chamber comprising a support for the substrate in the chamber.
  • a gas distribution assembly also known as a showerhead or "showerhead” in the English language, provides one or more treatment gases near the substrate.
  • the gases can be used to form a plasma.
  • Such methods may include chemical vapor deposition (chemical vapor deposition or CVD), plasma enhanced chemical vapor deposition or PECVD to deposit a layer on the substrate or an etching reaction to remove material from the wafer.
  • the Applicant has realized that the known treatment chambers were likely to let parasitic reactions occur between several gases upstream of the gas distribution assembly. Such parasitic reactions may deposit solids in powder form at undesired locations.
  • the pressure upstream of the gas distribution assembly being higher than the surface of the substrate to be coated, such gas phase interference reactions are favored.
  • the invention improves the situation.
  • the substrate processing device includes a controlled pressure and temperature chamber, and a substrate support.
  • the support is arranged in the chamber.
  • the chamber comprises several gas inlets, for example two.
  • the device includes a plurality of first channels connected to a first gas inlet and a plurality of second channels connected to a second gas inlet.
  • the first and second channels open into the room. They are regularly distributed in the upper wall of the chamber.
  • the device also comprises a heating element capable of heating the upper wall and a gas evacuation ring disposed between the upper wall and the substrate support.
  • the top wall is electrically conductive and insulated from the wafer support of the chamber so as to be able to apply a voltage between the top wall and the wafer support.
  • the invention also relates to a method of treating substrates in a controlled temperature and pressure chamber, a substrate being supported by a support disposed in the chamber.
  • Gas inlets are heated to a temperature higher than a condensation temperature, the heating being able to be achieved by a heat transfer fluid.
  • Gases are injected through said inlets, the gases passing through an upper wall of the chamber disposed under the inlet, the crossing being effected by separate holes according to the nature of gas and entering the chamber, the holes being regularly distributed. If it is desired to generate a plasma, a high frequency electric voltage is applied to the upper wall with respect to said support.
  • the chamber can form an enclosure whose pressure is regulated below atmospheric pressure by means of a pumping system on the one hand and pressure regulation on the other.
  • the heating element in particular by heat transfer fluid, makes it possible to heat the gases to a suitable temperature, in said inlets, for example a temperature making it possible to limit the condensation phenomena, given that the pressure of the gases in the inputs is slightly greater than the pressure of the gases in the chamber.
  • the heating element may be disposed above or inside the upper wall.
  • the heating element in particular by heat transfer fluid, also allows the application of a voltage to generate a plasma, avoiding generating interference, which would not be the case with a resistive electric type heating.
  • an infrared lamp can be implemented to ensure remote heating of the lid.
  • An insulated resistor may also be used, mounted in an insulating casing, in particular ceramic.
  • the application of a voltage between the upper wall and the support in order to generate a plasma between these two elements makes it possible to carry out effective in-situ cleaning of the chamber by introducing gases chosen to react with the deposits possibly present inside the chamber.
  • the cleaning gases are chosen in such a way that the products of the reaction of these gases with the deposits are volatile, and can thus be evacuated by pumping.
  • the device and the treatment method are well suited to the deposition of materials for the manufacture of microelectronic devices, microelectromechanical, such as for example non-limiting: HfO 2 , ZrO 2 , Ru, Pt, Ir and IrO 2 , alone or in combination. mixed.
  • FIGS. 1 and 2 are views in axial section along two intersecting planes of a treatment chamber
  • FIG. 3 is a detailed view of the upper wall of the treatment chamber of FIGS. 1 and 2;
  • FIGS 4 and 5 are detail views of Figure 3;
  • FIG. 6 is a perspective view of a part of the heating element
  • FIG. 7 is a perspective view of the lid of the pumping ring.
  • FIG. 8 is a perspective view of the gas pumping ring.
  • vacuum chambers were used for chemical vapor deposition with electric heating elements, a reactant gas inlet and a purge gas supply.
  • the pulverulent materials may come from reactive gases capable of carrying such materials, or from a reactive gas reaction between them, a condensation of reactive gases, etc.
  • the Applicant has found that it was important to promote the regularity of the gas flow lines in the chamber to obtain a deposit of uniform thickness over the entire surface of the substrate.
  • the heat transfer fluid heating element makes it possible to avoid electrical interference during plasma generation by powering up at a selected frequency of the top wall.
  • the heating element may be disposed on the upper wall or on a spacer to heat said upper wall and the gas inlets.
  • the device may comprise at least one high frequency generator provided with an output connected to the upper wall.
  • the mass of the high frequency generator can be connected to the substrate support.
  • the heating element may be electrically inactive.
  • the top wall is insulated from the wafer support and the side walls of the chamber so that a tension can be applied between the top wall and the wafer support.
  • the substrate support may include at least one heating element for heating said substrate and a groundable conductor. It is thus possible to control the temperature profile of the substrate support.
  • the number of channels of the upper chamber wall is greater than 500, for example of the order of 700 for a substrate to be treated with a diameter of 200 mm.
  • the first inlet of the upper chamber wall is connected to a first gas source and the second inlet is connected to a second gas source.
  • the first inlet of the upper wall can be connected to a gas source and the second inlet can be connected to an evaporator.
  • a cover may include a conductive body, a chamber top wall for distributing gases, a heating element, and an insulator with respect to the substrate support.
  • the insulation may be arranged in the form of a ring in contact with a chamber body.
  • the heating element is protected against RF interference.
  • the protection may be intrinsic, for example with thermal conduction, by example carried out by an electrically insulating heat transfer fluid, or a radiation, for example infrared, remote ensuring decoupling, or construction, for example an insulating housing and heat resistant in which a resistor is disposed. In the case of a heat transfer fluid, the energy can be provided by a remote electrical resistance little subjected to RF radiation.
  • the upper wall may comprise a plurality of studs forming the first channels, the studs may be mounted in force in the upper wall, and excellent sealing is obtained.
  • the gas evacuation ring or pumping ring may comprise at least a portion of electrically insulating material. Said ring can be made in one piece.
  • the ring may comprise a ceramic-based or ceramic-coated upper portion and a lower portion.
  • the lower part can be electrically conductive.
  • the lower part can be made from light alloy.
  • the gas evacuation ring may comprise at least ten openings in communication with the chamber, distributed over the circumference of the ring so that the vector field of gas evacuation speed is of axial symmetry with respect to the vertical axis of the chamber and that the gas flow is of a laminar nature in the range of flow envisaged.
  • the gas evacuation ring, the upper wall of the chamber and the heating element may be parallel.
  • the device may comprise an insulating part between the upper wall and a chamber body.
  • the treatment device referenced 1 as a whole comprises a body 2 defining a cavity on one face and a lid 3 closing said cavity on said face to form a chamber 4.
  • a support 5 provided with an upper surface 5a for supporting a substrate to be treated, for example by deposit.
  • the support 5 may comprise a foot 6 and a plate 7.
  • the foot 6 may protrude through an opening 2a of the body 2 on the side opposite to the lid 3.
  • the foot 6 may extend away from the plate 7, not shown and be provided with moving means, in rotation and / or in translation.
  • the support 5 is made of an insulating material containing an electrode, for example based on AlN as insulator and Mo for the electrode.
  • the support 5 may be provided with a thermal control member 55, for example of the type described in EP 0 619 381, to which the reader is. invited to refer.
  • the member 55 may be heating and / or cooling.
  • the lid 3 comprises a plurality of elements of generally circular shape.
  • the elements of the cover 3 can be arranged substantially parallel to each other.
  • the cover 3 can provide sealing functions, introduction of a plurality of gases, heating of said gases and electrode to be able to generate an electric field in the chamber 4.
  • the cover 3 comprises a lower part 9 and a main part 10.
  • the lid 3 rests on an upper edge of the body 2. More specifically, the lower part 9 of the lid 3 has a general shape of a flat disc resting on an upper surface of the main part 8, forming the upper part of the body 2.
  • the main part 8 can be made from light alloy.
  • the lower part 9 of the lid 3 can be made of light alloy base, for example the same.
  • the main part 10 of circular general shape rests on the lower part 9.
  • the main part 10 can be made of light alloy.
  • the main portion 10 is thermally conductive and pierced with a central hole forming a first inlet 11 for a first gas and an oblique hole, formed near an outer edge of said main portion 10 and forming a second inlet 12 for a second gas.
  • the main part 10 comprises a circular concavity 13 formed from its main surface opposite the chamber 4.
  • a heating element 14 is disposed in the cavity 13 and comprises a lower portion 15 disposed in the bottom of the cavity 13 and a part
  • the lower and upper portions 16 and 16 may be secured together by screws 17.
  • the lower and upper portions 16 have a disk-like shape with a central hole.
  • a boss 10a of the main portion 10 protrudes from the bottom of the cavity 13 in a direction opposite to the chamber 4 by passing through the central hole of the lower and upper portions 15.
  • the boss 10a is recessed relative to the upper surface of the upper part 16.
  • the inlet 11 for the first gas is disposed in the central boss 10a.
  • a connector 19 provides the connection between the washer 18 and a conduit 20 supply first gas.
  • the connector 19 can be screwed to the washer 18.
  • Seals, for example circular seals, can be arranged between the washer 18 and the boss 10a on the one hand, and between the connector 19 and the washer 18 on the other go.
  • the lower portion 15 of the heating element 14 has a spiral channel 21 of substantially rectangular section and formed on a plurality of turns between a small diameter end and a large diameter end .
  • the channel 21 allows the circulation of a coolant at a temperature of for example between 50 ° and 300 °.
  • the heat transfer fluid may comprise a silicone oil or a perfluorinated compound.
  • the turns of the spiral channel 21 are separated by a spiral wall 22 of radial thickness smaller than the radial dimension of the channel 21.
  • the upper plate 16 is provided with a inlet and a heat transfer fluid outlet, not shown, connected to said ends.
  • the lower part 15 may be made of a thermally conductive material, for example based on aluminum or copper.
  • the upper part 16 may be made of a material of lower thermal conductivity than that of the material of the lower part 15, for example a stainless steel, in particular of the 316L type.
  • Two annular grooves 23 of small diameter and 24 of large diameter are formed in the lower part 15 being open on the same side as the spiral channel 21. Seals may be arranged in the annular grooves 23 and 24 radially surrounding the channel spiral 21. This ensures an excellent seal of the channel 21 closed by the upper part 16.
  • Screws 25 are provided for fixing the upper part 16 of the heating element 14 to the main part 10 of the lid 3, see FIG. 2.
  • the lower part 15 is thus clamped between the bottom of the concavity 13 and the upper part 16 ensuring Sealing the spiral channel 21 between the lower and upper portions 16 of the heating element 14.
  • a concavity 26 centered on the axis of the lid 3 and in fluid communication with the inlet 11 of the first gas.
  • annular groove 27 is formed in the main portion 10 of the cover 3 from the surface opposite the heating element 14.
  • the annular groove 27 is in fluid communication with the inlet 12 for the second gas.
  • the annular groove 27 is separated from the central concavity 26 by an annular bead 28 forming part of the main part 10, the central concavity 26 thus being surrounded by the bead 28 and the groove 27.
  • the lid 3 also comprises an insulating ring 29 arranged close to the outer edge of the main portion 10.
  • the ring 29 is electrically insulating, in particular with respect to the lower part 9 of the lid 3.
  • the insulating ring 29 has an L-shaped section with an upper surface and a bore in contact. with the main part 10 of the lid • 3, a large diameter outer surface in contact with the lower part 9 of the lid 3.
  • the bore is also in contact with an upper wall 30 of the chamber 4 described below.
  • the insulating ring 29 has a lower annular wall in contact with a gas evacuation ring 31 described hereinafter.
  • the main part 10 and the insulating ring 29 of the cover 3 can be attached to the lower part
  • the insulating ring 29 may be based on ceramic type Al 2 O 3 .
  • the upper wall 30 is held between a lower surface of the main part 10 of the lid 3 and the gas evacuation ring 49.
  • the upper wall 30 is visible in more detail in FIGS. 3 to 5 and has a generally annular shape.
  • the upper wall 30 comprises a body 31 comprising an outer edge 32, and a central plate 33 of thickness less than the outer edge 32. Bores 34 are formed in the outer edge 32, in particular for fixing by screws not shown at the main part 10 of the lid 3.
  • the body 31 is in one piece.
  • the body 31 comprises a light alloy, thermally conductive.
  • a concavity 35 is formed on the opposite side to the chamber 4.
  • through holes of at least two types. Holes
  • the holes 36 and the holes 37 have a small-diameter portion 37a in the vicinity of the concavity 35 and a tapered flange 37b opposite the concavity 35, increasing in diameter away from said concavity 35.
  • the holes 36 and the holes 37 are alternated , for example arranged in checkerboard, square or staggered.
  • the holes 36 and 37 can be in a large number, for example between 500 and 1500.
  • the diameter of the holes 36 is greater than the diameter of the holes 37.
  • the upper wall 30 also comprises a counter-plate 38 comprising an outer edge 39 and a central portion 40.
  • the central portion 40 is of less thickness than the outer edge 39 thus providing a concavity 41 oriented towards the central plate 33.
  • the outer edge 39 of the counterplate 38 is fitted into the concavity 35 of the body 31 thus forming a distribution chamber 42 between the central plate 33 and the counter-plate 38.
  • the central portion 40 of the counter-plate 38 is pierced by a plurality of through holes 43 aligned with the holes 36 of the plate 33. On the contrary, the central portion 40 is solid facing the holes 37 of the plate 33.
  • the upper wall 30 comprises a plurality of studs 44 of axial length substantially equal to the thickness of the body 31.
  • Each stud 44 is mounted in a hole 36 of the central plate 33 and in a hole 43 of the central portion 40.
  • Each hole 36 and each hole 43 is provided with a stud 44. If not, a hole 36 or a hole 43 remaining may be provided with a plug not shown.
  • the stud 44 is in the form of a solid piece provided with a cylindrical outer surface of revolution, an upper surface substantially aligned with the upper surface of the body 31 and a through hole 45.
  • the stud 44 is forcibly mounted in the hole 36 and in the hole 43, for example by fitting.
  • the assembly can be carried out using a press.
  • the stud 43 may be provided with a slight chamfer at its lower end in the vicinity of its outer surface.
  • the stud 44 is brazed with the plate 33 and the backplate 38.
  • the tight fitting assembly is preferred for the purpose of preserving the geometrical dimensions of the body 31 and the backplate 38. tight fitting ensures excellent sealing.
  • the through hole 45 connects fluid chamber 26 formed on the underside of the main portion 10 of the lid 3 and the chamber 4 while maintaining a seal with respect to the chamber 42.
  • the stud 44 can be a massive piece made metal alloy, for example based on light alloy, thermally conductive.
  • the hole 45 comprises a cylindrical portion 45a of small diameter extending over most of the length of the stud 44 from the upper surface of the body 31 opening into the chamber 26, and a frustoconical portion 45b opening into the chamber 4.
  • the cylindrical portion 45a of the hole 45 may have a diameter substantially equal to the diameter of the cylindrical portion 37a of the hole 37 formed in the central plate 33 of the body 31.
  • the term "substantially equal” is equal to plus or minus 10%. preferably more or less 5%.
  • the frustoconical portion 45b of the hole 45 may have dimensions substantially equal to those of the frustoconical portion 37b of the hole 37.
  • the upper wall 30 comprises a plurality of holes 45 forming first communication channels between the inlet 11 for the first gas and the chamber 4 via the chamber 26.
  • the chamber 26 provides a distribution the flow of the first gas in the holes 45.
  • the chamber 42 is provided with an inlet 46, at the right of the groove 27, in the form of a hole passing through the central portion 40 of the upper counterplate 38 in the vicinity 39.
  • two annular grooves 47 are formed from the upper surface of the back plate 38 to accommodate two seals 48.
  • the seals 48 are in contact with the surface lower part of the main part 10 of the lid 3.
  • the hole 46 opens into the annular groove 27 formed from the lower surface of the main part 10 of the lid 3 around the chamber 26, the groove 27 being in fluid communication with input 12 for the second gas.
  • a single hole 46 is visible.
  • a plurality of holes 46 may be drilled through the central portion 40.
  • the second gas passes through the hole (s) 46 and is spread in the chamber 42.
  • the second gas then passes through the holes 37 drilled in the central plate 33 and enter the treatment chamber 4. It is thus possible to keep the first and second separate gases upstream of the chamber 4 in the chamber. flow direction of said first and second gases. This avoids a chemical reaction between certain constituents of the first and second gases that may be detrimental to the substrate treatment step or to the treatment devices 1, in particular by clogging.
  • the heating element 14 makes it possible to maintain the first and second gases, in particular in the inlets 11 and 12, in the chamber 26 and in the groove 27 at a temperature sufficient to prevent condensation phenomena.
  • the upper backplate 38 of the upper wall 30 may be made of a good heat-conducting material, for example based on a light alloy of aluminum, which makes it possible to maintain the said backplate 38 also to a high temperature, by contact with the main part 10 of the cover 3 and thermal conduction.
  • the lower part of the body 31 can also be made of a material having a good thermal conduction, which keeps the chamber 42 at an elevated temperature.
  • the gas evacuation ring 49 is mounted on an annular surface 8a of the body 8 substantially parallel to the lid 3 and in a circular axial surface 8b forming a bore.
  • the gas evacuation ring 49 is also in contact with the insulating ring 29 and with a lower surface of the body 31 of the upper wall 30.
  • the gas evacuation ring can be made in one piece or in several parts.
  • the ring 49 is arranged axially between the upper wall 30 and the support 5.
  • the ring 49 comprises an upper portion 50 illustrated in FIG. 7 and a lower portion 51 illustrated in FIG. 8.
  • the upper portion 50 is in the form of an annular piece comprising a lower surface.
  • the upper portion 50 also comprises an axial outer surface 50f in contact with the bore 8b of the body 8 and an inner surface 50g of small axial dimension.
  • the upper part 50 may be made of ceramic, type Al 2 O 3 or light alloy coated with ceramic, aluminum type with a coating type Al 2 O 3 .
  • the upper part 50 serves as a spacer between the insulating ring 29 and the lower part 51, as well as insulation between the main part 10 of the cover 3 and the lower part 51 of the ring 49.
  • the lower part 51 has a generally annular shape with an H section.
  • the lower part 51 comprises an outer wall 51a, an inner wall 51b and a connecting wall 51c of generally radial shape.
  • the inner wall 51b has a plurality of notches 51d communicating the chamber 4 on the inner side of said inner wall 51b and a generally annular channel 52 formed between the outer 51a, inner 51b, connecting 51c and the lower surface 50a of the upper part 50.
  • the notches 51d are circumferentially regularly distributed to allow evacuation with sufficiently homogeneous gas suction, axial symmetry and laminar flow in the range of flow envisaged.
  • Gases are thus introduced through the holes 37, 45 of the upper wall 30, said holes being arranged substantially in the center of the chamber 4 and the gases are evacuated by the notches 51d disposed at the periphery of the chamber 4, which makes it possible to regulate the fluid flow lines in the chamber 4.
  • the connecting wall 51c is pierced with a plurality of communication holes 53.
  • the connecting wall 51c is disposed substantially at mid-height of the outer 51a and inner 51b walls.
  • a lower channel 54 is formed between the inner 51a and outer 51b walls, the connecting wall 51c and the annular surface 8a of the body 8.
  • the holes 53 connect the upper channels 52 and lower 54.
  • the lower channel 54 is in communication with a gas evacuation outlet 59 formed in the body 8, see FIG. 2.
  • a hole 57 (see FIG. 1) is formed in the body 8 and in a bulge 60 of substantially rectangular shape, projecting into the channel 52 from the connecting wall 51c (see FIG.
  • the hole 57 is plugged at the outlet of the body 8 (not visible in FIG. 1) by an anti-UV treated pane for sealing the chamber 4 at this level.
  • An opening 58 is formed in the body 8 to enable the substrate to be brought to the center of the chamber 4 on the support 5 via a vacuum transfer chamber, possibly equipped with a robot, not shown in the figure. This opening is made in such a way that it does not interfere with the positioning of the gas evacuation ring 49.
  • the upper part 50 of the gas evacuation ring 49 is made of a electrically insulating material.
  • the main part 10 of the cover 3, the heating element 14 and the upper wall 30 of the chamber 4 are thus electrically insulated from the other elements of the treatment device 1 by the insulating washer 18, the insulating ring 29 and the part upper 50 of the gas evacuation ring. It is thus possible to apply a chosen voltage to the main part 10 and to the upper wall 30, for example an alternating voltage under high frequency, while the support 5 is grounded.
  • the application of a high voltage allows polarization favoring the creation of a plasma in the chamber 4.
  • the electrical connection between the cover 3 and a high-voltage power supply via an impedance matching device can be effected by a metal braid, for example copper fixed by one of the screws 32.
  • the treatment device can thus implement temperatures adapted to the gases used for the new generations of devices made on substrates, in particular vaporized solutions of solid precursors or gases with a certain tendency to condensation or deposition of solid residues. .
  • the heating element 14 with heat transfer fluid circulating in the electrically inactive channel 21 is compatible with the application of a voltage to the lid 3.
  • the heating element 14 makes it possible to maintain the main part 10 of the lid 3 at a temperature above the highest condensing temperature of the gases introduced into the chamber 4, which reduces the risk of condensation or deposition.
  • the application of electrical voltage can be used to generate a plasma that activates the chemical deposition reaction and can also be used to perform in-situ cleaning of the chamber walls. Interference between the power supply and the heating element 14 is avoided by heating by heat transfer fluid.
  • the deposition temperature can be controlled by the heating member 55 mounted in the plate 7 of the support 5.
  • the heating member 55 makes it possible to maintain the substrate at a chosen temperature and also the gases in the chamber 4 to a chosen temperature. At least two reactive gases, one per inlet, can be injected simultaneously into the chamber.

Abstract

Dispositif de traitement (1) de substrats, comprenant une chambre (4) à pression et température contrôlées, un support (5) de substrat disposé dans la chambre (4), la chambre (4) comprenant une entrée de gaz pour réaliser un dépôt en phase vapeur, et une paroi supérieure (30) de chambre munie d'une pluralité de premiers canaux (45) reliés à une première entrée (11) et une pluralité de deuxièmes canaux (37) reliés à une deuxième entrée (12), les premiers et deuxièmes canaux débouchant dans la chambre (4) et étant répartis régulièrement dans la paroi supérieure (30), un élément de chauffage (14) disposé au-dessus de la paroi supérieure (30), et un anneau (49) d' évacuation de gaz disposé entre la paroi supérieure (30) et le support (5) de substrat, la paroi supérieure (30) étant conductrice électriquement et isolée par rapport au support (5) de substrat de façon à pouvoir appliquer une tension entre la paroi supérieure (30) et le support (5) de substrat.

Description

Dispositif et procédé de traitement chimique en phase vapeur.
L' invention relève du. domaine de la fabrication des circuits intégrés ou des microsystèmes et plus particulièrement des équipements de dépôt chimique en phase vapeur .
Les circuits intégrés ou les microsystèmes sont fabriqués à partir de plaquettes ou substrats, généralement en silicium ou un autre matériau semiconducteur, qui subissent une succession d'étapes de dépôt de couches minces de divers matériaux, de masquage et de lithographie de ces couches puis de gravure de ces mêmes couches . Entre ces étapes de fabrication des éléments actifs des dispositifs, viennent s'intercaler des étapes de nettoyage des surfaces ainsi que des étapes d' inspection où la qualité des procédés de fabrication est examinée.
La présente invention s' intéresse notamment aux équipements de dépôt des couches constitutives des circuits intégrés et des microsystèmes et plus particulièrement aux équipements de dépôt chimique en phase vapeur.
Divers types de dépôts sont employés dans les technologies de fabrication des circuits intégrés et des microsystèmes : les dépôts dits physiques ( pulvérisation, évaporation ) et les dépôts dits chimiques : dépôt chimique en phase vapeur, dépôt par couches atomiques, dépôt électrochimique, pour ne citer que les principales catégories qui se subdivisent elles-mêmes en plusieurs sous-catégories.
Les dépôts physiques, qui concernent plus particulièrement le dépôt de couches métalliques, se font dans des gammes de pression allant de quelques nanobars à quelques microbars. Ils présentent l'avantage d'être relativement simples à mettre en œuvre puisque ils n'utilisent que des gaz neutres pour la réalisation des dépôts. En revanche, de par leur nature directionnelle, ils présentent l'inconvénient de ne pas recouvrir de manière uniforme des motifs présentant des surfaces horizontales et des surfaces verticales ou quasi-verticales telles que celles qui apparaissent lors de la fabrication de circuits intégrés et de rαicrosystèmes .
En effet, le taux de couverture d'une surface par un dépôt physique est directement proportionnel à l'angle solide vu de cette surface. Il en résulte que les parties saillantes et les surfaces horizontales d'un motif sont recouvertes avec une épaisseur plus importante que les angles rentrants ou les surfaces verticales. Il en résulte donc une inhomogénéité des dépôts qui peut être préjudiciable au bon fonctionnement du dispositif électronique fabriqué.
Dans les dépôts chimiques, une adsorption, une chimisorption ou une réaction hétérogène se produit à la surface du substrat à recouvrir. Cette adsorption, chimisorption ou réaction se produit sur toutes les surfaces si les conditions adéquates de température, de pression et de concentration des réactifs sont réunies dans le cas d'un dépôt en phase vapeur. Il en résulte que les dépôts chimiques et en particulier les dépôts chimiques en phase vapeur recouvrent intrinsèquement de manière uniforme la surface des motifs constituant les circuits intégrés ou les microsystèmes. Cette caractéristique est particulièrement avantageuse dans les circuits ou microsystèmes récents où les motifs à recouvrir peuvent présenter des facteurs de forme (rapport entre la largeur et la hauteur du motif) très élevés.
Les systèmes de fabrication des circuits intégrés ou microsystèmes comprennent généralement une chambre de traitement comprenant un support pour le substrat dans la chambre .
un ensemble de distribution de gaz, également connu sous le terme de douche ou « showerhead » en langue anglaise, fournit un ou plusieurs gaz de traitement à proximité du substrat. Les gaz peuvent être utilisés pour former un plasma. De tels procédés peuvent comprendre le dépôt chimique en phase vapeur (chemical vapor déposition ou CVD) , le dépôt chimique en phase vapeur assisté par plasma (plasma enhanced chemical vapor déposition ou PECVD) pour déposer une couche sur le substrat ou encore une réaction de gravure pour enlever de la matière de la plaquette.
On connaît à cet égard les documents US 5 292 554, US 5 516 367 adaptés aux anciennes technologies de fabrication, WO 03/060185 et US 2006/21703.
La Demanderesse s'est rendu compte que les chambres de traitement connues étaient susceptibles de laisser se produire des réactions parasites entre plusieurs gaz en amont de l'ensemble de distribution de gaz. De telles réactions parasites peuvent déposer des solides sous forme pulvérulente en des endroits non souhaités. En outre,, la pression en amont de l'ensemble de distribution de gaz étant plus élevée qu'à la surface du substrat à recouvrir, de telles réactions parasites en phase gazeuse sont favorisées.
Par ailleurs, la Demanderesse a identifié que les matériaux les plus récents dont l'utilisation est envisagée dans le domaine des circuits intégrés et des microsystèmes peuvent présenter des risques de colmatage des trous de l'ensemble de distribution des gaz.
L'invention vient améliorer la situation.
Le dispositif de traitement de substrats comprend une chambre à pression et température contrôlées, et un support de substrat. Le support est disposé dans la chambre. La chambre comprend plusieurs entrées de gaz, par exemple deux. Le dispositif comprend une pluralité de premiers canaux reliés à une première entrée de gaz et une pluralité de deuxièmes canaux reliés à une deuxième entrée de gaz. Les premiers et deuxièmes canaux débouchent dans la chambre. Ils sont répartis régulièrement dans la paroi supérieure de la chambre. Le dispositif comprend également un élément de chauffage capable de chauffer la paroi supérieure et un anneau d'évacuation de gaz disposé entre la paroi supérieure et le support de substrat. La paroi supérieure est conductrice électriquement et isolée par rapport au support de plaquette de la chambre de façon à pouvoir appliquer une tension entre la paroi supérieure et le support de plaquette.
L'invention concerne également un procédé de traitement de substrats dans une chambre à température et pression contrôlées, un substrat étant supportée par un support disposé dans la chambre. On chauffe des entrées de gaz à une température supérieure à une température de condensation, le chauffage pouvant être réalisé par un fluide caloporteur. On injecte des gaz par -lesdites entrées, les gaz traversant une paroi supérieure de la chambre disposée sous les entrées, la traversée étant effectuée par des trous distincts selon la nature de gaz et pénétrant dans la chambre, les trous étant régulièrement répartis. Si l'on veut générer un plasma, on applique à la paroi supérieure une tension électrique à haute fréquence par rapport audit support.
La chambre peut former une enceinte dont la pression est régulée en dessous de la pression atmosphérique par l'intermédiaire d'un système de pompage d'une part et de régulation de pression d'autre part.
L'élément de chauffage, notamment par fluide caloporteur, permet de chauffer les gaz à une température convenable, dans lesdites entrées, par exemple une température permettant de limiter les phénomènes de condensation compte tenu du fait que la pression des gaz dans les entrées est légèrement supérieure à la pression des gaz dans la chambre. L'élément de chauffage peut être disposé au-dessus ou à l'intérieur de la paroi supérieure .
L'élément de chauffage, notamment par fluide caloporteur, permet également l'application d'une tension en vue de générer un plasma, en évitant de générer des interférences, ce qui ne serait pas le cas avec un chauffage de type électrique résistif. Alternativement, une lampe infrarouge peut être mise en œuvre pour assurer le chauffage à distance du couvercle. Une résistance isolée peut aussi être utilisée, montée dans un boîtier isolant notamment en céramique.
Par ailleurs, l'application d'une tension entre la paroi supérieure et le support en vue de générer un plasma entre ces deux éléments, permet d'effectuer un nettoyage in-situ efficace de la chambre en introduisant des gaz choisis pour réagir avec les dépôts éventuellement présents à l'intérieur de la chambre. Les gaz de nettoyage sont choisis de telle façon que les produits de la réaction de ces gaz avec les dépôts soient volatils, et puissent ainsi être évacués par le pompage. Le dispositif et le procédé de traitement sont bien adaptés au dépôt de matériaux pour la fabrication de dispositifs microélectroniques, micro-électromécaniques, tels que par exemple non limitatif : HfO2, ZrO2, Ru, Pt, Ir et IrO2, seuls ou en mélange. Ces matériaux sont souvent préparés à partir de précurseurs organométalliques en poudre mis en solution liquide dans un solvant approprié, puis vaporisés. Avec de tels gaz issus de solutions de composés solides ayant une température de vaporisation différente de celle du solvant, le risque de colmatage d'une installation classique est relativement élevé soit par condensation, soit par décomposition du produit solide.
La présente invention sera mieux comprise à la lecture de la description détaillée de quelques modes de réalisation pris à titre d'exemples nullement limitatifs et illustrés par les dessins annexés, sur lesquels :
- les figures 1 et 2 sont des vues en coupe axiale selon deux plans sécants d'une chambre de traitement ;
- la figure 3 est une vue de détail de la paroi supérieure de la chambre de traitement des figures 1 et 2 ;
- les figures 4 et 5 sont des vues de détail de la figure 3 ;
- la figure 6 est une vue en perspective d'une partie de l'élément chauffant ;
- la figure 7 est une vue en perspective du couvercle de l'anneau de pompage ; et
- la figure 8 est une vue en perspective de l'anneau de pompage des gaz.
Dans les années 1990, on a utilisé des chambres à vide pour le dépôt chimique en phase vapeur avec des éléments chauffants électriques, une entrée de gaz réactif et une alimentation en gaz de purge.
Ensuite, on a cherché à limiter les effets des gaz réactifs sur les parois de la chambre en prévoyant une couche anti corrosion en matériau réfractaire résistant aux attaques chimiques des gaz pour conserver les propriétés réfléchissantes de la paroi interne de la chambre de réaction.
Plus récemment, on a cherché à introduire deux gaz réactifs dans une chambre de traitement au moyen d'un ensemble de distribution de gaz en nickel brasé.
Toutefois, la Demanderesse s'est rendu compte d'un problème ignoré jusqu'à présent, de colmatage de la paroi supérieure par dépôt de matériaux pulvérulents. Les matériaux pulvérulents peuvent provenir des gaz réactifs susceptibles de porter de tels matériaux, ou encore d'une réaction de gaz réactifs entre eux, d'une condensation de gaz réactifs, etc.
Par ailleurs, la Demanderesse s'est aperçue qu'il était important de favoriser la régularité des lignes de flux gazeux dans la chambre afin d'obtenir un dépôt d'épaisseur homogène sur toute la surface du substrat.
Pour une description générale d'une chambre de traitement, on peut se reporter aux documents WO 03/060185 et US 2006/21703.
L'élément de chauffage par fluide caloporteur permet d'éviter les interférences électriques lors de la génération d'un plasma au moyen de la mise sous tension à une fréquence choisie de la paroi supérieure. L'élément de chauffage peut être disposé sur la paroi supérieure ou sur une pièce intercalaire pour chauffer ladite paroi supérieure et les entrées de gaz.
Le dispositif peut comprendre au moins un générateur haute fréquence pourvue d'une sortie reliée à la paroi supérieure. La masse du générateur haute fréquence peut être reliée au support de substrat. L'élément chauffant peut être inactif électriquement. La paroi supérieure est isolée par rapport au support de plaquette et aux parois latérales de la chambre de façon à pouvoir appliquer une tension entre la paroi supérieure et le support de plaquette.
Le support de substrat peut comprendre au moins un élément chauffant pour chauffer ledit substrat ainsi qu'un conducteur pouvant être relié à la masse . On peut ainsi contrôler le profil de température du support de substrat.
Le nombre de canaux de la paroi supérieure de chambre est supérieur à 500, par exemple de l'ordre de 700 pour un substrat à traiter de 200mm de diamètre.
La première entrée de la paroi supérieure de chambre est reliée à une première source de gaz et la deuxième entrée est reliée à une deuxième source de gaz .
La première entrée de la paroi supérieure peut être reliée à une source de gaz et la deuxième entrée peut être reliée à un évaporateur .
Un couvercle peut comprendre un corps conducteur, une paroi supérieure de chambre assurant la répartition des gaz, un élément chauffant et un isolant par rapport au support de substrat . L' isolant peut être disposé sous la forme d' un anneau en contact avec un corps de chambre. L'élément chauffant est protégé contre le parasitage RF. La protection peut être intrinsèque, par exemple avec une conduction thermique, par exemple réalisée par un fluide caloporteur électriquement isolant, ou un rayonnement, par -exemple infrarouge, à distance assurant un découplage, ou de construction, par exemple un boîtier isolant et résistant à la chaleur dans lequel une résistance est disposée. Dans le cas d'un fluide caloporteur, l'énergie peut être fournie par une résistance électrique distante peu soumise aux radiations RF.
La paroi supérieure peut comprendre "une pluralité de plots formant les premiers canaux. Les plots peuvent être montés en force dans la paroi supérieure. On obtient une excellente étanchéi'té .
L' anneau d' évacuation des gaz ou anneau de pompage peut comprendre au moins une partie en matériau électriquement isolant. Ledit anneau peut être réalisé de façon monobloc.
Ledit anneau peut comprendre une partie supérieure à base de céramique ou revêtue de céramique et une partie inférieure.
La partie inférieure peut être conductrice électriquement. La partie inférieure peut être réalisée à base d'alliage léger.
L'anneau d'évacuation de gaz peut comporter au moins dix ouvertures en communication avec la chambre, réparties sur la circonférence de l'anneau de façon que le champ vectoriel de vitesse d'évacuation des gaz soit de symétrie axiale par rapport à l'axe vertical de la chambre et que l'écoulement des gaz soit de nature laminaire dans la gamme de débit envisagée. L'anneau d'évacuation des gaz, la paroi supérieure de la chambre et l'élément de chauffage peuvent être parallèles.
Le dispositif peut comprendre une pièce isolante entre la paroi supérieure et un corps de chambre.
Dans le mode de réalisation représenté sur les figures, le dispositif de traitement référencé 1 dans son ensemble comprend un corps 2 définissant une cavité sur une face et un couvercle 3 fermant ladite cavité sur ladite face pour former une chambre 4. Dans la chambre 4, est prévu un support 5 muni d'une surface supérieure 5a destinée à supporter un substrat à traiter, par exemple par dépôt. Le support 5 peut comprendre un pied 6 et un plateau 7. Le pied 6 peut être en saillie à travers une ouverture 2a du corps 2 du côté opposé au couvercle 3. Le pied 6 peut se prolonger à l'opposé du plateau 7, de façon non représentée et être muni de moyens de déplacement, en rotation et/ou en translation. Le support 5 est réalisé en un matériau isolant contenant une électrode, par exemple à base d'AIN comme isolant et de Mo pour l'électrode. Le support 5 peut être muni d'un organe de contrôle thermique 55, par exemple du type décrit dans le document EP 0 619 381, auquel le lecteur est. invité à se reporter. L'organe 55 peut être chauffant et/ou refroidissant.
Le couvercle 3 comprend une pluralité d' éléments de forme générale circulaire. Les éléments du couvercle 3 peuvent être disposés sensiblement parallèlement les uns aux autres. Le couvercle 3 peut assurer des fonctions d' étanchéité, d'introduction d'une pluralité de gaz, de chauffage desdits gaz et d'électrode pour pouvoir générer un champ électrique dans la chambre 4. Le couvercle 3 comprend une partie inférieure 9 et une partie principale 10.
Le couvercle 3 repose sur un bord supérieur du corps 2. Plus précisément, la partie inférieure 9 du couvercle 3 présente une forme générale de disque plat reposant sur une surface supérieure de la partie principale 8, formant partie haute du corps 2. La partie principale 8 peut être réalisée à base d'alliage léger. La partie inférieure 9 du couvercle 3 peut être réalisée à base d'alliage léger, par exemple le même.
La partie principale 10 de forme générale circulaire repose sur la partie inférieure 9. La partie principale 10 peut être réalisée en alliage léger. La partie principale 10 est conductrice thermiquement et percée d'un trou central formant une première entrée 11 pour un premier gaz et d' un trou oblique, ménagé à proximité d'un bord extérieur de ladite partie principale 10 et formant une deuxième entrée 12 pour un deuxième gaz . La partie principale 10 comprend une concavité circulaire 13 ménagée à partir de sa surface principale opposée à la chambre 4. un élément de chauffage 14 est disposé dans la cavité 13 et comprend une partie inférieure 15 disposée dans le fond de la cavité 13 et une partie supérieure 16. Les parties inférieure 15 et supérieure 16 peuvent être fixées ensemble par des vis 17. Les parties inférieure 15 et supérieure 16 présentent une forme de disque avec un trou central.
Un bossage 10a de la partie principale 10 est en saillie à partir du fond de la cavité 13 en direction opposée à la chambre 4 en passant dans le trou central des parties inférieure 15 et supérieure 16. Le bossage 10a est en retrait par rapport à la surface supérieure de la partie supérieure 16. L'entrée 11 pour le premier gaz est disposée dans le bossage central 10a.
Au-dessus du bossage central 10a, est prévue une rondelle 18 d' isolation électrique et thermique, par exemple en céramique, entre la partie principale 10 et des éléments disposés en amont dans le sens d'écoulement du premier gaz. Un raccord 19 assure la liaison entre la rondelle 18 et une conduite 20 d'alimentation en premier gaz. Le raccord 19 peut être vissé à la rondelle 18. Des joints d' étanchéité, par exemple des joints circulaires peuvent être disposés entre la rondelle 18 et le bossage 10a d'une part, et entre le raccord 19 et la rondelle 18 d'autre part.
Comme on le voit plus particulièrement sur la figure 6, la partie inférieure 15 de l'élément de chauffage 14 présente un canal spirale 21 de section sensiblement rectangulaire et formé sur une pluralité de tours entre une extrémité de petit diamètre et une extrémité de grand diamètre. Le canal 21 permet la circulation d'un fluide caloporteur à une température comprise par exemple entre 50° et 300°. Le fluide caloporteur peut comprendre une huile silicone ou un composé perfluoré. Les spires du canal spirale 21 sont séparées par une paroi spiralée 22 d'épaisseur radiale inférieure à la dimension radiale du canal 21. La plaque supérieure 16 est munie d'une entrée et d'une sortie de fluide caloporteur, non représentées se raccordant auxdites extrémités. La partie inférieure 15 peut être réalisée en un matériau conducteur thermiquement, par exemple à base d'aluminium ou de cuivre. La partie supérieure 16 peut être réalisée en un matériau de conductivité thermique inférieure à celle du matériau ' de la partie inférieure 15, par exemple un acier inoxydable notamment de type 316L.
Deux gorges annulaires 23 de petit diamètre et 24 de grand diamètre sont ménagées dans la partie inférieure 15 en étant ouvertes du même côté que le canal spirale 21. Des joints d'étanchéité peuvent être disposés dans les rainures annulaires 23 et 24 entourant radialement le canal spirale 21. On assure ainsi une excellente étanchéité du canal 21 fermé par la partie supérieure 16.
Des vis 25 sont prévues pour fixer la partie supérieure 16 de l'élément chauffant 14 à la partie principale 10 du couvercle 3, voir figure 2. La partie inférieure 15 est ainsi serrée entre le fond de la concavité 13 et la partie supérieure 16 assurant 1' étanchéité du canal spirale 21 entre les parties inférieure 15 et supérieure 16 de l'élément chauffant 14.
À partir de la surface de la partie principale 10 du couvercle 3, opposée à l'élément chauffant 14, est ménagée une concavité 26 centrée sur l'axe du couvercle 3 et en communication de fluide avec l'entrée 11 du premier gaz.
Par ailleurs, une rainure annulaire 27 est ménagée dans la partie principale 10 du couvercle 3 à partir de la surface opposée à l'élément chauffant 14. La rainure annulaire 27 est en communication de fluide avec l'entrée 12 pour le deuxième gaz. La rainure annulaire 27 est séparée de la concavité centrale 26 par un bourrelet annulaire 28 faisant partie de la partie principale 10, la concavité centrale 26 étant ainsi entourée par le bourrelet 28 et par la rainure 27.
Le couvercle 3 comprend également un anneau isolant 29 disposé à proximité du bord extérieur de la partie principale 10. L'anneau 29 est isolant électriquement, notamment par rapport à la partie inférieure 9 du couvercle 3. L'anneau isolant 29 présente une section en L avec une surface supérieure et un alésage en contact avec la partie principale 10 du couvercle • 3, une surface extérieure de grand diamètre en contact avec la partie inférieure 9 du couvercle 3. L'alésage est également en contact avec une paroi supérieure 30 de la chambre 4 décrite ci-après. L'anneau isolant 29 présente une paroi annulaire inférieure en contact avec un anneau d'évacuation des gaz 31 décrit ci-après. La partie principale 10 et l'anneau isolant 29 du couvercle 3 peuvent être fixés à la partie inférieure
9 par des vis 32. Le corps 2 est séparé de la partie principale
10 et de la paroi 30 par l'anneau isolant 29. L'anneau isolant 29 peut être à base de céramique de type Al2O3.
La paroi supérieure 30 est maintenue entre une surface inférieure de la partie principale 10 du couvercle 3 et l'anneau d'évacuation des gaz 49. La paroi supérieure 30 est visible plus en détail sur les figures 3 à 5 et présente une forme générale annulaire. La paroi supérieure 30 comprend un corps 31 comprenant un bord extérieur 32, et une plaque centrale 33 d'épaisseur inférieure au bord extérieur 32. Des perçages 34 sont ménagés dans le bord extérieur 32, notamment en vue de la fixation par des vis non représentées à la partie principale 10 du couvercle 3. Le corps 31 est monobloc. Le corps 31 comprend un alliage léger, conducteur thermiquement .
En raison de la différence d'épaisseur entre la plaque centrale 33 et le rebord extérieur 32, une concavité 35 est formée du côté opposé à la chambre 4. Dans la plaque centrale 33, sont ménagés des trous traversants d' au moins deux types . Des trous
36 présentent une section sensiblement constante. Des trous
37 présentent une partie de petit diamètre 37a au voisinage de la concavité 35 et une partie évasée 37b tronconique à l'opposé de la concavité 35, de diamètre croissant en s' éloignant de ladite concavité 35. Les trous 36 et les trous 37 sont alternés, par exemple disposés sous forme de damier, en carré ou en quinconce. Les trous 36 et 37 peuvent être en nombre important, par exemple compris entre 500 et 1500. Le diamètre des trous 36 est supérieur au diamètre des trous 37.
La paroi supérieure 30 comprend également une contre-plaque 38 comprenant un bord extérieur 39 et une partie centrale 40. La partie centrale 40 est d'épaisseur inférieure au bord extérieur 39 ménageant ainsi une concavité 41 orientée du côté de la plaque centrale 33. Le bord extérieur 39 de la contre-plaque 38 est ajusté dans la concavité 35 du corps 31 formant ainsi une chambre de répartition 42 entre la plaque centrale 33 et la contre-plaque 38. La partie centrale 40 de la contre-plaque 38 est percée d'une pluralité de trous traversants 43 alignés avec les trous 36 de la plaque 33. Au contraire, la partie centrale 40 est pleine en regard des trous 37 de la plaque 33.
La paroi supérieure 30 comprend une pluralité de plots 44 de longueur axiale sensiblement égale a l'épaisseur du corps 31. Chaque plot 44 est monté dans un trou 36 de la plaque centrale 33 et dans un trou 43 de la partie centrale 40. Chaque trou 36 et chaque trou 43 est muni d'un plot 44. À défaut, un trou 36 ou un trou 43 restant peut être muni d'un bouchon non représenté. Le plot 44 se présente sous la forme d'une pièce massive munie d'une surface extérieure cylindrique de révolution, d'une surface supérieure sensiblement alignée avec la surface supérieure du corps 31 et d'un trou traversant 45.
Le plot 44 est monté à force dans le trou 36 et dans le trou 43, par exemple par emmanchement. Le montage peut être effectué à l'aide d'une presse. Pour faciliter l'emmanchement, le plot 43 peut être muni d'un léger chanfrein à son extrémité inférieure au voisinage de sa surface extérieure. Dans une variante, le plot 44 est brasé avec la plaque 33 et la contre-plaque 38. Toutefois, le montage par emmanchement serré est préféré dans le but de conserver les dimensions géométriques du corps 31 et de la contre-plaque 38. L'emmanchement serré assure une excellente étanchéité. Le trou traversant 45 met en communication de fluide la chambre 26 ménagée sur la face inférieure de la partie principale 10 du couvercle 3 et la chambre 4 tout en maintenant une étanchéité par rapport à la chambre 42. Le plot 44 peut être une pièce massive réalisée en alliage métallique, par exemple à base d'alliage léger, conducteur thermiquement . Le trou 45 comprend une partie cylindrique 45a de petit diamètre s' étendant sur la majeure partie de la longueur du plot 44 à partir de la surface supérieure du corps 31 débouchant dans la chambre 26, et une partie tronconique 45b débouchant dans la chambre 4. La partie cylindrique 45a du trou 45 peut présenter un diamètre sensiblement égal au diamètre de la partie cylindrique 37a du trou 37 ménagé dans la plaque centrale 33 du corps 31. On entend ici par « sensiblement égal », égal à plus ou moins 10% près, préférablement plus ou moins 5% près.
La partie tronconique 45b du trou 45 peut présenter des dimensions sensiblement égales à celles de la partie tronconique 37b du trou 37.
En d'autres termes, la paroi supérieure 30 comprend une pluralité de trous 45 formant des premiers canaux de communication entre l'entrée 11 pour le premier gaz et la chambre 4 par l'intermédiaire de la chambre 26. La chambre 26 assure une répartition du débit du premier gaz dans les trous 45.
Comme on le voit plus particulièrement sur la figure 4, la chambre 42 est munie d'une entrée 46, au droit de la gorge 27, sous la forme d'un trou traversant la partie centrale 40 de la contre-plaque supérieure 38 à proximité du rebord 39. Autour de la gorge 27, deux rainures annulaires 47 sont ménagées à partir de la surface supérieure de la contre-plaque 38 pour y loger deux joints d' étanchéité 48. Les joints d' étanchéité 48 sont en contact avec la surface inférieure de la partie principale 10 du couvercle 3. Le trou 46 débouche dans la rainure annulaire 27 ménagée à partir de la surface inférieure de la partie principale 10 du couvercle 3 autour de la chambre 26, la rainure 27 étant en communication de fluide avec l'entrée 12 pour le deuxième gaz. Sur la figure 4, un seul trou 46 est visible.
Afin de favoriser un écoulement le plus homogène possible du deuxième gaz provenant de l'entrée 12 et circulant dans la rainure 27, une pluralité de trous 46 peuvent être percés à travers la partie centrale 40. Le deuxième gaz traverse le ou les trous 46 et se répand dans la chambre 42. Le deuxième gaz passe ensuite dans les trous 37 percés dans la plaque centrale 33 et pénètrent dans la chambre de traitement 4. On parvient ainsi à conserver les premier et deuxième gaz séparés en amont de la chambre 4 dans le sens d' écoulement desdits premier et deuxième gaz. On évite ainsi une réaction chimique entre certains constituants des premier et deuxième gaz susceptibles d'être néfaste à l'étape de traitement du substrat ou encore aux dispositifs de traitement 1, notamment par colmatage.
L'élément chauffant 14 permet de maintenir les premier et deuxième gaz, notamment dans les entrées 11 et 12 dans la chambre 26 et dans la rainure 27 à une température suffisante pour éviter les phénomènes de condensation. Par ailleurs, la contre-plaque supérieure 38 de la paroi supérieure 30 peut être réalisée en un matériau bon conducteur de la chaleur, par exemple à base d' alliage léger d' aluminium, ce qui permet de maintenir ladite contre-plaque 38 également à une température élevée, par contact avec la partie principale 10 du couvercle 3 et conduction thermique. La partie inférieure du corps 31 peut également être réalisée en un matériau présentant une bonne conduction thermique, ce qui permet de maintenir la chambre 42 à une température élevée.
L'anneau d'évacuation des gaz 49 est monté sur une surface annulaire 8a du corps 8 sensiblement parallèle au couvercle 3 et dans une surface axiale circulaire 8b formant un alésage. L'anneau d'évacuation des gaz 49 est également en contact avec l'anneau isolant 29 et avec une surface inférieure du corps 31 de la paroi supérieure 30. L'anneau d'évacuation des gaz peut être réalisé de façon monobloc ou en plusieurs parties. L'anneau 49 est disposé axialement entre la paroi supérieure 30 et le support 5. Dans le mode de réalisation représenté, l'anneau 49 comprend une partie supérieure 50 illustrée sur la figure 7 et une partie inférieure 51 illustrée sur la figure 8. La partie supérieure 50 se présente sous la forme d'une pièce annulaire comprenant une surface inférieure 50a plane, une surface supérieure de grand diamètre 50b en contact avec l'anneau isolant 29, et une surface supérieure de petit diamètre 50c en contact avec la paroi supérieure 30, l'épaisseur axiale de la partie supérieure 50 étant plus élevée au droit de la surface supérieure de grand diamètre 50b qu' au droit de la surface supérieure de petit diamètre 50c. Une courte surface axiale 50d et une surface tronconique 50e relient les surfaces 50b et 50c. La partie supérieure 50 comprend également une surface extérieure axiale 5Of en contact avec l'alésage 8b du corps 8 et une surface intérieure 50g de faible dimension axiale. La partie supérieure 50 peut être réalisée à base de céramique, de type Al2O3 ou d'alliage léger revêtu de céramique, de type aluminium avec un revêtement de type Al2O3.
La partie supérieure 50 sert d' entretoise entre l'anneau isolant 29 et la partie inférieure 51, ainsi que d'isolant entre la partie principale 10 du couvercle 3 et la partie inférieure 51 de l'anneau 49.
La partie inférieure 51 présente une forme générale annulaire à section en H. La partie inférieure 51 comprend une paroi extérieure 51a, une paroi intérieure 51b et une paroi de liaison 51c de forme générale radiale. La paroi intérieure 51b présente une pluralité d'encoches 51d mettant en communication la chambre 4 du côté intérieur de ladite paroi intérieure 51b et un canal de forme générale annulaire 52 formé entre les parois extérieure 51a, intérieure 51b, de liaison 51c et la surface inférieure 50a de la partie supérieure 50. Les encoches 51d sont circonférentiellement régulièrement réparties pour permettre une évacuation avec aspiration de gaz suffisamment homogène, à symétrie axiale et à écoulement laminaire dans la gamme de débit envisagée. On introduit ainsi des gaz par les trous 37, 45 de la paroi supérieure 30, lesdits trous étant disposés sensiblement au centre de la chambre 4 et on évacue les gaz par les encoches 51d disposés à la périphérie de la chambre 4, ce qui permet de régulariser les lignes d'écoulement de fluide dans la chambre 4.
La paroi de liaison 51c est percée d'une pluralité de trous de communication 53. La paroi de liaison 51c est disposée sensiblement à mi-hauteur des parois extérieure 51a et intérieure 51b. Un canal inférieur 54 est formé entre les parois intérieure 51a et extérieure 51b, la paroi de liaison 51c et la surface annulaire 8a du corps 8. Les trous 53 mettent en communication les canaux supérieur 52 et inférieur 54. Le canal inférieur 54 est en communication avec une sortie d'évacuation de gaz 59 ménagée dans le corps 8, voir figure 2. Par ailleurs, un trou 57 (voir figure 1) est ménagé dans le corps 8 et dans un renflement 60 de forme sensiblement rectangulaire, en saillie dans le canal 52 à partir de la paroi de liaison 51c (voir figure 8), pour permettre d'observer l'intérieur de la chambre lors de la création d'un plasma dans la chambre 4, par exemple à l'aide d'un spectromètre d'émission optique. Le trou 57 est bouché en sortie du corps 8 (non visible sur la figure 1) par une vitre traitée anti-UV pour assurer l'étanchéité de la chambre 4 à ce niveau. Une ouverture 58 est ménagée dans le corps 8 pour permettre d' amener le substrat au centre de la chambre 4 sur le support 5 via une chambre de transfert sous vide, éventuellement munie d'un robot, non représentée sur la figure. Cette ouverture est réalisée de telle sorte qu'elle ne gêne pas le positionnement de l'anneau d'évacuation des gaz 49. Dans un mode de réalisation, la partie supérieure 50 de l'anneau d'évacuation de gaz 49 est réalisée en un matériau isolant électriquement. La partie principale 10 du couvercle 3, l'élément chauffant 14 et la paroi supérieure 30 de la chambre 4 sont ainsi isolés électriquement par rapport aux autres éléments du dispositif de traitement 1 par la rondelle isolante 18, l'anneau isolant 29 et la partie supérieure 50 de l'anneau d'évacuation des gaz. On peut ainsi appliquer une tension choisie à la partie principale 10 et à la paroi supérieure 30, par exemple une tension alternative sous fréquence élevée, tandis que le support 5 est mis à la masse.
L'application d'une tension élevée permet une polarisation favorisant la création d'un plasma dans la chambre 4. La liaison électrique entre le couvercle 3 et une alimentation à haute tension via un dispositif d'adaptation d'impédance peut être effectuée par une tresse métallique, par exemple en cuivre fixée par l'une des vis 32.
Le dispositif de traitement peut ainsi mettre en œuvre des températures adaptées aux gaz utilisés pour les nouvelles générations de dispositifs réalisés sur des substrats, notamment des solutions vaporisées de précurseurs solides ou bien des gaz présentant une certaine tendance à la condensation ou au dépôt de résidus solides.
L'élément chauffant 14 à fluide caloporteur circulant dans le canal 21 inactif d'un point de vue électrique est compatible avec l'application d'une tension électrique au couvercle 3. L'élément chauffant 14 permet de maintenir la partie principale 10 du couvercle 3 à une température supérieure à la température de condensation la plus élevée des gaz introduits dans la chambre 4, ce qui permet de réduire les risques de condensation ou de dépôt. L'application d'une tension électrique peut servir à générer un plasma qui active la réaction chimique de dépôt et peut également servir à effectuer un nettoyage in-situ des parois de la chambre. Les interférences entre l'alimentation électrique et l'élément chauffant 14 sont évitées grâce au chauffage par fluide caloporteur. Par ailleurs, la température de dépôt peut être contrôlée par l'organe de chauffage 55 monté dans le plateau 7 du support 5. L'organe de chauffage 55 permet de maintenir le substrat à une température choisie et également les gaz dans la chambre 4 à une température choisie. On peut injecter simultanément au moins deux gaz réactifs, un par entrée, dans la chambre.

Claims

REVENDICATIONS
1. Dispositif de traitement (1) de substrats, comprenant une chambre (4) à pression et température contrôlées, un support
(5) de substrat disposé dans la chambre (4), la chambre (4) comprenant une entrée de gaz et une paroi supérieure (30) de chambre munie d'une pluralité de premiers canaux (45) reliés à une première entrée (11) et une pluralité de deuxièmes canaux (37) reliés à une deuxième entrée (12) , les premiers et deuxièmes canaux débouchant dans la chambre (4) et étant répartis régulièrement dans la paroi supérieure (30) , caractérisé par le fait qu'il comprend un élément de chauffage (14) capable de chauffer la paroi supérieure (30) , et un anneau (49) d' évacuation de gaz disposé entre la paroi supérieure (30) et le support (5) de substrat, la paroi supérieure (30) étant conductrice électriquement et isolée par rapport au support (5) de substrat de façon à pouvoir appliquer une tension entre la paroi supérieure (30) et le support (5) de substrat.
2. Dispositif selon la revendication 1, dans lequel l'élément de chauffage (14) est disposé au-dessus de la paroi supérieure
(30) pour chauffer ladite paroi supérieure (30) et les entrées
(H, 12) .
3. Dispositif selon la revendication 1 ou 2 dans lequel au moins une des entrées (11, 12) est reliée en amont à un évaporateur.
4. Dispositif selon l'une des revendications précédentes, comprenant au moins un générateur haute fréquence (56) comprenant une sortie reliée à la paroi supérieure (30) , la masse du générateur haute fréquence étant reliée au support (5) du substrat.
5. Dispositif selon l'une des revendications précédentes, dans lequel le support (5) du substrat comprend au moins un élément à température dirigée (55) pour contrôler la température dudit substrat.
6. Dispositif selon l'une des revendications précédentes, dans lequel la paroi supérieure (30) comprend une pluralité de plots (44) formant les premiers canaux (45) .
7. Dispositif selon la revendication 6, dans lequel les plots (44) sont montés en force dans la paroi supérieure (30) .
8. Dispositif selon l'une des revendications précédentes, dans lequel ledit anneau (49) d'évacuation des gaz comprend au moins une partie en matériau électriquement isolant.
9. Dispositif selon la revendication 8, dans lequel ledit anneau est réalisé de façon monobloc.
10. Dispositif selon la revendication 8, dans lequel ledit anneau (49) comprend une partie supérieure (50) à base de céramique ou revêtue de céramique et une partie inférieure (51) .
11. Dispositif selon l'une des revendications précédentes, dans lequel l'anneau (49) d'évacuation de gaz comporte au moins dix ouvertures (5Id) en communication avec la chambre (4), réparties sur la circonférence de l'anneau de façon que le champ vectoriel de vitesse d'évacuation des gaz soit de symétrie axiale par rapport à l'axe vertical de la chambre et que l'écoulement des gaz soit de nature laminaire dans la gamme de débit envisagée.
12. Dispositif selon l'une des revendications précédentes, dans lequel l'anneau (49) d'évacuation de gaz, la paroi supérieure (30) de la chambre et l'élément de chauffage (14) sont parallèles.
13. Dispositif selon l'une des revendications précédentes, dans lequel l'élément de chauffage (14) comprend un fluide caloporteur.
14. Dispositif selon l'une des revendications 1 à 11, dans lequel l'élément de chauffage (14) comprend une lampe infrarouge .
15. Dispositif selon l'une des revendications 1 à 11, dans lequel l'élément de chauffage (14) comprend une résistance isolée dans un boîtier à base de céramique.
16. Procédé de traitement de substrats dans une chambre (4) à température et pression contrôlées, un substrat étant supporté par un support (5) dans la chambre, dans lequel: - on chauffe des entrées (11, 12) de gaz à une température supérieure à une température de condensation, le chauffage étant réalisé par un fluide caloporteur;
- on injecte des gaz par lesdites entrées, les gaz traversant une paroi supérieure (30) de la chambre disposée sous les entrées, la traversée étant effectuée par des trous (45, 37) distincts selon la nature du gaz et pénétrant dans la chambre, les trous étant régulièrement répartis ;
- si l'on veut générer un plasma, on applique à la paroi supérieure une tension électrique à haute fréquence par rapport audit support .
PCT/FR2009/000479 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur WO2009136019A2 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/990,143 US8967081B2 (en) 2008-04-28 2009-04-22 Device and process for chemical vapor phase treatment
EP09742217.4A EP2271790B1 (fr) 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0802375 2008-04-28
FR0802375A FR2930561B1 (fr) 2008-04-28 2008-04-28 Dispositif et procede de traitement chimique en phase vapeur.

Publications (2)

Publication Number Publication Date
WO2009136019A2 true WO2009136019A2 (fr) 2009-11-12
WO2009136019A3 WO2009136019A3 (fr) 2009-12-30

Family

ID=40303474

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/FR2009/000479 WO2009136019A2 (fr) 2008-04-28 2009-04-22 Dispositif et procede de traitement chimique en phase vapeur

Country Status (5)

Country Link
US (1) US8967081B2 (fr)
EP (1) EP2271790B1 (fr)
KR (1) KR101639690B1 (fr)
FR (1) FR2930561B1 (fr)
WO (1) WO2009136019A2 (fr)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
WO2018130516A1 (fr) 2017-01-16 2018-07-19 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
WO2019042687A1 (fr) 2017-08-29 2019-03-07 Kobus Sas Procédé de dépôt d'un matériau isolant dans un trou d'interconnexion

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9267742B2 (en) 2010-01-27 2016-02-23 Applied Materials, Inc. Apparatus for controlling the temperature uniformity of a substrate
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
DE102012215513A1 (de) * 2012-08-31 2014-03-06 J. Schmalz Gmbh Greifvorrichtung
FR3002241B1 (fr) 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
FR3002242B1 (fr) * 2013-02-21 2015-04-03 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
CN105088334B (zh) * 2014-04-28 2018-01-09 北京北方华创微电子装备有限公司 顶盖装置及工艺设备
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
FR3056992B1 (fr) 2016-10-04 2022-03-11 Unity Semiconductor Procede d'injection d'especes chimiques en phase gazeuse sous forme pulsee avec plasma
FR3056993B1 (fr) 2016-10-04 2018-10-12 Kobus Sas Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US20190226089A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with hybrid material design
CN108807127B (zh) * 2018-06-01 2020-03-31 北京北方华创微电子装备有限公司 上电极组件、反应腔室以及原子层沉积设备
US20200048767A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN114464519B (zh) * 2021-12-27 2024-03-29 拓荆科技股份有限公司 抽气环及半导体处理装置

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
CH689767A5 (de) * 1992-03-24 1999-10-15 Balzers Hochvakuum Verfahren zur Werkstueckbehandlung in einer Vakuumatmosphaere und Vakuumbehandlungsanlage.
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
EP0738788B1 (fr) * 1995-04-20 2003-08-13 Ebara Corporation Appareillage pour la déposition des couches minces en phase vapeur
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
KR100471728B1 (ko) * 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5792273A (en) 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
DE60003850T2 (de) 1999-12-22 2004-03-11 Aixtron Ag Cvd reaktor und prozesskammer dafür
DE50100603D1 (de) * 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
EP1124252A2 (fr) * 2000-02-10 2001-08-16 Applied Materials, Inc. Appareil et méthode de traitement de substrats
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
EP1174910A3 (fr) * 2000-07-20 2010-01-06 Applied Materials, Inc. Méthode et appareil permettant d'enlever un substrat d'un support
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
KR20020023784A (ko) * 2001-12-18 2002-03-29 김중근 수직형 cnc 밀링 머신
JP2003213421A (ja) 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2003268552A (ja) * 2002-03-18 2003-09-25 Watanabe Shoko:Kk 気化器及びそれを用いた各種装置並びに気化方法
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100629172B1 (ko) * 2004-11-08 2006-09-27 삼성전자주식회사 막 형성 장치
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
None

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105431924A (zh) * 2014-04-09 2016-03-23 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US10727096B2 (en) 2014-04-09 2020-07-28 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
WO2018130516A1 (fr) 2017-01-16 2018-07-19 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US11193207B2 (en) * 2017-01-16 2021-12-07 Kobus Sas Treatment chamber for a chemical vapour deposition (CVD) reactor and thermalization process carried out in this chamber
WO2019042687A1 (fr) 2017-08-29 2019-03-07 Kobus Sas Procédé de dépôt d'un matériau isolant dans un trou d'interconnexion
US11189486B2 (en) 2017-08-29 2021-11-30 Kobus Sas Method for depositing an insulating material into a via

Also Published As

Publication number Publication date
US8967081B2 (en) 2015-03-03
KR20110036702A (ko) 2011-04-08
KR101639690B1 (ko) 2016-07-14
EP2271790B1 (fr) 2016-06-15
EP2271790A2 (fr) 2011-01-12
FR2930561A1 (fr) 2009-10-30
FR2930561B1 (fr) 2011-01-14
WO2009136019A3 (fr) 2009-12-30
US20110143551A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
EP2271790B1 (fr) Dispositif et procede de traitement chimique en phase vapeur
US20210246552A1 (en) Lids and lid assembly kits for atomic layer deposition chambers
EP2959034B1 (fr) Dispositif de dépôt chimique en phase vapeur
EP2959035B1 (fr) Dispositif de dépôt chimique en phase vapeur
FR2950478A1 (fr) Électrode en pomme de douche monolithique bloquée
EP1074041B1 (fr) Appareil multicouche de chauffage a haute temperature d'alliages
FR2953327A1 (fr) Ensemble formant anneau de bord pour chambres de gravure au plasma
FR2952226A1 (fr) Anneau de bord chaud avec surface supérieure en pente
JP3699142B2 (ja) 薄膜形成装置
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
US11335591B2 (en) Thermal process chamber lid with backside pumping
US11685994B2 (en) CVD device pumping liner
GB2478269A (en) Nanomaterials growth system and method
TW202204684A (zh) 用於沉積應用的高溫面板
EP3568505B1 (fr) Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en oeuvre dans cette chambre
KR101374300B1 (ko) 배기부재와 이를 이용한 기판 처리 장치 및 방법
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead
JP2020505515A (ja) 基板バイアスald用電気絶縁改善チャックシステムおよび方法
JP7467723B2 (ja) 垂直炉用のライナーおよびフランジ組立品ならびにライナーおよび垂直炉
JP2023530563A (ja) マルチゾーン半導体基板支持体
FR3035665A1 (fr) Procede d’utilisation d’un dispositif pecvd pour la mise en oeuvre d’une phase de nettoyage par plasma et/ou d’une phase de depot par plasma, et dispositif epcvd correspondant
FR2903525A1 (fr) Porte-substrat mecanique

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09742217

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009742217

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107026592

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 12990143

Country of ref document: US