WO2009112655A1 - Method of fabricating photomasks and device for implementing it - Google Patents

Method of fabricating photomasks and device for implementing it Download PDF

Info

Publication number
WO2009112655A1
WO2009112655A1 PCT/FR2008/052425 FR2008052425W WO2009112655A1 WO 2009112655 A1 WO2009112655 A1 WO 2009112655A1 FR 2008052425 W FR2008052425 W FR 2008052425W WO 2009112655 A1 WO2009112655 A1 WO 2009112655A1
Authority
WO
WIPO (PCT)
Prior art keywords
photomask
enclosure
infrared radiation
cleaning
sealed enclosure
Prior art date
Application number
PCT/FR2008/052425
Other languages
French (fr)
Inventor
Arnaud Favre
Magali Davenet
Jean-Marie Foray
Original Assignee
Alcatel Lucent
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel Lucent filed Critical Alcatel Lucent
Priority to CN2008801255744A priority Critical patent/CN101925860B/en
Priority to JP2010549172A priority patent/JP5372966B2/en
Priority to KR1020127034224A priority patent/KR101253948B1/en
Priority to KR1020107017316A priority patent/KR101253825B1/en
Publication of WO2009112655A1 publication Critical patent/WO2009112655A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The method of fabricating photomasks comprises at least one step of cleaning the photomask and at least one step of laying a protective film on the photomask after it has been fabricated. The method according to the invention furthermore includes at least one step of removing the ammoniacal and sulphate residues between the cleaning step and the film application step. This step comprises the following operations:  the photomask is placed in a sealed enclosure; a low pressure is established in the sealed enclosure by pumping out the gases that it contains; the photomask is subjected to infrared irradiation; the infrared irradiation is stopped; a check is made that the temperature of the photomask is at most equal to 50°C; atmospheric pressure is re-established in the enclosure; and the photomask is extracted from the enclosure. The device for implementing the method according to the invention comprises a sealed enclosure containing at least one photomask, a pumping unit for creating and maintaining the vacuum inside the enclosure, a system for holding at least one photomask in place, positioned inside the sealed enclosure, infrared irradiation means, and a gas injection system.

Description

Procédé de fabrication de photomasques et dispositif pour sa mise en œuvre Method of manufacturing photomasks and device for its implementation
La présente invention se rapporte à un procédé de fabrication des photomasques, notamment employés dans la fabrication de composants microélectrontques aux dimensions submicroniques. Elle s'étend en outre au dispositif pour la mise en œuvre de ce proeédé.The present invention relates to a method for manufacturing photomasks, in particular used in the manufacture of microelectronic components with submicron dimensions. It also extends to the device for the implementation of this process.
Le micro-usinage des substrats semiconducteurs, notamment en silicium est actuellement réalisé par des techniques de gravure au plasma à partir d'un motif transféré sur le substrat à partir d'un masque. Un photomasque est équivalent à un négatif en photographie : il contient une information à imprimer sur un support 11 est utflsé généralement en transmission pour des insolations et impressions sur des substrats semiconducteurs. Différents paramétres dont la longueur d'onde de focalisation définissent la profondeur de la zone active qui est imprimée directement sur le substrat En dehors de cette zone, les détails ne sont pas imprimée maie peuvent avoir un impact sur la transmission du photomasque. Les pollutions dans la zone active ont un effet direct sur limage imprimée sur le substrat avec l'impression d'un défaut Mais ils n'ont qu'un effet indirect sur cette image sus Interviennent en dehors de cette zone, comme par exemple la diminution du contraste ou la réduction de la transmission du photomasque.The micromachining of semiconductor substrates, in particular of silicon, is currently carried out by plasma etching techniques from a pattern transferred onto the substrate from a mask. A photomask is equivalent to a negative in photography: it contains information to be printed on a medium 11 which is generally used in transmission for insolations and printing on semiconductor substrates. Different parameters whose focusing wavelength defines the depth of the active area that is printed directly on the substrate Outside this area, the details are not printed but may have an impact on the transmission of the photomask. Pollution in the active zone has a direct effect on the image printed on the substrate with the impression of a defect But they have only an indirect effect on this image. Suspended outside this zone, as for example the decrease contrast or reduction of photomask transmission.
Par ailleurs. (Industrie du semi-conducteur cherche à réduire la dimension de l'image inscrite afin d'obtenir des composants électroniques toujours plus petits, intégrales et moins coûteux. Les dimensions des photomasques se réduisant, tes exigences en matière de pollution deviennent de plus en plus strictes. Le photomasque est donc un élément clef, cher et cornplexe que l'on cherche à conserver propre et opérationnel. La zone active des photomasques doit impérativement être exempte de toute particule, notamment dans le plan focal, car ces particules contaminantes créent un défaut qui s'imprime et se répète sur le substrat semiconducteur. A la fin de sa fabrication, te masque est nettoyé puis une pellicule est appliquée sur te photomasque afin de protéger sa face active d'éventuelles particules. La pellicule a pour but de protéger le photomasque pendant sa vie chez l'utifisatcur. Le pelliculage consiste en un dépôt d'une membrane optique (surfaces multicoυches parallèles) ayant une bonne transmission et un impact réduit sur les rayons optiques qui ia traversent Cette pellicule est déposée du coté de la face active du photomasqυe, et séparée de celle-ci par un espace. Les polluants susceptibles de se déposer sur la face active du photomasque vont ainsi se déposer sur la pellicule en dehors de la zone de focalisation (éloignement physique de la surface active). Ainsi ces polluants ne seront pas imprimés dans le transfert par lithographie : la pellicule ne protège pas directement des polluants particulaires mais permet de réduire leur impact sur l'image.Otherwise. (Semiconductor industry seeks to reduce the size of the inscribed image in order to obtain ever smaller, integral and less costly electronic components.) Photomask dimensions are shrinking, pollution requirements are becoming more and more important. The photomask is therefore a key element, expensive and complex, that one seeks to keep clean and operational.The active area of the photomasks must absolutely be free of any particles, especially in the focal plane, because these contaminating particles create a defect. which is printed and repeated on the semiconductor substrate.At the end of its manufacture, the mask is cleaned and a film is applied to the photomask to protect its active side from any particles. photomask during its life at the utifisatcur.Laminating consists of a deposition of an optical membrane (parallel multi-layer surfaces) a a good transmission and a reduced impact on the optical rays that pass through this film is deposited on the side of the active face of the photomasque, and separated therefrom by a space. The pollutants likely to be deposited on the active face of the photomask will thus be deposited on the film outside the focusing zone (physical distance from the active surface). Thus, these pollutants will not be printed in the transfer by lithography: the film does not directly protect particulate pollutants but reduces their impact on the image.
Le document US-2001/005944 s'est intéressé à l'élimination des contaminants gazeux provenant de Patmosphèfθ environnante, tels que O2, CO2 et H2O, qui peuvent se trouver présents dans l'espace entre le photomasque et la pellicule. Ces contaminants sont particulierement gênants car ils empêchent te bon déroulement de l'opération de photolithographie en inhibant la transmission de la radiation à 157nm qui est habituellement utilisée. La déαmtarnination s'opère dans une enceinte étanche, sous vide ou sous gaz optiquement inerte, par exposition à un rayonnement UV, à un plasma, à de l'ozone, et/ou à la chaleur. Ce traitement a pour effet d'accélérer la dêsorption des gaz.Document US-2001/005944 has focused on the removal of gaseous contaminants from the surrounding atmosphere, such as O 2 , CO 2 and H 2 O, which may be present in the space between the photomask and the film . These contaminants are particularly troublesome because they prevent the smooth operation of the photolithography operation by inhibiting the transmission of the 157nm radiation that is usually used. Defaetarnination takes place in a sealed enclosure, under vacuum or under optically inert gas, by exposure to UV radiation, plasma, ozone, and / or heat. This treatment has the effect of accelerating the desorption of gases.
Cependant l'augmentation de l'énergie nécessaire à l'insolation pour la création de motifs de plus en plus petits a engendré un nouveau problème. Les gaz présents sous la pédicule, tels que l'ammoniac, le fluor et des composés volatils organiques, βe recombinent sous l'effet de cette forte énergie pour engendrer des cristaux qui vont croître avec le temps. Ces cristaux qui apparaissent sous la pellicule, donc dans la zone focale, produisent des défauts dans la zone imprimée sur le substrat. Ces cristaux représentent un problème majeur car ils engendrent des défauts non prévisibles et nombreux sur les substrats et peuvent affecter plue de 20 % des photomasques actuels les plus avancés. Une des réactions chimiques se produisant peut être schématisée par la formule suivante :
Figure imgf000004_0001
However, the increase in the energy required for insolation for the creation of smaller and smaller patterns has created a new problem. The gases present under the pedicle, such as ammonia, fluorine and organic volatile compounds, βe recombine under the effect of this high energy to generate crystals that will grow with time. These crystals appearing under the film, therefore in the focal zone, produce defects in the area printed on the substrate. These crystals represent a major problem because they generate unpredictable and numerous defects on the substrates and can affect more than 20% of the most advanced current photomasks. One of the chemical reactions occurring can be schematized by the following formula:
Figure imgf000004_0001
L'ammoniac NH3 provient de multiples sources, mais essentiellement de l'activité humaine dans les zones de fabrication des photomasques et d'utilisation de ces photomasques. Dans le but de réduire le phénomène de croissance cristalline, les fabricants de semi-conducteurs et de photomasques ont investi massivement pour limiter l'ammoniac présent dans la salle blanche et ont défini des stratégies de stockage et de transport des photomasqueε sous environnement protégé pour diminuer leur contact avec l'ammoniac. L'acide surfurique H2SO4 est largement υtilisé par tes fabricants de photomasques dans les étapes de fabrication, et notamment au cours des opérations de gravure et décapage (« stripping » en anglais). Dans le cas lé plus courant, la dernière étape de nettoyage, avant rétape de pelliculage, nécessite des sulfates et génère des résidus Sulfatés. La dernière étape de décapage, consistant à enlever la résine précédemment déposée et qui précède le nettoyage, génère aussi des résidus de sulfate. Ces résidus sulfatés emprisonnés sous la pellicule vont donc désorber, ce qui est la raison principale de fappartlion de ces cristaux chez les fabricants de puces électroniques. Les fabricants de photomasques s'efforcent de réduire la quantité de sulfates utilisée dans les étapes de nettoyage en modifiant les procédés ou en ajoutant des étapes visant â réduire la teneur en résidus de sulfate. Toutefois ces nouveaux procédés ou les techniques palliatives mises en place sont plus coûteux et moins efficaces, et il n'est pas possible de supprimer totalement l'utilisation de sulfates dans les étapes de fabrication.Ammonia NH 3 comes from multiple sources, but essentially from human activity in photomask manufacturing areas and use of these photomasks. In order to reduce the phenomenon of crystalline growth, semiconductor and photomask manufacturers have invested heavily to limit the ammonia present in the clean room and have defined photomask storage and transport strategies under protected environment to reduce their contact with ammonia. Surfactic acid H 2 SO 4 is widely used by photomask manufacturers in the manufacturing stages, and in particular during etching and stripping operations. In the most common case, the last cleaning step, before filming, requires sulphates and generates sulphated residues. The last etching step, of removing the resin previously deposited and which precedes the cleaning, also generates sulphate residues. These sulphated residues trapped under the film will therefore desorb, which is the main reason for the appearance of these crystals in the manufacturers of electronic chips. Photomask manufacturers endeavor to reduce the amount of sulfates used in the cleaning steps by modifying the processes or adding steps to reduce the sulfate residue content. However, these new processes or palliative techniques put in place are more expensive and less effective, and it is not possible to completely eliminate the use of sulfates in the manufacturing steps.
La pelliculle est mise en place après une étape de décapage suivie d'une étape de nettoyage. La dernière étape de nettoyage est effectuée dans une zone propre. Cette pellicule va permettre de garantir que les particules générées dans la salle blanche ou dans les équipements de production ne vont pas se poser sur (a face active du photomasque. Une des solutions palliatives consiste à inspecter périodiquement le surface active du photomasque. Dés l'apparition des premiers cristaux, le photomasque est renvoyé à son fabricant. La pellicule est enlevée, nettoyée puis une nouvelle pellicule est déposée sur le photomasque. Cette opération doit être effectuée par les fabricants de photomasques et non par les utilisateurs, ce qui provoque une perte de temps et des coûts supplémentaires importants de gestion des stocks liés à la durée d'utilisation raccourcie des photomasquβs.The film is put in place after a stripping step followed by a cleaning step. The last cleaning step is done in a clean area. This film will make it possible to guarantee that the particles generated in the clean room or in the production equipment will not rest on the active face of the photomask, One of the palliative solutions consists in periodically inspecting the active surface of the photomask. When the first crystals appear, the photomask is sent back to the manufacturer The film is removed, cleaned and a new film is deposited on the photomask This must be done by the manufacturers of photomasks and not by the users, which causes a loss time and significant additional costs of inventory management related to the shorter use of photomasquβs.
Le problème le plus important aujourd'hui pour les fabricants de composants semiconducteurs est donc la disponibilité et la durée d'utilisation de ces photomasques pour la production de semiconducteurs. En effet ces photomasques sont un maillon majeur dans la fabrication des puces électroniques, et leur technicité accrue implique un coût de plus en plus importantThe most important problem today for manufacturers of semiconductor components is therefore the availability and the duration of use of these photomasks for the production of semiconductors. Indeed these photomasks are a major link in the manufacture of electronic chips, and their increased technicality implies a cost more and more important
La présente invention a donc pour but de permettre une durée d'utilisation plus longue des photomasqυes en diminuant la fréquence des opérations de nettoyage. Linventioπ a aussi pour but de réduire le risque de dégradation du photomasque lié à ta poflution par formation de cristaux dans le volume placé sous la pellicule.The present invention therefore aims to allow a longer use of photomasks by decreasing the frequency of cleaning operations. Linventioπ also aims to reduce the risk of degradation of the photomask associated with the poflution by formation of crystals in the volume placed under the film.
L'invention a encore pour but de proposer un procédé permettant rélimfnatton des composés résiduels ammoniaques et sulfatés résultant de la fabrication des photomasques.It is another object of the invention to provide a process for the reclamation of residual ammonia and sulfated compounds resulting from the manufacture of photomasks.
L'objet de la présente invention est un procédé dé fabrication de photomasque comportant au moins une étape de nettoyage du photomasque et au moins une étape de mise en place d'une peflicule de protection sur le photomasque. Le procédé comporte en outre au moins une étape d'élimination des résidus ammoniaques et sulfatés entre l'étape de nettoyage et l'étape de mise en place de la particule. L'étape d'élimination des résidus ammoniaques et sulfatés comprend : - on place le photomasque dans une enceinte étancbe, - on établit une basse pression dans l'enceinte étanche en pompant les gaz qu'elle contient,The object of the present invention is a photomask manufacturing process comprising at least one cleaning step of the photomask and at least one step of placing a protective film on the photomask. The method further comprises at least one step of removing ammonia and sulfated residues between the cleaning step and the step of placing the particle. The step of eliminating ammonia and sulphated residues comprises: placing the photomask in a sealed enclosure; establishing a low pressure in the sealed enclosure by pumping the gases contained therein;
- on soumet le photomasque à un rayonnement infrarouge,the photomask is subjected to infrared radiation,
- on arrête le rayonnement infrarouge,- the infrared radiation is stopped,
- on vérifie que la température du photomasque est au plus égale à 50°C,- it is verified that the temperature of the photomask is at most equal to 50 ° C,
- on rétablit la pression atmosphérique dans Penceinte. et - on extrait le photomasque de l'enceinte.- the atmospheric pressure is restored in the chamber. and the photomask is extracted from the enclosure.
De préférence les gaz sont pompés pendant une durée comprise entre 20 minutes et 5 heures.The gases are preferably pumped for a period of between 20 minutes and 5 hours.
Le rayonnement infrarouge (IR) accélère la désorption sélective des espèces visées, et en améliorer le rendement Le pompage des gaz contenus dans l'enceinte afin d'y établir le vide, utilisé simultanément au rayonnement infrarouge, améliore sensiblement la désorption des résidus, et notamment permet de désorber la quasi- totalité des composés ammoniaques et sulfatés issus des étapes de nettoyage et de décapage. L'élimination des résidus ammoniaques et sulfatés permet la pose de la pellicule de protection sur un substrat parfaitement propre. La longueur d'onde du rayonnement infrarouge est le paramètre principal influant sur la déscription qui s'effectuera plus ou moins en prorondeur selon la longueur d'onde choisie. Des ondes de rayonnement infrarouge de longueur d'onde dite « courte» » vont entrer plu» en profondeur dans le matériau que les ondes de longueur d'onde dite « moyenne » ou « longue » qui seront plus efficaces en surface.Infrared radiation (IR) accelerates the selective desorption of the targeted species, and improves their efficiency Pumping the gases contained in the enclosure to establish a vacuum, used simultaneously with infrared radiation, substantially improves the desorption of residues, and In particular, it is possible to desorb almost all the ammonia and sulphated compounds resulting from the cleaning and stripping steps. The removal of ammonia and sulphated residues allows the laying of the protective film on a perfectly clean substrate. The wavelength of the infrared radiation is the main parameter influencing the description, which will be done more or less in prorounder according to the chosen wavelength. Infrared radiation waves of said wavelength "Short" will go deeper into the material than wavelengths of "medium" or "long" wavelengths that will be more effective on the surface.
Le rayonnement infrarouge devra être soigneusement contrôlé car il provoque un θcnauffement du photomasque pont la température ne doit pas excéder 300*C impérativement Au-delà de 3CX)9C. le photomasque est irréversiblement endommagé. La température peut être comprise entre 50°C et 300'C, de préférence entre 50°C et 150°C, et de préférence encore è une température voisine de 80°C. L'augmentation de la température résultant de l'application du rayonnement infrarouge contribue à raccélératioή du phénomène de désorptioo par diffusion. Avantageusement la pression atmosphérique est rétablie dans l'enceinte lorsque la température à l'intérieur de l'enceinte est inférieure ou égale à 50* C, ce qui peut nécessiter un temps d'attente après arrêt du rayonnement infrarouge.Infrared radiation must be carefully controlled because it causes a θcnauffement the photomask bridge temperature must not exceed 300 ° C imperative Beyond 3CX) 9 C. photomask is irreversibly damaged. The temperature may be between 50 ° C and 300 ° C, preferably between 50 ° C and 150 ° C, and more preferably at a temperature of 80 ° C. The increase in temperature resulting from the application of the infrared radiation contributes to acceleration of the desorption phenomenon by diffusion. Advantageously, the atmospheric pressure is re-established in the chamber when the temperature inside the chamber is less than or equal to 50 ° C., which may require a waiting time after stopping the infrared radiation.
Selon une variante particulière, un gaz propre est introduit avec un flux constant simultanément au pompage des gaz dans l'enceinte. La présence d'un tel gaz est susceptible d'accélérer la désorption de certains autres composés organiques.According to a particular variant, a clean gas is introduced with a constant flow simultaneously with the pumping of the gases in the chamber. The presence of such a gas is likely to accelerate the desorption of some other organic compounds.
La remontée en pression à l'intérieur de l'enceinte est réalisée de préférence par injection d'un gaz propre non-réactif, tel que Pair ou un gaz neutre comme l'azote ou l'argon.The rise in pressure inside the chamber is preferably performed by injection of a clean non-reactive gas, such as air or a neutral gas such as nitrogen or argon.
Pour augmenter encore la performance, on peut utiliser Ie procédé d'élimination des résidus ammoniaques et sulfatés non seulement après la dernière étape de nettoyage, mais également après d'autres étapes de fabrication précédant le nettoyage et mettant en œuvre des résidus sulfatés, comme par exempte l'étape de décapage.To further increase the performance, the ammonia and sulphate residue removal process can be used not only after the last cleaning step, but also after other manufacturing steps prior to cleaning and using sulphated residues, such as exempts the stripping step.
L'invention a encore pour objet un dispositif pour la mise en œuvre du procédé précédemment décrit comprenant : • une enceinte étanche contenant au moins un photomasque,The invention also relates to a device for implementing the previously described method comprising: a sealed enclosure containing at least one photomask,
- un groupe de pompage pour installer et maintenir le vide à l'intérieur de Penceinte,- a pumping group to install and maintain the vacuum inside Penceinte,
- un système de maintien d'au moins un photomasque, placé à l'intérieur de l'enceinte étanche,a system for holding at least one photomask, placed inside the sealed enclosure,
- des moyens de rayonnement infrarouge, - un système d'injection de gaz.infrared radiation means, a gas injection system.
Le système de maintien peut avantageusement être conçu pour permettre le traitement simultané de plusieurs photomasques. Selon une variante de réalisation du dispositif, tes parois internes de l'enceinte réfléchissent les ondes émises.The holding system may advantageously be designed to allow the simultaneous processing of several photomasks. According to an alternative embodiment of the device, the internal walls of the enclosure reflect the transmitted waves.
Selon une autre variante de réalisation, le système d'injection de gaz comprend un ou plusieurs injecteurs en forme de douche. Selon une autre variante de réalisation, le système d'injection de gaz comprend un ou plusieurs filtres à particules.According to another variant embodiment, the gas injection system comprises one or more shower-shaped injectors. According to another variant embodiment, the gas injection system comprises one or more particulate filters.
Le dispositif peut comprendre en outre une jauge de pression pour le contrôle de la pression à l'interieur de l'enceinte.The device may further comprise a pressure gauge for controlling the pressure inside the enclosure.
Le dispositif peut aussi comprendre une sonde de température pour mesurer la température du photomasque.The device may also include a temperature probe for measuring the temperature of the photomask.
D'autres caractéristiques et avantages de la présente invention apparaitront au cours de la description suivante de modes de réalisation, donnée bien entendu à titre illustratif et non limitatif, et dans le dessin annexé sur lequelOther features and advantages of the present invention will become apparent from the following description of embodiments, given of course by way of illustration and not limitation, and in the accompanying drawing in which:
- la figure 1 montre scbématiquement les différentes étapes d'un mode de réalisation du procédé selon rinvention,FIG. 1 shows schematically the various steps of an embodiment of the process according to the invention,
- la figure 2 montre schématiquemenl un exemple du positionnement des moyens de rayonnement infrarouge par rapport aux photomasques,FIG. 2 schematically shows an example of the positioning of the infrared radiation means with respect to the photomasks,
- la figure 3 représente une installation adaptée à la réalisation de l'étape d'élimination des résidus ammoniaques et sulfatés,FIG. 3 represents an installation adapted to carrying out the step of eliminating ammonia and sulphated residues,
- la figure 4 représente une variante d'une installation adaptée a la réalisation de l'étape d'élimination des résidus ammoniaques et sulfatés,FIG. 4 represents a variant of an installation adapted to carrying out the step of eliminating ammonia and sulphated residues,
- la figure 5 est une comparaison du taux de sulfates résiduel dans les photomasques en fin de fabrication.- Figure 5 is a comparison of the residual sulfates content in the photomasks at the end of manufacture.
Un mode de réalisation du procédé de fabrication des photomasques, selon la présente invention, est schémaUquement représenté sur la figure 1. La fabrication de photomasques comprend habituellement plusieurs étapes. Un substrat, par exemple en quartz 1 revêtu de chrome 2, est recouvert d'une couche de résine 3 sur laquelle on reproduit le motif à graver, au moyen d'un faisceau laser ou électronique par exempleOne embodiment of the photomask manufacturing method, according to the present invention, is schematically shown in FIG. 1. The manufacture of photomasks usually comprises several steps. A substrate, for example made of quartz 1 coated with chromium 2, is covered with a layer of resin 3 on which the pattern to be etched is reproduced by means of a laser or electronic beam, for example
(étape A). L'étape B est une étape de gravure durant laquelle le motif est gravé dans la couche de chrome 2. Au cours d'une étape C1 le photomasque, une fois gravé, est décapé par voie humide afin d'éliminer la résine 3 et les sous-produits de la réaction d'attaque. Le photomasque obtenu subit alors plusieurs opérations successives de nettoyage (étape E), de contrôle (étapes D et F) et de réparation éventuelle (étape G) au cours des étapes D à Q. Un nettoyage final est effectué lors de l'étape H. Les conditions de nettoyage couramment utilisées impliquent ta mise en oeuvre de sulfates dont il est nécessaire de se débarrasser avant l'étape I de recouvrement du photomasque par une pellicule protectrice 4. En effet pour les raisons évoquées précédemment, la présence de sulfates dans la zone active 5 du photomaεquβ sous la pellicule 4 doit absolument être évitée.(step A). Step B is an etching step during which the pattern is etched in the chromium layer 2. During a step C 1, the photomask, once etched, is wet etched in order to eliminate the resin 3 and the byproducts of the attack reaction. The photomask obtained then undergoes several successive cleaning (step E), control (steps D and F) and possible repair (step G) operations. during the steps D to Q. Final cleaning is carried out during step H. The cleaning conditions commonly used involve the implementation of sulphates which must be discarded before the photomask recovery step I by 4. Indeed, for the reasons mentioned above, the presence of sulfates in the active zone 5 of the photomaεquβ under the film 4 must absolutely be avoided.
Une étape J d'élimination des résidus ammoniaques et sulfatés, mettant en oeuvre la combinaison d'un rayonnement infrarouge et d'un pompage sous vide, est intercalée entre l'étape H de nettoyage et retape I de pellicuiage de manière à supprimer la contamination du photomasque, notamment par les sulfates. Cette étape J comprend plusieurs opérations qui composent trois phases distinctes.A removal step of the ammonia and sulfated residues, using the combination of infrared radiation and vacuum pumping, is interposed between the cleaning step H and the pelleting process to remove the contamination. photomask, especially by sulphates. This step J includes several operations that make up three distinct phases.
Au cours d'une première phase, le photomasque étant dans l'enceinte, les gaz présents dans l'enceinte sont pompés. Durant cette partie, le paramètre de contrôle est la vitesse de pompage. La pente de descente en pression est ajustée de manière à éviter la cristallisation de feau. Simultanément, les moyens de rayonnement infrarouge sont mis en route afin de permettre au système de contrôle de la longueur d'onde d'être préconditionné. Le photomasque est soumis au rayonnement infrarouge pour permettre d'accélérer le dégazage des contaminants, pendant que te pompage se poursuitDuring a first phase, the photomask being in the chamber, the gases present in the chamber are pumped. During this part, the control parameter is the pumping speed. The pressure drop slope is adjusted to prevent water crystallization. Simultaneously, the infrared radiation means are turned on to allow the wavelength control system to be preconditioned. The photomask is subjected to infrared radiation to accelerate the degassing of contaminants while pumping continues
La deuxième phase s'effectue sur un palier de température et de pression. Les trois paramètres température, pression et longueur d'onde IR sont interdépendants. La longueur d'onde du rayonnement infrarouge est ajustée pour permettre la désorptlon des résidus ammoniaques et sulfatés. La pression permet de contrôler le seuil de désorption et la température est contrôlée afin de permettre l'ajustement de la régulation en longueur d'onde. Le rayonnement infrarouge étant stoppé, la troisième phase débute par une remontée en pression dans l'enceinte dès que celle-ci a atteint une température égale ou inférieure à 50°C environ. La faible pression régnant dans l'enceinte contribue à abaisser ta température. Le paramétre de contrôle de cette phase est la température. Un contrôle de la pression dans l'enceinte peut être aussi utilisé pour contrôler le refroidissement. La remontée en pression est réalisée au moyen d'un gaz propre non-réactif. En fin de cycle, la pression imposée de gaz propre est légèrement supérieure à la pression atmosphérique durant un court laps de temps de manière à favoriser l'adsorption du gaz propre à la surface du photomasque, ce qui permet de le protéger des contaminants extérieurs lorsqu'on le retire de l'enceinte. Le photomasque est refroidi à une température au plus égale à 50°C, de manière à ressortir de l'enceinte à une température voisine de la température ambiante, dans le but d'éviter la ré-adsorption des gaz présents dans ratmosphère qui pourrait se produire lors de la baisse de température.The second phase is carried out on a temperature and pressure stage. The three temperature, pressure and IR wavelength parameters are interdependent. The wavelength of the infrared radiation is adjusted to allow the desorption of the ammonia and sulphated residues. The pressure controls the desorption threshold and the temperature is controlled to allow adjustment of the wavelength regulation. The infrared radiation being stopped, the third phase begins with a rise in pressure in the chamber as soon as it has reached a temperature equal to or less than 50 ° C. The low pressure in the chamber helps to lower your temperature. The control parameter of this phase is the temperature. A pressure control in the enclosure can also be used to control the cooling. The pressure rise is carried out using a non-reactive clean gas. At the end of the cycle, the imposed pressure of clean gas is slightly higher than the atmospheric pressure for a short period of time so as to favor the adsorption of the clean gas on the surface of the photomask, which makes it possible to protect it from external contaminants when it is removed from the enclosure. The photomask is cooled to a temperature at most equal to 50 ° C, so as to emerge from the chamber at a temperature close to room temperature, in order to avoid the re-adsorption of gas present in the atmosphere that could occur during the decline of temperature.
Dans un autre mode de réalisation, cette étape J d'élimination des résidus ammoniaques et sulfatés peut aussi être placée avant rétape de nettoyage H, notamment après certaines étapes impliquant la persistance de résidus sulfatés. Une étape d'élimination J' pourrait par exemple être intercalée en outre entre l'étape de décapage C et l'étape de contrôle D.In another embodiment, this step of eliminating ammonia and sulphated residues may also be placed before cleaning step H, in particular after certain steps involving the persistence of sulphated residues. An elimination step J 'could for example be inserted further between the pickling step C and the control step D.
La figure 2 montre un exemple de la manière dont le rayonnement infrarouge 20 généré par les moyens dé rayonnement 21 se réfléchissent sur les photomasques 22 d'une part et sur les parois internes 23 réfléchissantes de l'enceinte étanche 24 d'autre part Les éléments chauffants peuvent être placés au-dessus des photomasques et /ou en-dessous des photomasques, ou intercalés entre deux couches de photomasques par exemple.FIG. 2 shows an example of how the infrared radiation generated by the radiation means 21 is reflected on the photomasks 22 on the one hand and on the internal reflective walls 23 of the sealed enclosure 24 on the other hand Heaters can be placed above the photomasks and / or below the photomasks, or interposed between two layers of photomasks for example.
On privilégie l'utilisation d'un rayonnement infrarouge car la sélectivité vis à vis des espèces à éliminer et le rendement sous vide est élevé. En choisissant judicieusement les caractéristiques du rayonnement infrarouge 20, comme par exemple la longueur d'onde, la désorption s'effectuera plus ou moins en profondeur. Des ondes de rayonnement infrarouge de longueur d'onde dite « courtes » vont entrer plus en profondeur dans le matériau que les ondes de longueur d'onde dite « moyenne » ou « longue » qui seront plus efficaces en surface.The use of infrared radiation is preferred because the selectivity with respect to the species to be eliminated and the yield under vacuum is high. By judiciously choosing the characteristics of the infrared radiation 20, such as, for example, the wavelength, the desorption will be carried out more or less in depth. Infrared radiation waves of "short" wavelength will go deeper into the material than wavelengths of "medium" or "long" wavelengths that will be more effective on the surface.
Une température inférieure à 300°C, par exemple voisine de 80°C peut être appliquée sans endommager le photomasque. Avantageusement une régulation d'émission du rayonnement infrarouge par hachage, c'est à dire par application successive d'une tension V et d'une tension nuHe permettant d'obtenir des crêtes de puissance du rayonnement infrarouge, est utilisée. Cette régulation permet de contrôler réchauffement des photomasques sans perdre les caractéristiques (longueur d'onde) du rayonnement infrarouge. Cette régulation permet aussi de faire varier la longueur d'onde du rayonnement infrarouge. En associant la régulation d'émission du rayonnement par hachage et la modification de (a longueur d'onde du rayonnement infrarouge, il est ainsi possible de provoquer la désorption du photomasque à plusieurs niveaux de profondeur dans le matériau. Pour apporter de l'énergie infrarouge au photomasqυe, une autre méthode est d'utiliser un générateur micro-onde, couplé à une barre métallique qui rayonnera des ondes infrarouges.A temperature below 300 ° C, for example close to 80 ° C can be applied without damaging the photomask. Advantageously, a regulation of emission of the infrared radiation by hashing, ie by successive application of a voltage V and a voltage nuHe making it possible to obtain power peaks of the infrared radiation, is used. This regulation makes it possible to control the heating of the photomasks without losing the characteristics (wavelength) of the infrared radiation. This regulation also makes it possible to vary the wavelength of the infrared radiation. By combining the emission control of the hash radiation and the modification of the wavelength of the infrared radiation, it is thus possible to cause the desorption of the photomask at several depth levels in the material. Another method to add infrared energy to photomasks is to use a microwave generator coupled to a metal bar that will radiate infrared waves.
Dans le mode de réalisation de l'invention illustré sur la figure 3, les photomasqυes 31 (ne portant pas encore de pellicule) sont placés dans une enceinte 32 étanche maintenue sous vide au moyen d'un groupe de pompage 33. Une jauge de pression 34 permet de contrôler la pression à l'intérieur de l'enceinte 32. Les photomasques sont placés sur des étagères 35 superposées, et ils sont supportés par des entretoises 36 non métalliques. Les photomasques sont soumis dans te cas présent à un rayonnement infrarouge au moyen d'un dispositif 37 disposé sur la paroi de renceinte 32, par exemple un dispositif à micro-ondes tel que mentionné précédemment Le dispositif 37 est piloté par une boucle de régulation 38 du rayonnement en fonction de la température du photomasque 31 mesurée par la sonde de température 39 associée. La géométrie et la disposition des éléments rayonnants 37 sont choisies afin d'obtenir une action homogène et optimisée sur toute la surface des photomasques 31.In the embodiment of the invention illustrated in FIG. 3, the photomasks 31 (not yet carrying a film) are placed in a sealed enclosure 32 held under vacuum by means of a pumping unit 33. A pressure gauge 34 controls the pressure inside the enclosure 32. The photomasks are placed on superimposed shelves and are supported by non-metallic spacers 36. In this case, the photomasks are subjected to infrared radiation by means of a device 37 placed on the enclosure wall 32, for example a microwave device as mentioned above. The device 37 is controlled by a control loop 38 radiation as a function of the temperature of the photomask 31 measured by the associated temperature probe 39. The geometry and the arrangement of the radiating elements 37 are chosen in order to obtain a homogeneous and optimized action on the entire surface of the photomasks 31.
Avantageusement la surface de l'enceinte 32 peut être polie mécaniquement ou électrolytiquemenL pour favoriser la réflexion du rayonnement infrarouge sur les photomasques 31. La forme de l'enceinte 32 permet aussi de répartir le rayonnement infrarouge de manière homogène. Une des contraintes importantes à laquelle est soumise l'installation est que la mise en œuvre du procédé ne doit pas générer de particules. C'est pourquoi le système d'injection 40 de gaz comprend au moins un injecteur en forme de douche 41 permettant de diminuer la vitesse d'injection dans l'enceinte 32 sous vide. Le système d'injection 40 est en outre pourvu de filtres à particules 42. Avantageusement le système d'injection 40 comprend un ou plusieurs injecteurs de gaz en forme de douche 41, ce qui permet d'éviter les turbulences gazeuses lors de la remise de l'enceinte 32 à la pression atmosphérique L'étape de remise à pression atmosphérique s'effectue suivant une équation mathématique du type : y = ax2 + b dans laquelle y est le flux et x est la pression. Cette manière de procéder permet d'avoir une vitesse d'injection faible é faible pression, la contamination porticulaire étant plue importante à faible pression.Advantageously, the surface of the enclosure 32 may be mechanically or electrolytically polished to promote the reflection of the infrared radiation on the photomasks 31. The shape of the enclosure 32 also makes it possible to distribute the infrared radiation homogeneously. One of the important constraints to which the installation is subjected is that the implementation of the method must not generate particles. This is why the gas injection system 40 comprises at least one shower-shaped injector 41 for reducing the injection speed in the chamber 32 under vacuum. The injection system 40 is furthermore provided with particulate filters 42. Advantageously, the injection system 40 comprises one or more gas injectors in the form of a shower 41, which makes it possible to avoid gas turbulence during the delivery of the gas. the chamber 32 at atmospheric pressure The atmospheric pressure resetting step is carried out according to a mathematical equation of the type: y = ax 2 + b in which y is the flow and x is the pressure. This way of proceeding makes it possible to have a low injection speed and a low pressure, the porticular contamination being greater at low pressure.
Lors de rétape d'élimination des résidus ammoniaques et sulfatés, des moyens de mesure du dégazage 43 permettent de s'assurer du bon déroulement des opérations, par le suivi d'au moins un des paramètres suivants :When the removal of ammonia and sulphated residues is carried out, means of measuring outgassing 43 make it possible to ensure that the operations run smoothly, by monitoring at least one of the following parameters:
- la pression partielle des gaz, - ia pression limite du groupe de pompage 33,- the partial pressure of the gases, the pressure limit of the pumping unit 33,
- le poids du photomasque 31,the weight of the photomask 31,
- la puissance réfléchie par les parois de l'enceinte 32. Le groupe de pompage33,Ie systéme d'injection 40 et les moyens de mesure du dégazage 43 sont reliées à un dispositif 44 de contrôle et de pilotage dit PLC (pour « Programmable Logic Controller » en anglais).the power reflected by the walls of the enclosure 32. The pumping unit33, the injection system 40 and the degassing measurement means 43 are connected to a control and control device 44 called PLC (for "Programmable Logic Controller ").
On considérera maintenant la figure 4 qui illustre un autre mode de réalisation d'une installation 31 adaptée à la réalisation de l'étape d'élimination des résidus ammoniaques et sulfatés, dans laquelle le dispositif de rayonnement 47 est placé à l'extérieur de renceinte 48 sous vide. Une interface 49, un hublot par exemple, ménagée dans la paroi de renceinte 48 laisse passer les ondes en direction du photomasque 31. Le choix du matériau constitutif de l'interface 49 entre le dispositif de rayonnement 47 et les photomaaqυos 31 est critique car ce matériau doit laisser passer les ondes destinées au photomasque 31, sans poser de problème de dissipation du rayonnement qu'elles transportent. On choisira avantageusement le quartz.FIG. 4, which illustrates another embodiment of a plant 31 suitable for carrying out the step of eliminating ammonia and sulphated residues, in which the radiation device 47 is placed outside the enclosure, will now be considered. 48 under vacuum. An interface 49, for example a porthole, formed in the wall 48 allows the waves to pass in the direction of the photomask 31. The choice of the material constituting the interface 49 between the radiation device 47 and the photomasks 31 is critical because it material must let through the waves for the photomask 31, without posing a problem of dissipation of the radiation they carry. The quartz will advantageously be chosen.
La figure 5 présente des résultats comparés de la mesure du taux de sulfates résiduel dans les photomasque, réalisée par le procédé de chromβtographie ionique. Les taux de sulfates 50a, 51a, 52a résultent do Popόration de nettoyage des photomasques par trois variantes différentes I, II, Ht du procédé de nettoyage. Les taux de sulfates 50b, 51b, 52b sont obtenus à l'issue de rétape d'élimination selon un mode de réalisation de l'invention qui suit une étape de nettoyage pour chacune des trois variantes. La comparaison de ces résultats montre l'efficacité de retape d'élimination sur la teneur en sulfate des photomasques. L'objectif actuel des fabricants de photomasques pour les technologies 1θ3nm est d'avoir un taux de sulfate inférieur à 1 ppbv (partie par billion volumiqυe), pour ne pas avoir de problème de croissance cristalline chez leurs clients. La figure 5 montre que les valeurs atteintes 50b, 51b, 52b grâce à l'invention sont largement en dessous de cet objectif. FIG. 5 presents comparative results of the measurement of the residual sulfates content in the photomask, carried out by the ion chromometric method. The sulphate levels 50a, 51a, 52a result from cleaning the photomasks by three different variants I, II, Ht of the cleaning process. The sulphate levels 50b, 51b, 52b are obtained at the end of the elimination step according to one embodiment of the invention which follows a cleaning step for each of the three variants. The comparison of these results shows the removal efficiency of the sulphate content of the photomasks. The current goal of photomasque manufacturers for 1θ3nm technologies is to have a sulphate level of less than 1 ppbv (parts per billion volumetric), so that they do not have a crystal growth problem in their customers. FIG. 5 shows that the values reached 50b, 51b, 52b thanks to the invention are largely below this objective.

Claims

REVENDICATIONS
1. Procédé de fabrication de photomasque comportant au moins une étape de nettoyage du photomasque et au moins une étape de mise en place d'une pellicule de protection sur le photomasque, et comportant en outre au moins une étape d'élimination des résidus ammoniaques et sulfatés entre l'étape de nettoyage et l'étape de mise en place de la pellicule comprenantA photomask manufacturing method comprising at least one step of cleaning the photomask and at least one step of placing a protective film on the photomask, and further comprising at least one step of removing the ammonia residues and sulphated between the cleaning step and the step of placing the film comprising
- on place le photomasque dans une enceinte étanche,the photomask is placed in a sealed enclosure,
- on établit une basse pression dans l'enceinte étanche en pompant les gaz qu'elle contient,a low pressure is established in the sealed enclosure by pumping the gases contained therein,
- on soumet le photomasque à un rayonnement infrarouge,the photomask is subjected to infrared radiation,
- on arrête le rayonnement infrarouge,- the infrared radiation is stopped,
- on vérifie que la température du photomasque est au plus égale à 50°C,- it is verified that the temperature of the photomask is at most equal to 50 ° C,
- on rétablit la pression atmosphérique dans l'enceinte, et- restoring the atmospheric pressure in the enclosure, and
- on extrait le photomasque de l'enceinte.the photomask is extracted from the enclosure.
2. Procédé selon la revendication 1, dans lequel les gaz sont pompés pendant une durée comprise entre 20 minutes et 5 heures.2. The method of claim 1, wherein the gases are pumped for a period of between 20 minutes and 5 hours.
3. Procédé selon la revendication 1, dans lequel un gaz propre est introduit avec un flux constant simultanément au pompage.3. The method of claim 1, wherein a clean gas is introduced with a constant flow simultaneously pumping.
4. Procédé selon la revendication 1, dans lequel le photomasque est chauffé à une température comprise entre 50°C et 300°C.The method of claim 1, wherein the photomask is heated to a temperature of from 50 ° C to 300 ° C.
5. Procédé selon ia revendication 1, dans lequel la pression atmosphérique est rétablie par injection de gaz propre non-réactif.5. The process according to claim 1, wherein the atmospheric pressure is re-established by injection of clean nonreactive gas.
6. Procédé selon la revendicationi , comprenant en outre une étape d'élimination des résidus ammoniaques et sulfatés après une autre étape de fabrication et avant ladite étape de nettoyage.The method of claim 1, further comprising a step of removing ammonia and sulfated residues after another manufacturing step and prior to said cleaning step.
7. Dispositif pour ia mise en œuvre du procédé selon la revendication 1, comprenant:7. Device for implementing the method according to claim 1, comprising:
- une enceinte étanche contenant au moins un photomasque,a sealed enclosure containing at least one photomask,
- un groupe de pompage pour installer et maintenir le vide à l'intérieur de l'enceinte. - un système de maintien d'au moins un photomasque, placé à l'intérieur de l'enceinte étanche,- a pumping unit to install and maintain the vacuum inside the enclosure. a system for holding at least one photomask, placed inside the sealed enclosure,
- des moyens de rayonnement infrarouge,infrared radiation means,
- un système d'injection de gaz.- a gas injection system.
Dispositif selon la revendication 7, dans lequel les parois internes de l'enceinte réfléchissent les ondes émises.Device according to claim 7, wherein the internal walls of the enclosure reflect the transmitted waves.
Dispositif selon la revendication 7, dans lequel le système d'injection de gaz comprend au moins un injecteur en forme de douche et au moins un filtre à particules. Device according to claim 7, wherein the gas injection system comprises at least one shower-shaped injector and at least one particulate filter.
PCT/FR2008/052425 2008-03-05 2008-12-29 Method of fabricating photomasks and device for implementing it WO2009112655A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN2008801255744A CN101925860B (en) 2008-03-05 2008-12-29 Method of fabricating photomasks and device for implementing the same
JP2010549172A JP5372966B2 (en) 2008-03-05 2008-12-29 Method for producing photomask and apparatus for carrying out the method
KR1020127034224A KR101253948B1 (en) 2008-03-05 2008-12-29 Method of fabricating photomasks and device for implementing it
KR1020107017316A KR101253825B1 (en) 2008-03-05 2008-12-29 Method of fabricating photomasks and device for implementing it

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0851427 2008-03-05
FR0851427 2008-03-05

Publications (1)

Publication Number Publication Date
WO2009112655A1 true WO2009112655A1 (en) 2009-09-17

Family

ID=40886698

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/FR2008/052425 WO2009112655A1 (en) 2008-03-05 2008-12-29 Method of fabricating photomasks and device for implementing it

Country Status (4)

Country Link
JP (1) JP5372966B2 (en)
KR (2) KR101253825B1 (en)
CN (1) CN101925860B (en)
WO (1) WO2009112655A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012000951A1 (en) * 2010-06-30 2012-01-05 Adixen Vacuum Products Device and method for drying a photomask

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019110706A1 (en) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD FOR PRODUCING EUV PHOTO MASKS

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010005944A1 (en) * 1999-12-30 2001-07-05 Dao Giang T. Reduced particle contamination manufacturing and packaging for reticles
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
US20070187272A1 (en) * 2005-12-22 2007-08-16 Anja Bonness Device for the storage and use of at least one photomask for lithographic projection and method for using the device in an exposure installation
EP1832353A2 (en) * 2006-03-08 2007-09-12 St Microelectronics S.A. Photolithography mask cleaning
WO2009020808A1 (en) * 2007-08-09 2009-02-12 Rave, Llc Apparatus and method for indirect surface cleaning

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5355474A (en) * 1976-10-29 1978-05-19 Kyoritsu Kogyo Method of treating ammonium peroxysulfate waste liquid
JP3266156B2 (en) * 1990-09-19 2002-03-18 株式会社ニコン Illumination light source device and exposure device
JPH0521411A (en) * 1991-07-12 1993-01-29 Fujitsu Ltd Surface processing method and surface processor
EP1297566A2 (en) * 2000-06-14 2003-04-02 Applied Materials, Inc. Substrate cleaning apparatus and method
JP2002196478A (en) * 2000-12-27 2002-07-12 Semiconductor Leading Edge Technologies Inc Photomask unit, photomask device, projection exposure device, projection exposure method and semiconductor device
KR100563102B1 (en) * 2002-09-12 2006-03-27 에이에스엠엘 네델란즈 비.브이. A method of cleaning by removing particles from surfaces, a cleaning apparatus and a lithographic projection apparatus
JP2005134666A (en) * 2003-10-30 2005-05-26 Hoya Corp Photomask and method for forming video device
JP4564742B2 (en) * 2003-12-03 2010-10-20 キヤノン株式会社 Exposure apparatus and device manufacturing method
JP2005274770A (en) * 2004-03-23 2005-10-06 Sony Corp Mask storing container and mask treatment method
JP4475510B2 (en) * 2004-06-25 2010-06-09 Hoya株式会社 Lithographic mask manufacturing method, lithography mask, and lithography mask exposure method
WO2006101315A1 (en) * 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010005944A1 (en) * 1999-12-30 2001-07-05 Dao Giang T. Reduced particle contamination manufacturing and packaging for reticles
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
US20070187272A1 (en) * 2005-12-22 2007-08-16 Anja Bonness Device for the storage and use of at least one photomask for lithographic projection and method for using the device in an exposure installation
EP1832353A2 (en) * 2006-03-08 2007-09-12 St Microelectronics S.A. Photolithography mask cleaning
WO2009020808A1 (en) * 2007-08-09 2009-02-12 Rave, Llc Apparatus and method for indirect surface cleaning

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012000951A1 (en) * 2010-06-30 2012-01-05 Adixen Vacuum Products Device and method for drying a photomask
FR2962198A1 (en) * 2010-06-30 2012-01-06 Alcatel Lucent DEVICE FOR DRYING A PHOTOMASK
JP2013536453A (en) * 2010-06-30 2013-09-19 アデイクセン・バキユーム・プロダクト Apparatus and method for drying a photomask
US8724078B2 (en) 2010-06-30 2014-05-13 Adixen Vacuum Products Device and method for drying a photomask
TWI473959B (en) * 2010-06-30 2015-02-21 Adixen Vacuum Products Device and method for drying a photomask
KR101847226B1 (en) * 2010-06-30 2018-04-09 파이퍼 배큠 Device and method for drying photomask

Also Published As

Publication number Publication date
KR101253825B1 (en) 2013-04-12
JP2011513783A (en) 2011-04-28
CN101925860A (en) 2010-12-22
CN101925860B (en) 2012-12-12
JP5372966B2 (en) 2013-12-18
KR20100101003A (en) 2010-09-15
KR101253948B1 (en) 2013-04-16
KR20130016404A (en) 2013-02-14

Similar Documents

Publication Publication Date Title
EP3072149B1 (en) Method for the selective etching of a mask disposed on a silicon substrate
US7767365B2 (en) Methods for forming and cleaning photolithography reticles
WO2007030476A2 (en) Apparatus and methods for mask cleaning
JP2011230430A (en) Template repair method, pattern forming method, and template repair apparatus
EP1832353A2 (en) Photolithography mask cleaning
US20080296258A1 (en) Plenum reactor system
WO2009112655A1 (en) Method of fabricating photomasks and device for implementing it
EP2077467B9 (en) Method for manufacturing photo masks and device for implementing same
JP4167642B2 (en) Resist pattern forming method
SG181560A1 (en) Methods and systems of material removal and pattern trans
CN101656191A (en) Method for removing silicon oxynitride film
WO2013159928A1 (en) Method for repairing optical elements, and optical element
US9726990B2 (en) Lithography mask repair methods
JP2004216321A (en) Method and apparatus for washing optical device
FR2926145A1 (en) Photomask manufacturing method for electronic card, involves restoring atmospheric pressure in enclosure, and extracting photomask from enclosure to eliminate ammonia and sulfate residues after cleaning photomask
EP0879113A1 (en) Damage-free laser surface treatment method
JP2005040736A (en) Washing method and apparatus of optical parts
JP2005072589A (en) System for ultraviolet atmospheric seed layer remediation
CN113412166B (en) Method for cleaning vacuum chamber, method for vacuum processing substrate, and apparatus for vacuum processing substrate
US20080047584A1 (en) Method for cleaning diffraction gratings
JP2004218047A (en) Method of producing optical element
KR20040092728A (en) Method and apparatus for acoustic wave device using a laser
US20030172953A1 (en) Method of treating inner wall of apparatus
JP2005017842A (en) Method of manufacturing optical element
KR20100079148A (en) Removal device of ions on a reticle and method thereof

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880125574.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08873359

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010549172

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20107017316

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08873359

Country of ref document: EP

Kind code of ref document: A1