WO2008094286A2 - Method and system for fabricating a nano-structure - Google Patents

Method and system for fabricating a nano-structure Download PDF

Info

Publication number
WO2008094286A2
WO2008094286A2 PCT/US2007/072322 US2007072322W WO2008094286A2 WO 2008094286 A2 WO2008094286 A2 WO 2008094286A2 US 2007072322 W US2007072322 W US 2007072322W WO 2008094286 A2 WO2008094286 A2 WO 2008094286A2
Authority
WO
WIPO (PCT)
Prior art keywords
open
substrate
opening
feature
forming
Prior art date
Application number
PCT/US2007/072322
Other languages
French (fr)
Other versions
WO2008094286A3 (en
Inventor
Jacques Faguet
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/468,526 external-priority patent/US7771790B2/en
Priority claimed from US11/468,566 external-priority patent/US7569491B2/en
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2008094286A2 publication Critical patent/WO2008094286A2/en
Publication of WO2008094286A3 publication Critical patent/WO2008094286A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00055Grooves
    • B81C1/00071Channels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/12Specific details about manufacturing devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip

Definitions

  • the present invention relates to a closed structure and a method for fabricating the closed structure, and more particularly to a closed fluidic structure, such as a closed fluidic channel, and a method of fabricating a closed fluidic structure in a substrate using vapor deposition techniques.
  • Nano-structures such as nano-fiuidic devices and na ⁇ o-electro-mechanicai systems (NEMs) (i.e., fluidic devices or electro-mechanical devices having cross- sectional dimensions fabricated at the nanometer scale), are an emerging technological field having significant commercial potential for the future.
  • Nano- structures including nano-fluidic devices having arrays of nano-scafe channels, are contemplated for use in molecular/biological sensors, biological separations and catalysis, single cell analysis, single molecule manipulation, DNA stretching, nano- scale fluidic transport, and high throughput macro-molecular analysis.
  • an array of nano-scale channels may facilitate the manipulation and analysis of bio-molecules, including DNA (having a persistence length of approximately 50 nm), proteins, etc.
  • These arrays of nano-scaie channels may possess channeis of varying sizes, wherein each size, e.g., the cross-sectional dimensions of the channel, is selected for the passage of a specific molecular cross- section. Therefore, a pre-defermined arrangement of the array of channels of varying size can permit the filtering of bio-moiecules of different size.
  • nano-fiuidic devices are contempiated for conductive-convective cooiing of micro- and/or nano-eiectronic devices. Due to the continuing reduction in electronic structure size and the increasing number density of devices on substrate real estate, the density of dissipated power increases, while the n ⁇ to remove this heat becomes increasingly important in order to preserve the operating characteristics of the electronic device.
  • the present invention relates to a nano-str ⁇ cture, and a method and system for fabricating a dosed nano-structure.
  • an open nano-structure is formed on a substrate and the nano-sfructure is dosed using a vapor deposition technique.
  • the open nano-structure formed in the substrate comprises a trench or via having a characteristic dimension less than or equal to approximately 500 nanometers (nm). In yet another embodiment, the characteristic dimension Is less than or equal to approximately 200 nm.
  • a nano-fluidic structure is formed on a substrate having precise cross-sectional dimensions and a method is described for forming the nano-fluidic structure.
  • FIG. 1 Another embodiment of the invention is to provide a method of forming an inierconnection of two or more nano-fluidic structures on a substrate.
  • FIG. 1 A block diagram illustrating an exemplary computing environment in accordance with the present disclosure.
  • FIG. 1 A block diagram illustrating an exemplary computing environment in accordance with the present disclosure.
  • FIG. 1 A block diagram illustrating an exemplary computing environment in accordance with the present disclosure.
  • FIG. 1 A block diagrammatically lateral dimension
  • the opening to the open feature is ciosed in order to create a closed feature in the substrate by using one or more vapor deposition processes to deposit material across the opening thereby forming a material membrane closing the opening to the open structure.
  • the materia! deposited across the opening and within the open feature is such that a resultant cross-sectional shape of the closed feature is substantially the same as the nominal cross-sectional shape.
  • FsG. IA illustrates a method of forming a nano-scaie structure according to one embodiment
  • FIG. IB illustrates a method of forming a nano-scale structure according to another embodiment
  • FIGs. 2A through 2G depict a method of forming a nano-scale structure on a substrate according to yet another embodiment
  • FiG. 3 illustrates a flow chart of a method for forming a nano-scaie structure on a substrate according to an embodiment
  • FiG. 4 illustrates a flow chart of a method for forming a nano-scaSe structure on a substrate according to another embodiment
  • FIGs. 5A through 5E depict a method for forming a nano-scaie structure in a substrate according to yet another embodiment
  • FlG, 6 provides an illustrative diagram for performing a vapor deposition process to close an open nano-scaie structure
  • FIG. 7 presents an exemplary SEM photograph of a closed nano-scale structure
  • FIG. 8 presents a deposition system for forming a nano-scale structure according to an embodiment.
  • FIGs. IA and I B 1 and FIG. 3 illustrate a method for forming a nano-scale structure according to several embodiments. As shown in FIG.
  • the method is illustrated in a flow chart 200, wherein the method comprises forming an open feature in a substrate at 210, and using vapor deposition techniques to close the open feature at 220 while preserving the cross-sectional shape, or the internal critical dimensions ⁇ (CD), or both the shape and CDs of the (e.g., nano-scaie) feature cross-section.
  • the use of vapor deposition techniques to dose the open feature can include the formation of a homogeneous (e.g., nano- scale) closed feature, whereby the deposited material forms a continuous (vapor deposited) material boundary enclosing the feature void (e.g., channel void or via void): see FIG. IA.
  • the use of vapor deposition techniques to close the open feature can include the formation of a heterogeneous (e.g., nano-scaie) closed feature, whereby the deposited materia! forms only a membrane (or capping) layer to close the open end of the open feature without forming a continuous (vapor deposited) material boundary (i.e., non-continuous material boundary) enclosing the feature void (e.g., channel void or via void); see F!G. I B.
  • a heterogeneous (e.g., nano-scaie) closed feature whereby the deposited materia! forms only a membrane (or capping) layer to close the open end of the open feature without forming a continuous (vapor deposited) material boundary (i.e., non-continuous material boundary) enclosing the feature void (e.g., channel void or via void); see F!G. I B.
  • the heterogeneous closed feature when forming a thin materia! membrane across an open feature, materia! can be deposited within the open feature on the feature sidewalls, or the bottom, or both.
  • such deposition can be controlled in order to prepare closed features having desired internal dimensions so that the practical use of such features as, for example, nano-fluidic arrays in heat transfer systems for electronic devices, bio-molecule filtering systems, etc., can be realized.
  • vapor deposition processes are described that can preserve one or more of the interna! dimensions or shape or both when closing the open feature (i.e., forming the thin material membrane). As illustrated in FIGs.
  • an open feature 12 (12' ⁇ is formed in a substrate 10.
  • the open feature 12 (12') extends into the substrate 10 from an opening at an upper surface thereof and comprises a nominal cross-sectionai shape characterized by an initial lateral dimension.
  • the open feature 12 (12 s ) can include an open channel or trench, or alternatively, the open feature 12 (12') can include a via or hole.
  • the open feature 12 (12') may comprise a substantially rectangular cross- sectional shape, such as the square cross-section illustrated in FiGs. 1A and I B, or it may comprise a more circular, rectangular or trapezoidal cross-section, for example.
  • the cross-sectional shape may be of any shape that can be achieved using conventional techniques known to those skilled in the art of micro/nan ⁇ -scaie etching, imprinting : milling, etc.
  • the inifiaf lateral dimension can include a width, or maximum lateral extent of the open feature 12 (12') in substrate 10.
  • the initial lateral dimension can include a diameter.
  • the nominal cross- sectional shape can be characterized by an initial vertical dimension.
  • the initial vertical dimension may include a depth, or maximum vertical extent of the open feature 12 (12 ! ) into substrate 10.
  • the open feature 12 (12') may be formed using any technique, such as an etching process, a milling process, or a (nano-)imprint lithography process, or a combination thereof.
  • the etching process can include a dry etching process with or without plasma, or a wet etching process.
  • a dry plasma etching process such as a reactive ion etching process
  • a wet etching process may be used.
  • the initial lateral dimension of the open feature can be characterized by width (a), and the initial vertical dimension of the open feature can be characterized by depth (c).
  • the initial lateral dimension (a) of the open feature 12 (12') is selected to be less than or equal to approximately 500 nanometers ( ⁇ m), and desirably, it is selected to be less than or equal to approximately 200 mm.
  • features of lateral dimensions greater than approximately 500 nm can be dosed using vapor deposition techniques and will be discussed later.
  • the initial vertical dimension of the open feature 12 (12 : ) may be of any dimension suitable for the specific application.
  • the initial vertical dimension may be very small, on the order of several nanometers (nm), or it may be larger on the order of several microns and greater.
  • the open feature 12 (12') may be dosed using one or more vapor deposition processes, in one embodiment, as shown in FlG. IA, a continuous layer 20 of material is formed, thus creating feature void 15.
  • a non-continuous layer 20 ' is formed, thus creating feature void 15'.
  • any vapor deposition process may be employed including physical vapor deposition (PVD), ionized PVD (IPVD), ionized vapor deposition, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), or plasma enhanced ALD (PEALD), or a combination of two or more thereof.
  • the vapor deposition processes may comprise multiple steps configured to adjust the net amount of deposition on various surfaces of the substrate by balancing deposition and etching mechanisms.
  • the amount of net deposition on the flat-field or any of the feature surfaces, such as the sidewalis or bottom can be adjusted by tailoring the vapor deposition processes accordingly (to be discussed below).
  • Ionized deposition processes or ionized PVD processes can be utilized to close the open feature, thus forming the closed feature.
  • the adatom i.e., material to be deposited
  • the adatom may be introduced by sputtering, or it may be introduced in vapor form using other techniques, including electron beam heating, laser heating, radio frequency (RF) induction heating, resistive heating, etc.
  • the material that is selected for forming the homogeneous or heterogeneous closed feature may be (electrically) conductive, semi-conductive or non-conductive. Additionally, a material may be selected for its reactive or non- reactive properties.
  • the material may comprise a metal (M), metal oxide (M x O y ), metal nitride (M x My), metal suicide (M x Si x ), metal silicate (M x SJyO 2 ), metal oxynitride (M x O y N 2 ), etc.
  • Additiona ⁇ y for example, a metal may be selected for its reactive or catalytic properties.
  • the internal lateral dimension of the closed feature can be characterized by width (b), and the internal vertical dimension of the closed feature can be characterized by depth (d). Additionally, for example, the thickness of the vapor deposited membrane to close the feature can be characterized by thickness (e).
  • the vapor deposition processes may be performed such that the cross- sectional shape of the feature void is geometrically similar to the nominal shape of the open feature 12. For instance, the final lateral dimension (b) can be greater than or equal to approximately 50% of the initial lateral dimension (a), or the final lateral dimension (b) can be greater than or equal to approximately 80% of the initial lateral dimension (a).
  • the final lateral dimension (b) can be greater than or equal to approximately 80% of the initial lateral dimension (a).
  • the final vertical dimension (d) can be greater than or equal to approximately 50% of the initial vertical dimension (c), or the final vertical dimension (d) can be greater than or equal to approximately 80% of the initial vertical dimension (c).
  • the final vertical dimension (d) can be greater than or equal to approximately 90% of the initial vertical dimension (c).
  • the thickness (e) of membrane closing the open feature can range from approximately 1 nm to approximately 1000 nm, or the thickness can range from approximately 5 nm to approximately 50 nm.
  • FIGs. 2A through 2G and FIG. 4 a method is described for dosing an open feature on a substrate according to another embodiment.
  • the method is illustrated in a flow chart 300, wherein the method comprises forming an open feature 110 in a substrate 100 at 305.
  • the open feature 110 may be formed using any of the techniques described above.
  • an optional conf ⁇ rma! material layer 120 is deposited on the open feature 110. Once the feature 110 (with conformaS material layer 120) is closed, a homogeneous closed feature is formed as in FiG. 1A.
  • a heterogeneous ciosed feature may be formed as in FiG. 1 B.
  • the conforma! materia! layer 120 may be formed using any of the vapor deposition techniques described above. For example, an ALD process, a CVD process, or an ionized vapor deposition process, such as an ionized PVD process, may be utilized to form the conforma! material layer 120.
  • a process condition creating a high ratio of adatom ion population to totai adatorn population (i.e., approaching unity) with Sow ion energy (e.g., low substrate bias), such that etching of deposited adatom is decreased or substantially absent may be utilized to produce a conformal thin film.
  • Sow ion energy e.g., low substrate bias
  • An exempiary set of process conditions will be described in greater detail later for an iPVD process.
  • a membrane layer 140 is deposited to form a bridge 142 across the opening to the open feature 110, thus closing off an interna! void 144.
  • An ionized vapor deposition process such as an ionized PVD process, may be utilized to form the overhang material layer 130 or the membrane layer 140 or both. Additionaliy, when using an iPVD process, a process condition creating a relatively lower ratio of adatom ion population to total adatom population (e.g., increased tota!
  • adatom population with substantially the same adatom ion population) with a relatively higher ion energy (e.g., high substrate bias), such that etching of deposited adatom is increased or substantially near a no net deposition condition in the flat- field, may be utilized to produce the overhang layer 130 or the membrane layer 140 or both.
  • a relatively higher ion energy e.g., high substrate bias
  • the processes illustrated at 320 and 330 in FiG. 4 may be performed at the same time using the same process conditions (i.e., process recipe), or they may be performed separately using more than one set of process conditions. For example, when the process conditions are different for the formation of the overhang layer 130 and the formation of the membrane layer 140, the total adatom population created for forming the overhang layer 130 may be decreased during the creation of the membrane layer 140. [0040] At 340 and as shown in RG. 2E 1 an optional thickening materia! fayer 150 is deposited.
  • an AID process a CVD process, or an ionized vapor • deposition process, such as an ionized PVD process, may be utilized to form the thickening material layer 150.
  • An exempiary set of process conditions will be described in greater detail later for an IPVD process.
  • the materia! deposited on substrate 100 may be planariz ⁇ d to form a planar surface 160.
  • the planarization process may include a chemical-mechanical polishing (CMP) process.
  • an opening 170 may, optionally, be formed in the closed feature.
  • the opening may, for example, be formed using an etching or milling process. Additionally, the opening may, for example, include a variety of cross-sectional shapes, including circular, elliptical, rectangular, square, or any shape that can be patterned using, for instance, a photo-lithography process.
  • FiGs. 5A through 5D illustrate a method for forming a closed feature having an internal lateral dimension greater than approximately 500 nm. As shown in FiG. 5A, a substrate 400 includes a cap layer 410 and a mask layer 420 having pattern 425 formed thereon.
  • Cap layer 410 comprises a material composition sufficiently different than said substrate 400 such that one materia! may be selectively etched relative to the other material.
  • the lateral critical dimension (i.e.. width (a) of the opening in mask layer 420 ; as shown in FIG. 5C) of pattern 425 is selected to be approximately 500 nm or less.
  • the pattern 425 is transferred to the cap layer 410 and the substrate 400 using one or more etching processes, such as one or more anisotropic dry plasma etching processes. Thereafter, as illustrated in FIG. 5C, a selective etching process is performed in order to laterally etch substrate 400 in order to increase the nominal lateral critical dimension (a) of pattern 425 to an expanded lateral critical dimension (a').
  • etching processes such as one or more anisotropic dry plasma etching processes.
  • a selective etching process is performed in order to laterally etch substrate 400 in order to increase the nominal lateral critical dimension (a) of pattern 425 to an expanded lateral critical dimension (a').
  • any remaining mask layer 420 can be removed and one or more vapor deposition processes can be executed to form membrane layer 430 to dose the open feature as illustrated in FIG. 5D.
  • the closure mechanism may be similar to that iliustrated in FiG. 5D 1 i.e., material deposited in the fiat-field.
  • the open feature may be closed by depositing a bridge layer 432.
  • the closure mechanism may be simiiar Io that iiiustrated in FiG. 5E 1 Le. ; less material deposited in the flat-field.
  • Deposition system 500 includes a process chamber 510, and a substrate holder 512 coupied to the process chamber 510, and configured to support a substrate 514. Additionally, the deposition system 500 includes a piasma source 520 coupled to the process chamber 510 and configured to form piasma in process space 540 within process chamber 510. Additionally, the deposition system 500 includes an adatom source 530 coupled to the process chamber 510. and configured to introduce an adatom to process space 540 in process chamber 510.
  • the deposition system 500 can further comprise a gas injection system 560 coupled to the process chamber 510, and configured to introduce an inert gas, such as a noble gas (i.e., helium, argon, xenon, krypton, etc.), to the process space 540 in process chamber 510.
  • an inert gas such as a noble gas (i.e., helium, argon, xenon, krypton, etc.)
  • the deposition system 500 can further comprise a control system 550 coupled to the process chamber 510, the substrate holder 512, the plasma source 520, and the adatom source 530, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 500 according to, for example, a process recipe.
  • piasma source 520 can include an electrode coupled to a power source, such as a radio frequency (RF) generator, or a coil antenna coupied to a power source, such as a helical coil or other antenna coupled to an RF generator.
  • the plasma source 520 can include a capacitiveiy coupled plasma (CCP) source, or an inductively coupled plasma source (ICP), or combination thereof.
  • CCP capacitiveiy coupled plasma
  • ICP inductively coupled plasma source
  • sub- and atmospheric ICP sources generate piasma with electron density of approximately ⁇ , « (l - 4) ⁇ IO ! ⁇ cm '" ' and electron temperature of approximately ⁇ 0.2 eV to approximately 0.6 eV with 100% ionization of the adatom.
  • ECR electron cyclotron resonance
  • Adatom source 530 can, for example, be distributed about the perimeter of process chamber 510, from which source material adatorns enter process space 540.
  • the source material can include conductive material, semi-conductive material, or non-conductive material.
  • a metal target may be utilized as a source of metal.
  • the target can be biased using direct current (DC), or alternating current (AC) to generate adatoms (of source material) through a sputtering process.
  • DC direct current
  • AC alternating current
  • other adatom sources such as magnetrons
  • the adatom source 530 can include a plurality of adatom sources.
  • the plurality of adatom sources can be coupled to a power source.
  • each adatom source can be independently coupled to a separate power source.
  • the power can be alternatingly and sequentially coupled to the plurality of metal sources using one or more power sources.
  • Substrate holder 512 can include an electrode through which AC power, such as RF power, or DC power, or both is coupled to substrate 514.
  • substrate holder 512 can be electrically biased at an RF voltage via the transmission of RF power from an RF generator through an impedance match network to substrate holder 512.
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the RF bias can serve to affect the ion energy of ions incident on the upper surface of the substrate.
  • a typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz.
  • RF systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies.
  • an impedance match network can serve to improve the transfer of RF power to plasma in the process chamber by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc.
  • automatic control methods are well known to those skiiied in the art.
  • the substrate holder 512 can comprise an electrostatic clamping system (or mechanical clamping system) in order to eiectricafly (or mechanically) damp substrate 514 to the substrate holder 512.
  • substrate holder 512 can.
  • a heat transfer gas can, for example, be delivered to the back-side of substrate 514 via a backside gas system to improve the gas-gap thermal conductance between substrate 514 and substrate holder 512.
  • the heat transfer gas supplied to the back-side of substrate 512 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen.
  • Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independentiy varied between the center and the edge of substrate 514.
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 512, as well as the chamber wail of the process chamber 510.
  • control system 550 can include a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 500 as well as monitor outputs from deposition system 500.
  • control system 550 can be coupled to and can exchange information with process chamber 510, plasma source 520, distributed metal source 530, gas injection system 560 ; and vacuum pump system (not shown).
  • a program stored in the memory can be utilized to activate the inputs to the aforementioned components of deposition system 500 according to a process recipe in order to perform a deposition process.
  • control system 550 includes a DELL PRECISION WORKSTATION 610 1 ?v ⁇ available from Dell Corporation, Austin, Texas.
  • the controi system 550 may be implemented as a general purpose computer system that performs a portion or ali of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive.
  • One or more processors in a multiprocessing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory.
  • hard-wired circuitry may be used in piace of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • the control system 550 may be locally located relative to the deposition system 500, or it may be remotely located relative to the deposition system 500.
  • the control system 550 may exchange data with the deposition system 500 using at least one of a direct connection, an intranet, the internet and a wireless connection.
  • the controi system 550 may be coupled to an intranet at. for example, a customer site (i.e.. a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the control system 550 may be coupled to the Internet.
  • control system 550 may access, for example, the control system 550 to exchange data via a direct connection, an intranet, or the Internet or any combination thereof.
  • control system 550 may exchange data with the deposition system 500 via a wireless connection.
  • the deposition system 500 comprises an ionized physical vapor deposition (iPVD) system. Further details of an iPVD system are described in US Patent Serial No. 6,719,886 B2, entitled “Method and apparatus for ionized physical vapor deposition", the entire contents are incorporated herein by- reference in their entirety.
  • iPVD ionized physical vapor deposition
  • the ionized vapor deposition system may include an iPVD system configured to deposit metai- containing films, such as the IPVD system having various elements that is described In FIG. 8.
  • the method of closing the open feature to form a closed feature comprises using a metai-containing target eiectrode.
  • the target electrode comprises the material to be deposited (i.e., adatom) on the substrate.
  • the target comprises Cu or Ta, respectively.
  • An iPVD process parameter space can comprise: a chamber pressure of approximately 5 mTorr to approximately 1000 mTorr (desirably, the chamber pressure ranges from approximately 50 mTorr to approximately 100 mTorr); a noble gas (e.g., argon) flow rate (i.e., from gas injection system 560) ranging from approximately 50 to approximately 5000 seem (alternatively, the flow rate ranges from approximately 200 sccrn to approximately 800 seem); a (direct current, DC) target power (i.e., adatom source 530 power in FIG.
  • a chamber pressure of approximately 5 mTorr to approximately 1000 mTorr (desirably, the chamber pressure ranges from approximately 50 mTorr to approximately 100 mTorr); a noble gas (e.g., argon) flow rate (i.e., from gas injection system 560) ranging from approximately 50 to approximately 5000 seem (alternatively, the flow rate ranges from approximately 200
  • a plasma source radio frequency (RF) power i.e., plasma source 520 power in FIG. 8
  • RF radio frequency
  • the plasma source RF power ranges from approximately 4 kW to approximately 8 kW
  • a substrate hoider RF bias i.e., substrate holder 512 RF power
  • the substrate holder RF bias power can range from approximately 100 W to approximately 1000 W
  • a deposition time for forming the closed feature ranging from approximately 30 second to approximately 600 seconds (alternatively, the deposition time ranges from approximately 60 seconds to approximately 180 seconds).
  • FIG. 6 an illustrative diagram is presented to provide an example of the method for closing an open feature as described in FIG. 4 using the system described in FiG, 8.
  • the diagram presents an exemplary relationship between the amount of deposition on the substrate (e.g., in the flat-field) versus the substrate bias power (e.g., substrate holder RF power) on the abscissa and the target power (e.g., DC target power).
  • the substrate bias power e.g., substrate holder RF power
  • target power e.g., DC target power
  • the deposition amount remains substantially flat until it begins to decay once the Ion energy for ions incident on the substrate is sufficiently high to sputter (or physically etch) adatorn from the substrate.
  • a no net deposition condition is reached (i.e., substantially zero net deposition in the flat-field).
  • the process condition shifts from the upper curve (solid line) to the lower curve (dashed line).
  • the target power By decreasing the target power and maintaining the same pressure and plasma source power (i.e., Ar ion population), the total adatom popuiation is decreased, but with the same Ar ion ionization condition, the adatom ion popuiation is increased and, hence, the ratio of the adatom ion population relative to the total adatom population is increased.
  • Ar ion population By decreasing the target power and maintaining the same pressure and plasma source power (i.e., Ar ion population), the total adatom popuiation is decreased, but with the same Ar ion ionization condition, the adatom ion popuiation is increased and, hence, the ratio of the adatom ion population relative to the total adatom population is increased.
  • a process condition creating a high ratio of adatom ion population to total adatom population (i.e., approaching unity) with low ion energy (e.g., low substrate bias), such that etching of deposited adafom is decreased or substantially absent, may be utilized to produce a conforms! thin film.
  • the (DC) target power can be reduced (e.g..
  • RF ICP power RF ICP power
  • substrate bias power e.g., less than or equal to approximately 500 W
  • a process condition creating a relatively lower ratio of adatom ion population to total adatom popuiation e.g., increased total adatom population with substantially the same adatom ion popuiation
  • a relatively higher ion energy e.g., high substrate bias
  • the (DC) target power can be increased (e.g., greater than or equal to approximately 10 kW) to increase the amount of adatom produced by sputtering
  • the plasma source power e.g., RF ICP power
  • the substrate bias power Is increased (e.g., greater than approximately 500 W) to operate in an etching regime, as illustrated by condition 320' and 330' in FIG. 6,
  • process conditions 320 ' and 330' may be different, and may, for example, lie within the cross- hatched region.
  • Process condition 330' may be performed after process condition 320' at a higher bias power, where the bias powers of both process conditions are greater than approximately 500 W.
  • a process condition creating a high adatom ion population and high adatom population with low ion energy may be utilized to produce a thickening thin film.
  • the (DC) target power can be Increased to increase the amount of adatom produced by sputtering and the plasma source RF power (e.g., RF iCP power) can be increased to increase ionization, while the substrate bias power is decreased (e.g., less than or equal to approximately 500 W) to avoid operating in an etching regime, as illustrated by condition 340' in FiG. 6.
  • the plasma source RF power e.g., RF iCP power
  • the substrate bias power is decreased (e.g., less than or equal to approximately 500 W) to avoid operating in an etching regime, as illustrated by condition 340' in FiG. 6.

Abstract

A method and system for fabricating nano-scaie structures, such as channels (i.e., πano-channeis) or vias (i.e., nano-vias). An open nano-structure, is formed in a substrate. Thereafter, a conforma! materiai film may be deposited within and over the nano-structure using an optional first deposition process condition, and then the open nano-structure is closed off to form a closed nano-scale structure using a second deposition process condition, including one or more process steps.

Description

TITLE OF THE INVENTION METHOD AND SYSTEM FOR FABRICATING A NANO-STRUCTURE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application is based on and derives priority from co-pending United States patent application serial no. 11/468,566, entitled "Method for Enlarging a Nano-Strυciure", Attorney docket no. 313530-P0033US, filed on August 30, 2006 and co-pending United States patent application serial no. 1 1/468,526, entitled "Method and System for Fabricating a Nano-Structure", Attorney docket no. 313530- PQG32US, filed on August 30, 2006. The entire contents of these applications are herein incorporated by reference in their entirety.
BACKGROUND OF THE INVENTION FIELD OF INVENTION
[0002] The present invention relates to a closed structure and a method for fabricating the closed structure, and more particularly to a closed fluidic structure, such as a closed fluidic channel, and a method of fabricating a closed fluidic structure in a substrate using vapor deposition techniques.
DESCRIPTION OF RELATED ART
[0003] Nano-structures, such as nano-fiuidic devices and naπo-electro-mechanicai systems (NEMs) (i.e., fluidic devices or electro-mechanical devices having cross- sectional dimensions fabricated at the nanometer scale), are an emerging technological field having significant commercial potential for the future. Nano- structures, including nano-fluidic devices having arrays of nano-scafe channels, are contemplated for use in molecular/biological sensors, biological separations and catalysis, single cell analysis, single molecule manipulation, DNA stretching, nano- scale fluidic transport, and high throughput macro-molecular analysis. [0004] As an example, an array of nano-scale channels may facilitate the manipulation and analysis of bio-molecules, including DNA (having a persistence length of approximately 50 nm), proteins, etc. These arrays of nano-scaie channels may possess channeis of varying sizes, wherein each size, e.g., the cross-sectional dimensions of the channel, is selected for the passage of a specific molecular cross- section. Therefore, a pre-defermined arrangement of the array of channels of varying size can permit the filtering of bio-moiecules of different size. [0005] In yet another example, nano-fiuidic devices are contempiated for conductive-convective cooiing of micro- and/or nano-eiectronic devices. Due to the continuing reduction in electronic structure size and the increasing number density of devices on substrate real estate, the density of dissipated power increases, while the nββά to remove this heat becomes increasingly important in order to preserve the operating characteristics of the electronic device.
SUMMARY OF THE INVENTION
[0008] The present invention relates to a nano-strυcture, and a method and system for fabricating a dosed nano-structure.
[0007] According to one embodiment, an open nano-structure is formed on a substrate and the nano-sfructure is dosed using a vapor deposition technique. [0008] According to another embodiment, the open nano-structure formed in the substrate comprises a trench or via having a characteristic dimension less than or equal to approximately 500 nanometers (nm). In yet another embodiment, the characteristic dimension Is less than or equal to approximately 200 nm. [0009] According to another embodiment, a nano-fluidic structure is formed on a substrate having precise cross-sectional dimensions and a method is described for forming the nano-fluidic structure.
[001 OJ Stiil another embodiment of the invention is to provide a method of forming an inierconnection of two or more nano-fluidic structures on a substrate. [0011] These and/or other embodiments of the invention may be provided by a method of fabricating a closed structure on a substrate, in which an open feature is formed within the substrate. The open feature extends into the substrate from an opening at an upper surface thereof and has a nominal cross-sectiona! shape characterized by an initial lateral dimension that is less than or equal to approximately 500 nanometers (nm). The opening to the open feature is ciosed in order to create a closed feature in the substrate by using one or more vapor deposition processes to deposit material across the opening thereby forming a material membrane closing the opening to the open structure. The materia! deposited across the opening and within the open feature is such that a resultant cross-sectional shape of the closed feature is substantially the same as the nominal cross-sectional shape.
BRIEF DESCRIPTION OF THE DRAWINGS [0012] In the accompanying drawings:
[0013] FsG. IA illustrates a method of forming a nano-scaie structure according to one embodiment;
[0014| FIG. IB illustrates a method of forming a nano-scale structure according to another embodiment;
[001S] FIGs. 2A through 2G depict a method of forming a nano-scale structure on a substrate according to yet another embodiment;
[0016] FiG. 3 illustrates a flow chart of a method for forming a nano-scaie structure on a substrate according to an embodiment; [0017] FiG. 4 illustrates a flow chart of a method for forming a nano-scaSe structure on a substrate according to another embodiment;
[0018] FIGs. 5A through 5E depict a method for forming a nano-scaie structure in a substrate according to yet another embodiment;
[0019] FlG, 6 provides an illustrative diagram for performing a vapor deposition process to close an open nano-scaie structure;
[0020J FIG. 7 presents an exemplary SEM photograph of a closed nano-scale structure; and
[0021] FIG. 8 presents a deposition system for forming a nano-scale structure according to an embodiment.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS [0022] In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of a nano-scale structure having an open or closed feature and descriptions of various processes for forming the open or closed structure. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details. [0023] Referring now to the drawings, wherein ϋke reference numerals designate identical or corresponding parts throughout the several views, FIGs. IA and I B1 and FIG. 3 illustrate a method for forming a nano-scale structure according to several embodiments. As shown in FIG. 3, the method is illustrated in a flow chart 200, wherein the method comprises forming an open feature in a substrate at 210, and using vapor deposition techniques to close the open feature at 220 while preserving the cross-sectional shape, or the internal critical dimensions} (CD), or both the shape and CDs of the (e.g., nano-scaie) feature cross-section. [0024] According to one embodiment, the use of vapor deposition techniques to dose the open feature can include the formation of a homogeneous (e.g., nano- scale) closed feature, whereby the deposited material forms a continuous (vapor deposited) material boundary enclosing the feature void (e.g., channel void or via void): see FIG. IA. According to another embodiment, the use of vapor deposition techniques to close the open feature can include the formation of a heterogeneous (e.g., nano-scaie) closed feature, whereby the deposited materia! forms only a membrane (or capping) layer to close the open end of the open feature without forming a continuous (vapor deposited) material boundary (i.e., non-continuous material boundary) enclosing the feature void (e.g., channel void or via void); see F!G. I B.
[0026] For the heterogeneous closed feature, when forming a thin materia! membrane across an open feature, materia! can be deposited within the open feature on the feature sidewalls, or the bottom, or both. In either the case of homogeneous closed features or heterogeneous closed features, such deposition can be controlled in order to prepare closed features having desired internal dimensions so that the practical use of such features as, for example, nano-fluidic arrays in heat transfer systems for electronic devices, bio-molecule filtering systems, etc., can be realized. As will be described, vapor deposition processes are described that can preserve one or more of the interna! dimensions or shape or both when closing the open feature (i.e., forming the thin material membrane). As illustrated in FIGs. 1A and 1 B, an open feature 12 (12'} is formed in a substrate 10. The open feature 12 (12') extends into the substrate 10 from an opening at an upper surface thereof and comprises a nominal cross-sectionai shape characterized by an initial lateral dimension. For exampie, the open feature 12 (12s) can include an open channel or trench, or alternatively, the open feature 12 (12') can include a via or hole.
[0027J The open feature 12 (12') may comprise a substantially rectangular cross- sectional shape, such as the square cross-section illustrated in FiGs. 1A and I B, or it may comprise a more circular, rectangular or trapezoidal cross-section, for example. The cross-sectional shape may be of any shape that can be achieved using conventional techniques known to those skilled in the art of micro/nanσ-scaie etching, imprinting: milling, etc. The inifiaf lateral dimension can include a width, or maximum lateral extent of the open feature 12 (12') in substrate 10. Alternatively, the initial lateral dimension can include a diameter. Additionally, the nominal cross- sectional shape can be characterized by an initial vertical dimension. The initial vertical dimension may include a depth, or maximum vertical extent of the open feature 12 (12!) into substrate 10.
[0028] The open feature 12 (12') may be formed using any technique, such as an etching process, a milling process, or a (nano-)imprint lithography process, or a combination thereof. The etching process can include a dry etching process with or without plasma, or a wet etching process. For example, when an anisotropic feature (i.e., a substantially rectangular cross-sectional shape) is desired, a dry plasma etching process, such as a reactive ion etching process, may be used. Alternatively, for exampie, when an isotropic feature is desired, a wet etching process may be used.
[0029] As illustrated in FIG. 1 A for a homogeneous closed feature, the initial lateral dimension of the open feature can be characterized by width (a), and the initial vertical dimension of the open feature can be characterized by depth (c). in order to facilitate the use of vapor deposition techniques to form a (homogeneous or heterogeneous, i.e , FIGs. 1 A or 1 B) closed feature, the initial lateral dimension (a) of the open feature 12 (12') is selected to be less than or equal to approximately 500 nanometers (πm), and desirably, it is selected to be less than or equal to approximately 200 mm. However, features of lateral dimensions greater than approximately 500 nm can be dosed using vapor deposition techniques and will be discussed later.
[0030] Additionally, for instance, the initial vertical dimension of the open feature 12 (12:) may be of any dimension suitable for the specific application. The initial vertical dimension may be very small, on the order of several nanometers (nm), or it may be larger on the order of several microns and greater. [0031] Once the open feature 12 (12') is formed in substrate 10, it may be dosed using one or more vapor deposition processes, in one embodiment, as shown in FlG. IA, a continuous layer 20 of material is formed, thus creating feature void 15. Alternatively, in another embodiment, a non-continuous layer 20' is formed, thus creating feature void 15'. Any vapor deposition process may be employed including physical vapor deposition (PVD), ionized PVD (IPVD), ionized vapor deposition, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), or plasma enhanced ALD (PEALD), or a combination of two or more thereof. Furthermore, the vapor deposition processes may comprise multiple steps configured to adjust the net amount of deposition on various surfaces of the substrate by balancing deposition and etching mechanisms. Moreover, the amount of net deposition on the flat-field or any of the feature surfaces, such as the sidewalis or bottom, can be adjusted by tailoring the vapor deposition processes accordingly (to be discussed below).
[0032] Ionized deposition processes or ionized PVD processes can be utilized to close the open feature, thus forming the closed feature. In these vapor deposition techniques for forming the material membrane closing the open feature, the adatom (i.e., material to be deposited), or a fraction of the adatom, is ionized. The adatom may be introduced by sputtering, or it may be introduced in vapor form using other techniques, including electron beam heating, laser heating, radio frequency (RF) induction heating, resistive heating, etc.
[0033] The material that is selected for forming the homogeneous or heterogeneous closed feature may be (electrically) conductive, semi-conductive or non-conductive. Additionally, a material may be selected for its reactive or non- reactive properties. For example, the material may comprise a metal (M), metal oxide (MxOy), metal nitride (MxMy), metal suicide (MxSix), metal silicate (MxSJyO2), metal oxynitride (MxOyN2), etc. Additionaϋy, for example, a metal may be selected for its reactive or catalytic properties.
[0034] For example, as illustrated in FIG. 1A, once the feature is dosed, the internal lateral dimension of the closed feature can be characterized by width (b), and the internal vertical dimension of the closed feature can be characterized by depth (d). Additionally, for example, the thickness of the vapor deposited membrane to close the feature can be characterized by thickness (e). [0035] The vapor deposition processes may be performed such that the cross- sectional shape of the feature void is geometrically similar to the nominal shape of the open feature 12. For instance, the final lateral dimension (b) can be greater than or equal to approximately 50% of the initial lateral dimension (a), or the final lateral dimension (b) can be greater than or equal to approximately 80% of the initial lateral dimension (a). Alternatively, the final lateral dimension (b) can be greater than or equal to approximately 80% of the initial lateral dimension (a). Additionally, for instance, the final vertical dimension (d) can be greater than or equal to approximately 50% of the initial vertical dimension (c), or the final vertical dimension (d) can be greater than or equal to approximately 80% of the initial vertical dimension (c). Alternatively, the final vertical dimension (d) can be greater than or equal to approximately 90% of the initial vertical dimension (c). Furthermore, for instance, the thickness (e) of membrane closing the open feature can range from approximately 1 nm to approximately 1000 nm, or the thickness can range from approximately 5 nm to approximately 50 nm.
|003β] Referring now to FIGs. 2A through 2G and FIG. 4, a method is described for dosing an open feature on a substrate according to another embodiment. As shown in FiG. 4, the method is illustrated in a flow chart 300, wherein the method comprises forming an open feature 110 in a substrate 100 at 305. The open feature 110 may be formed using any of the techniques described above. [0037] At 310 and as shown in FIG. 2B, an optional confσrma! material layer 120 is deposited on the open feature 110. Once the feature 110 (with conformaS material layer 120) is closed, a homogeneous closed feature is formed as in FiG. 1A. Alternatively, once the feature 110 (without conformal material layer 120) is dosed, a heterogeneous ciosed feature may be formed as in FiG. 1 B. The conforma! materia! layer 120 may be formed using any of the vapor deposition techniques described above. For example, an ALD process, a CVD process, or an ionized vapor deposition process, such as an ionized PVD process, may be utilized to form the conforma! material layer 120. Additionally, for example, when using an iPVD process, a process condition creating a high ratio of adatom ion population to totai adatorn population (i.e., approaching unity) with Sow ion energy (e.g., low substrate bias), such that etching of deposited adatom is decreased or substantially absent, may be utilized to produce a conformal thin film. An exempiary set of process conditions will be described in greater detail later for an iPVD process. [0038] At 320 and as shown in FIG. 2C1 an overhang materia! layer 130 is deposited to form an overhang 132 at the opening to the open feature 1 10. At 330 and as shown in FiG. 2D, a membrane layer 140 is deposited to form a bridge 142 across the opening to the open feature 110, thus closing off an interna! void 144. An ionized vapor deposition process, such as an ionized PVD process, may be utilized to form the overhang material layer 130 or the membrane layer 140 or both. Additionaliy, when using an iPVD process, a process condition creating a relatively lower ratio of adatom ion population to total adatom population (e.g., increased tota! adatom population with substantially the same adatom ion population) with a relatively higher ion energy (e.g., high substrate bias), such that etching of deposited adatom is increased or substantially near a no net deposition condition in the flat- field, may be utilized to produce the overhang layer 130 or the membrane layer 140 or both. An exemplary set of process conditions will be described in greater detail later for an iPVD process.
[0039] The processes illustrated at 320 and 330 in FiG. 4 may be performed at the same time using the same process conditions (i.e., process recipe), or they may be performed separately using more than one set of process conditions. For example, when the process conditions are different for the formation of the overhang layer 130 and the formation of the membrane layer 140, the total adatom population created for forming the overhang layer 130 may be decreased during the creation of the membrane layer 140. [0040] At 340 and as shown in RG. 2E1 an optional thickening materia! fayer 150 is deposited. For example, an AID process, a CVD process, or an ionized vapor deposition process, such as an ionized PVD process, may be utilized to form the thickening material layer 150. An exempiary set of process conditions will be described in greater detail later for an IPVD process.
[0041] At 350 and as shown in FIG. 2F1 the materia! deposited on substrate 100 may be planarizβd to form a planar surface 160. The planarization process may include a chemical-mechanical polishing (CMP) process.
[0042] Furthermore, as illustrated in FIG. 2G, an opening 170 may, optionally, be formed in the closed feature. The opening may, for example, be formed using an etching or milling process. Additionally, the opening may, for example, include a variety of cross-sectional shapes, including circular, elliptical, rectangular, square, or any shape that can be patterned using, for instance, a photo-lithography process. [0043] According to yet another embodiment, FiGs. 5A through 5D illustrate a method for forming a closed feature having an internal lateral dimension greater than approximately 500 nm. As shown in FiG. 5A, a substrate 400 includes a cap layer 410 and a mask layer 420 having pattern 425 formed thereon. Cap layer 410 comprises a material composition sufficiently different than said substrate 400 such that one materia! may be selectively etched relative to the other material. As described above, the lateral critical dimension (i.e.. width (a) of the opening in mask layer 420; as shown in FIG. 5C) of pattern 425 is selected to be approximately 500 nm or less.
[0044] As shown in FlG. 58, the pattern 425 is transferred to the cap layer 410 and the substrate 400 using one or more etching processes, such as one or more anisotropic dry plasma etching processes. Thereafter, as illustrated in FIG. 5C, a selective etching process is performed in order to laterally etch substrate 400 in order to increase the nominal lateral critical dimension (a) of pattern 425 to an expanded lateral critical dimension (a').
[0045] Once the expanded open feature is formed in substrate 400 having a width (a') and an opening (a), any remaining mask layer 420 can be removed and one or more vapor deposition processes can be executed to form membrane layer 430 to dose the open feature as illustrated in FIG. 5D. When the material composition of membrane layer 430 is different than the materia! composition of cap layer 410, the closure mechanism may be similar to that iliustrated in FiG. 5D1 i.e., material deposited in the fiat-field.
[0046] Alternatively, as iϋustrated in FiG. 5E, the open feature may be closed by depositing a bridge layer 432. When the material composition of membrane layer 430 is the same as the material composition of cap layer 410, the closure mechanism may be simiiar Io that iiiustrated in FiG. 5E1 Le. ; less material deposited in the flat-field.
[004?] Referring now to FIG. 8, a deposition system 500 is presented according to an embodiment of the invention. Deposition system 500 includes a process chamber 510, and a substrate holder 512 coupied to the process chamber 510, and configured to support a substrate 514. Additionally, the deposition system 500 includes a piasma source 520 coupled to the process chamber 510 and configured to form piasma in process space 540 within process chamber 510. Additionally, the deposition system 500 includes an adatom source 530 coupled to the process chamber 510. and configured to introduce an adatom to process space 540 in process chamber 510.
[0048] The deposition system 500 can further comprise a gas injection system 560 coupled to the process chamber 510, and configured to introduce an inert gas, such as a noble gas (i.e., helium, argon, xenon, krypton, etc.), to the process space 540 in process chamber 510. Optionally, the deposition system 500 can further comprise a control system 550 coupled to the process chamber 510, the substrate holder 512, the plasma source 520, and the adatom source 530, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 500 according to, for example, a process recipe. [0049J Referring still to FiG. 8, piasma source 520 can include an electrode coupled to a power source, such as a radio frequency (RF) generator, or a coil antenna coupied to a power source, such as a helical coil or other antenna coupled to an RF generator. For example, the plasma source 520 can include a capacitiveiy coupled plasma (CCP) source, or an inductively coupled plasma source (ICP), or combination thereof. Additionally, for example, sub- and atmospheric ICP sources generate piasma with electron density of approximately ^, « (l - 4)χ IO cm'"' and electron temperature of approximately ~0.2 eV to approximately 0.6 eV with 100% ionization of the adatom. Alternately, plasma source 120 can include a source capable of production of large area plasmas, such as electron beam sources with low electron temperature and electron density of approximately ne =» 1 .2 χ }0κcnf3 and above, as well as those capable of high density flat plasma production based on surface waves, helicon, or electron cyclotron resonance (ECR) plasma sources. [OQ50J Adatom source 530 can, for example, be distributed about the perimeter of process chamber 510, from which source material adatorns enter process space 540. The source material can include conductive material, semi-conductive material, or non-conductive material. For example, a metal target may be utilized as a source of metal. The target can be biased using direct current (DC), or alternating current (AC) to generate adatoms (of source material) through a sputtering process. Alternately, other adatom sources, such as magnetrons, can be used. Pulsed laser deposition, high power pulsed magnetron sputtering, plasma assisted sputter techniques, etc., can be utilized. Additionally, the adatom source 530 can include a plurality of adatom sources. The plurality of adatom sources can be coupled to a power source. Alternately, each adatom source can be independently coupled to a separate power source. Alternately, the power can be alternatingly and sequentially coupled to the plurality of metal sources using one or more power sources. [0QS1] Substrate holder 512 can include an electrode through which AC power, such as RF power, or DC power, or both is coupled to substrate 514. For example, substrate holder 512 can be electrically biased at an RF voltage via the transmission of RF power from an RF generator through an impedance match network to substrate holder 512. The RF bias can serve to heat electrons to form and maintain plasma. Alternatively, the RF bias can serve to affect the ion energy of ions incident on the upper surface of the substrate. A typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz. RF systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. Furthermore, an impedance match network can serve to improve the transfer of RF power to plasma in the process chamber by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skiiied in the art. [0052] Additionally, the substrate holder 512 can comprise an electrostatic clamping system (or mechanical clamping system) in order to eiectricafly (or mechanically) damp substrate 514 to the substrate holder 512. Furthermore, substrate holder 512 can. for example, further include a cooling system having a re- circulating coolant flow that receives heat from substrate holder 512 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, a heat transfer gas can, for example, be delivered to the back-side of substrate 514 via a backside gas system to improve the gas-gap thermal conductance between substrate 514 and substrate holder 512. For instance, the heat transfer gas supplied to the back-side of substrate 512 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independentiy varied between the center and the edge of substrate 514. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included in the substrate holder 512, as well as the chamber wail of the process chamber 510. [0053] Furthermore, control system 550 can include a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 500 as well as monitor outputs from deposition system 500. Moreover, control system 550 can be coupled to and can exchange information with process chamber 510, plasma source 520, distributed metal source 530, gas injection system 560; and vacuum pump system (not shown). For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of deposition system 500 according to a process recipe in order to perform a deposition process. One example of control system 550 includes a DELL PRECISION WORKSTATION 6101 ?v\ available from Dell Corporation, Austin, Texas. [0054] However, the controi system 550 may be implemented as a general purpose computer system that performs a portion or ali of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive. One or more processors in a multiprocessing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in piace of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
[005S] The control system 550 may be locally located relative to the deposition system 500, or it may be remotely located relative to the deposition system 500. For example, the control system 550 may exchange data with the deposition system 500 using at least one of a direct connection, an intranet, the internet and a wireless connection. The controi system 550 may be coupled to an intranet at. for example, a customer site (i.e.. a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the control system 550 may be coupled to the Internet. Furthermore, another computer (i.e., controller, server etc.) may access, for example, the control system 550 to exchange data via a direct connection, an intranet, or the Internet or any combination thereof. As also would be appreciated by those skilled in the art, the control system 550 may exchange data with the deposition system 500 via a wireless connection.
[0058] According to one example, the deposition system 500 comprises an ionized physical vapor deposition (iPVD) system. Further details of an iPVD system are described in US Patent Serial No. 6,719,886 B2, entitled "Method and apparatus for ionized physical vapor deposition", the entire contents are incorporated herein by- reference in their entirety.
[0057] In the following discussion, a method of closing an open feature utilizing an ionized vapor deposition system is presented. For example, the ionized vapor deposition system may include an iPVD system configured to deposit metai- containing films, such as the IPVD system having various elements that is described In FIG. 8.
[0058] In an embodiment, the method of closing the open feature to form a closed feature comprises using a metai-containing target eiectrode. The target electrode comprises the material to be deposited (i.e., adatom) on the substrate. For example, when depositing copper (Cu) or tantalum (Ta), the target comprises Cu or Ta, respectively. An iPVD process parameter space can comprise: a chamber pressure of approximately 5 mTorr to approximately 1000 mTorr (desirably, the chamber pressure ranges from approximately 50 mTorr to approximately 100 mTorr); a noble gas (e.g., argon) flow rate (i.e., from gas injection system 560) ranging from approximately 50 to approximately 5000 seem (alternatively, the flow rate ranges from approximately 200 sccrn to approximately 800 seem); a (direct current, DC) target power (i.e., adatom source 530 power in FIG. 8) ranging from approximately 5 kVV to approximately 20 kW (alternatively, the DC power ranges from approximately 10 kW to approximately 15 kW); a plasma source radio frequency (RF) power (i.e., plasma source 520 power in FIG. 8) ranging from approximately 0.5 kW to approximately 10 kW (alternatively, the plasma source RF power ranges from approximately 4 kW to approximately 8 kW); a substrate hoider RF bias (i.e., substrate holder 512 RF power) ranging up to approximately 2 kW (alternatively, the substrate holder RF bias power can range from approximately 100 W to approximately 1000 W); and a deposition time (for forming the closed feature) ranging from approximately 30 second to approximately 600 seconds (alternatively, the deposition time ranges from approximately 60 seconds to approximately 180 seconds).
[0059] Referring now to FlG. 6, an illustrative diagram is presented to provide an example of the method for closing an open feature as described in FIG. 4 using the system described in FiG, 8. The diagram presents an exemplary relationship between the amount of deposition on the substrate (e.g., in the flat-field) versus the substrate bias power (e.g., substrate holder RF power) on the abscissa and the target power (e.g., DC target power). For instance, as the substrate bias power is increased while holding other process parameters constant (e.g., pressure, plasma source power, etc.), the deposition amount remains substantially flat until it begins to decay once the Ion energy for ions incident on the substrate is sufficiently high to sputter (or physically etch) adatorn from the substrate. Eventually, at a very high substrate bias power, a no net deposition condition is reached (i.e., substantially zero net deposition in the flat-field). Additionally, as the target power decreases while holding other process parameters constant, the process condition shifts from the upper curve (solid line) to the lower curve (dashed line). By decreasing the target power and maintaining the same pressure and plasma source power (i.e., Ar ion population), the total adatom popuiation is decreased, but with the same Ar ion ionization condition, the adatom ion popuiation is increased and, hence, the ratio of the adatom ion population relative to the total adatom population is increased. [OOβO] When performing the optional step 310 using an iPVD process, a process condition creating a high ratio of adatom ion population to total adatom population (i.e., approaching unity) with low ion energy (e.g., low substrate bias), such that etching of deposited adafom is decreased or substantially absent, may be utilized to produce a conforms! thin film. For instance, in order to achieve a high ratio of adatom ion population to total adatom popuiation, the (DC) target power can be reduced (e.g.. less than or equal to approximately 10 kW) to reduce the amount of adatom produced by sputtering and the plasma source RF power (e.g., RF ICP power) can be increased to increase ionization, while the substrate bias power is decreased (e.g., less than or equal to approximately 500 W) to avoid operating in an etching regime, as illustrated by condition 310' in FIG. 6. The higher adatom ion population (relative to non-ionized adatom population) is beneficial for conformaf deposition over substrate topography.
[0061] When performing the overhang step 320 or bridge step 330 or both using an iPVD process, a process condition creating a relatively lower ratio of adatom ion population to total adatom popuiation (e.g., increased total adatom population with substantially the same adatom ion popuiation) with a relatively higher ion energy (e.g., high substrate bias), such that etching of deposited adatom is increased or substantially near a no net deposition condition in the flat-field, may be utilized to produce the overhang layer 130 or the membrane layer 140 or both. For instance, the (DC) target power can be increased (e.g., greater than or equal to approximately 10 kW) to increase the amount of adatom produced by sputtering, the plasma source power (e.g., RF ICP power) can be increased (e.g., greater than or equal to approximately 5000 W) to increase ionization, while the substrate bias power Is increased (e.g., greater than approximately 500 W) to operate in an etching regime, as illustrated by condition 320' and 330' in FIG. 6, As illustrated in FSG. 6, process conditions 320' and 330' may be different, and may, for example, lie within the cross- hatched region. Process condition 330' may be performed after process condition 320' at a higher bias power, where the bias powers of both process conditions are greater than approximately 500 W.
[0082] When performing the optional step 340 using an iPVD process, a process condition creating a high adatom ion population and high adatom population with low ion energy (e.g., low substrate bias), such that etching of deposited adatom is decreased or substantially absent, may be utilized to produce a thickening thin film. For instance, in order to achieve a high adatom ion population and a high total adatom population, the (DC) target power can be Increased to increase the amount of adatom produced by sputtering and the plasma source RF power (e.g., RF iCP power) can be increased to increase ionization, while the substrate bias power is decreased (e.g., less than or equal to approximately 500 W) to avoid operating in an etching regime, as illustrated by condition 340' in FiG. 6. [0063] As an exampie, a method of closing an open feature to form a closed feature utilizing an iPVD system such as the one described in FIG. 8 and in US Patent Serial No. 6,719,886 B2 is presented. However, the methods discussed are not to be limited in scope by this exemplary presentation. FiG. 7 presents a SEM (scanning electron microscope) photograph of a closed trench formed by a copper (Cu) iPVD system utilizing the following exemplary process condition to simultaneously perform only steps 330 and 340 in FIG. 4: Chamber pressure = 65 rnϊorr; Argon flow rate ~ 500 seem; Target DC power ~ 10 — 12 kW; ICP (Inductively coupled plasma) coil RF power = 5 - 6 kW; Substrate RF bias power - 800 - 900 W; and Backside argon pressure = 5 Torr; and a deposition time of approximately 120 seconds. As shown in FIG. 7, a homogeneous closed feature is produced having enclosure wails that are substantially uniform in thickness. [0084] Although only certain exemplary embodiments of inventions have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention.

Claims

CLAIMS:
1. A method of fabricating a closed structure on a substrate, comprising: forming an open feature within said substrate, said open feature extending into said substrate from an opening at an upper surface thereof and having a nominal cross-sectional shape characterized by an initiai latera! dimension that is less than or equal to approximately 500 nanometers (nm); and closing said opening to said open feature in order to create a dosed feature in sasd substrate by using one or more vapor deposition processes to deposit materia! across said opening thereby forming a material membrane closing said opening to said open structure, wherein said material deposited across said opening and within said open feature is such that a resultant cross-sectiona! shape of said dosed feature is substantially the same as said nominal cross-sectional shape.
2, A method of fabricating a nano-scale structure on a substrate, comprising: forming a cap layer on said substrate; forming a mask layer, having a pattern formed therein, on said cap layer; transferring said pattern to said cap layer using an etching process in order to form an opening in said cap layer having an opening lateral critical dimension less than or equal to approximately 500 nm; transferring said pattern to said substrate using an etching process in order to form an open feature within said substrate having an initiai substrate latera! critical dimension; laterally etching said open feature in said substrate using another etching process in order to expand said initiai substrate latera! critical dimension to a final substrate lateral critical dimension, said final substrate lateral critical dimension being greater than said opening lateral critical dimension formed in said cap layer; and closing said opening in said cap layer in order to create a closed feature in said substrate by using one or more vapor deposition processes to deposit material
3. The method of claim 1 or 2, further comprising: prior to said dosing of said opening, depositing a conformai thin film as a liner for said open feature.
4. The method of ciaim 3, wherein the thickness of said materia! membrane is substantially the same as the thickness of said conformai thin fiim.
5. The method of claim 1 , wherein said dosing of said opening further comprises producing a closed feature having a final lateral dimension that is greater than or equal to approximately 80% of said initial lateral dimension.
δ. The method of ciaim 1 , wherein said closing of said opening further comprises producing a closed feature having a final lateral dimension that is greater than or equal to approximately 90% of said initial lateral dimension.
7. The method of claim 1 or 2, wherein said closing of said opening comprises closing said opening using one or more ionized vapor deposition processes.
8. The method of claim 7, wherein said dosing of said opening comprises closing said opening using one or more ionized physical vapor deposition (iPVD) processes.
9. The method of claim 1 , wherein said forming of said open feature comprises forming an open feature that is characterized by an initial lateral dimension less than or equal to approximately 200 nanometers (nm).
10. The method of claim 1 , wherein said forming of said open feature comprises using an etching process, a nano-imprint lithography process, or an ion milling process, or a combination of two or more thereof.
11. The method of claim 10, wherein said etching process comprises using a wet etching process, a dry etching process, a dry piasma etching process, or a laser-assisted etching process, or a combination of two or more thereof.
12. The method of claim 1 or 2, wherein said forming of said open feature comprises forming an open channei having two sidewalis opposing one another and extending from said opening to a bottom of said open channel, and wherein said nominal cross-sectional shape includes a substantially rectangular cross-section and said initial lateral dimension is characterized by the distance between said opposing sidewaiis of said open channel.
13. The method of claim 12, wherein said dosing of said opening comprises forming said material membrane using an iPVD process.
14. The method of claim 1 , wherein said forming of said open feature comprises forming a plurality of open channels, each open channei having two sidewaϋs opposing one another and extending from said opening to a bottom of said open channel and wherein said nominal cross-sectional shape includes a substantially rectangular cross-section and said initial lateral dimension is characterized by the distance between said opposing sidewalis of said open channel.
15. The method of claim 1 or 2, wherein said forming of said open feature comprises forming an open channel characterized by a maximum lateral extent and a maximum vertical extent, and wherein said nominal cross-sectional shape includes a substantially non-rectangular cross-section and said initial lateral dimension is characterized by said maximum lateral extent of said open channel.
16. The method of claim 15, wherein said closing of said opening comprises forming said material membrane using an iPVD process.
17 The method of claim 1 or 2 wherein said forming of said open feature comprises forming an open via having a cylindπcaiiy shaped ssdewai! extending from said opening κ> a bottom of said open via, and wherein said nominal cross-sectsona! shape at a center of said open via includes a substantially rectangular cross-section and said initial lateral dimension is characterized by the diameter of said open via
18 The method of claim 17, wherein said closing of said opening compπses forming said material membrane using an iPVD process
19 The method of claim 1 , wherein said closing of said open feature compnses performing an optional first vapor deposition process to form a conformal materia! film on said substrate and within said open feature, and following said optional first vapor deposition process performing a second vapor depos tion process to form said material membrane across said opening to close said open feature
20 The method of claim 2, wherein said closing of said open feature compπses performing an optional first vapor deposition process to form a conformal material film on said substrate and witnm said open feature, and following said optional first vapor deposition process performing a second vapor deposition process to form said material membrane across said opening in said cap iaye" to close said open feature
21 The methoG of clasm 19 or 20, wherein said performing of said first vapor deposition σrocess comprises performing an iPVD process or an atomic layer deposition (ALD) process or both.
22 The methoα of claim 19 or 20, wherein said performing of said second vapor depos tion process compπses performing an ionized vapor deposition process of an i PVD process
23. The method of claim 1 or 2, further comprising: forming a through-hole in said closed feature.
24. The method of ciaim 23, wherein said through-hole comprises a circular orifice or a rectangular orifice.
25. The method of claim 1 or 2, wherein said closing of said open feature comprises: disposing said substrate on a substrate holder in an iPVD system, wherein said IPVD system is configured to: (a) provide bias power to said substrate holder, (b) provide source power to a plasma generation system configured to form plasma in said iPVD system, and (c) provide target power to a sputter target configured to introduce said material for said one or more vapor deposition processes; and performing a first iPVD process using a bias power setting for said bias power greater than approximately 500 VV.
26. The method of claim 25, wherein said first iPVD process further includes using a target DC power setting greater than or equal to approximately 10 kW.
27. The method of claim 28, wherein said first iPVD process further comprises using a plasma source power setting, comprising a RF power greater than or equal to approximately 5000 W.
28. The method of claim 25, wherein said first iPVD process comprises performing a first process step at a first bias power greater than approximately 500 W and a second process step at a second bias power greater than approximately 500 VV, and wherein said second bias power is greater than said first bias power.
29. The method of claim 25, further comprising: prior to said first IPVD process, performing a second ΪPVD process using a low bias power setting for said bias power, wherein said !ow bias power setting comprises a power less than or equal to approximately 500 W.
30. The method of ciairn 29, wherein said second iPVD process further Includes using a low target power setting, wherein said low target power setting comprises a DC power less than or equal to approximately 10 kW.
31. The method of claim 25, wherein said first iPVD process comprises one or more metal vapor deposition processes.
32. The method of ciairn 25, further comprising: following said first iPVD process, performing a third iPVD process using another iow bias power setting for said bias power, wherein said Sow bias power setting comprises a power level less than or equal to approximately 500 W.
33. The method of claim 25, further comprising: pianarizing said materia! on said substrate to the substrate surface.
34. The method of claim 2, wherein said forming of said open feature comprises forming an opening lateral critical dimension in said cap layer that is less than or equal to approximately 200 nanometers (nm).
35. The method of claim 2, wherein said etching of said cap layer comprises using a wet etching process, a dry etching process, a dry plasma etching process, or a laser-assisted etching process, or a combination of two or more thereof.
36. The method of claim 2, wherein said etching of said feature comprises using a wet etching process, a dry etching process, a dry plasma etching process, or a laser-assisted etching process, or a combination of two or more thereof.
37. The method of claim 2, wherein said forming of said open feature comprises forming a plurality of open channels, each open channel having two sidewaiis opposing one another and extending from said opening in said cap layer to a bottom of said open channel and wherein said nominal cross-sectional shape includes a substantially rectangular cross-section and said initial lateral critical dimension is characterized by the distance between said opposing sidewaiis of said ooen channel.
38. A method of fabricating a closed nano-fluidic channel on a substrate, comprising: forming an open channel within said substrate, said open channei extending into said substrate from an opening at an upper surface thereof and having a nominal cross-sectiona! shape characterized by an initial lateral dimension that is less than or equal to approximately 200 nanometers (nm); applying a conformal thin fi!m of materia! to said open channei in order to form a contiguous film on the surfaces of said open channel; and closing said opening to said open channel in order to create a closed nano-fliiidic channel in said substrate by using one or more iPVD processes to deposit material across said opening thereby forming a materia! membrane closing said opening to said open channel, wherein said material deposited across said opening and within said open channel is such that a resultant cross-sectionaf shape Is substantially the same as said nominal cross-sectional shape.
39. A method of fabricating a closed nano-via on a substrate, comprising: forming a substantially cylindrical open via within said substrate, said open via extending into said substrate from a substantially circular opening at an upper surface thereof and having a nominal cross-sectional shape characterized by an initial diameter that is less than or equal to approximately 200 nanometers (nm); applying a conformal thin film of material to said open via in order to form a contiguous film on the surfaces of said open via; and closing said opening to said open via in order to create a closed via in said substrate by using one or more IPVD processes to deposit material across said opening thereby forming a materia! membrane closing said opening to said open via, wherein said materia! deposited across said opening and within said open via is such that a resultant cross-sectiona! shape is substantially the same as said nominal cross-sectional shape.
40. A nano-scale channel formed on a substrate, comprising: an open channel formed in said substrate, wherein said open channel extends into said substrate from an opening at an upper surface thereof and comprises a nominal cross-sectionai shape characterized by an initial lateral dimension that is less than or equal to approximately 200 nanometers (nm); and a dosed nano-fiuidic channel formed within said open channel comprising: a conforms!, voidϊess material film deposited on the sidewails and the bottom of said open channel; and a material membrane contiguous with said conformal thin film and extending across said opening, wherein said closed nano-fiuidic channel comprises a final cross-sectional shape that is substantially the same as said initial cross-sectiona! shape of said open channel.
PCT/US2007/072322 2006-08-30 2007-06-28 Method and system for fabricating a nano-structure WO2008094286A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/468,526 US7771790B2 (en) 2006-08-30 2006-08-30 Method and system for fabricating a nano-structure
US11/468,566 US7569491B2 (en) 2006-08-30 2006-08-30 Method for enlarging a nano-structure
US11/468,566 2006-08-30
US11/468,526 2006-08-30

Publications (2)

Publication Number Publication Date
WO2008094286A2 true WO2008094286A2 (en) 2008-08-07
WO2008094286A3 WO2008094286A3 (en) 2008-12-31

Family

ID=39674663

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/072322 WO2008094286A2 (en) 2006-08-30 2007-06-28 Method and system for fabricating a nano-structure

Country Status (1)

Country Link
WO (1) WO2008094286A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102390804A (en) * 2011-10-26 2012-03-28 合肥工业大学 Method and system used for manufacturing nanometer channel by combining dynamic nanometer scraping and plasma body

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003010289A2 (en) * 2001-07-25 2003-02-06 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20050211545A1 (en) * 2004-03-26 2005-09-29 Cerio Frank M Jr Ionized physical vapor deposition (iPVD) process
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003010289A2 (en) * 2001-07-25 2003-02-06 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US20050211545A1 (en) * 2004-03-26 2005-09-29 Cerio Frank M Jr Ionized physical vapor deposition (iPVD) process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102390804A (en) * 2011-10-26 2012-03-28 合肥工业大学 Method and system used for manufacturing nanometer channel by combining dynamic nanometer scraping and plasma body

Also Published As

Publication number Publication date
WO2008094286A3 (en) 2008-12-31

Similar Documents

Publication Publication Date Title
US7771790B2 (en) Method and system for fabricating a nano-structure
TWI760421B (en) Method of preferential silicon nitride etching using sulfur hexafluoride
TWI598989B (en) Thermal plate and substrate support assembly comprising the thermal plate and method for manufacturing the thermal plate
TW552624B (en) Ionized PVD with sequential deposition and etching
JP4021601B2 (en) Sputtering apparatus and film forming method
TWI281212B (en) Variable temperature processes for tunable electrostatic chuck
US20100230281A1 (en) Thin film forming apparatus
TWI691612B (en) Direct deposition of nickel silicide nanowire
CN102197457B (en) Carry out the copper seed crystal sputter again of overhanging with the copper ion PVD strengthening
US20090095714A1 (en) Method and system for low pressure plasma processing
US20050266173A1 (en) Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US5627105A (en) Plasma etch process and TiSix layers made using the process
WO2015026506A1 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
WO2007118042A2 (en) Depositing ruthenium films using ionized physical vapor deposition (ipvd)
JP2001140065A (en) Ionized metal plasma copper vapor deposition improved in capacity of grain in thin film
KR20070026379A (en) Ionized physical vapor deposition(ipvd) process
WO2011002058A1 (en) Method for depositing thin film
TW201503286A (en) Method for filling vias and substrate-via filling vacuum processing system
US7875555B2 (en) Method for plasma processing over wide pressure range
WO2016011352A1 (en) Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
WO2018231359A1 (en) Selective deposition process utilizing polymer structure deactivation process
US7569491B2 (en) Method for enlarging a nano-structure
TW201732880A (en) A plasma treatment method to meet line edge roughness and other integration objectives
JPH088232A (en) Plasma treatment method
WO2008094286A2 (en) Method and system for fabricating a nano-structure

Legal Events

Date Code Title Description
NENP Non-entry into the national phase in:

Ref country code: DE

NENP Non-entry into the national phase in:

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 07872680

Country of ref document: EP

Kind code of ref document: A2