WO2008057692A3 - System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop - Google Patents

System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop Download PDF

Info

Publication number
WO2008057692A3
WO2008057692A3 PCT/US2007/080772 US2007080772W WO2008057692A3 WO 2008057692 A3 WO2008057692 A3 WO 2008057692A3 US 2007080772 W US2007080772 W US 2007080772W WO 2008057692 A3 WO2008057692 A3 WO 2008057692A3
Authority
WO
WIPO (PCT)
Prior art keywords
stop
nanoscale
providing
highly selective
layer
Prior art date
Application number
PCT/US2007/080772
Other languages
French (fr)
Other versions
WO2008057692A2 (en
Inventor
Darwin G Enicks
Original Assignee
Atmel Corp
Darwin G Enicks
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp, Darwin G Enicks filed Critical Atmel Corp
Publication of WO2008057692A2 publication Critical patent/WO2008057692A2/en
Publication of WO2008057692A3 publication Critical patent/WO2008057692A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Abstract

A method for forming an etch- stop layer and a resulting structure (500) fabricated therefrom. The etch-stop layer has a semiconductor layer having a first surface and a boron layer formed below the first surface of the semiconductor layer. The boron layer has a full- width half -maximum (FWHM) thickness value (501, 503) of less than 100 nanometers. The boron layer is formed by a chemical vapor deposition (CVD) system.
PCT/US2007/080772 2006-10-26 2007-10-09 System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop WO2008057692A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/553,313 2006-10-26
US11/553,313 US7569913B2 (en) 2006-10-26 2006-10-26 Boron etch-stop layer and methods related thereto

Publications (2)

Publication Number Publication Date
WO2008057692A2 WO2008057692A2 (en) 2008-05-15
WO2008057692A3 true WO2008057692A3 (en) 2008-07-03

Family

ID=39329097

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/080772 WO2008057692A2 (en) 2006-10-26 2007-10-09 System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop

Country Status (3)

Country Link
US (2) US7569913B2 (en)
TW (1) TW200828431A (en)
WO (1) WO2008057692A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20070102834A1 (en) * 2005-11-07 2007-05-10 Enicks Darwin G Strain-compensated metastable compound base heterojunction bipolar transistor
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US7495250B2 (en) * 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto
US7569913B2 (en) 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US8148230B2 (en) * 2009-07-15 2012-04-03 Sandisk 3D Llc Method of making damascene diodes using selective etching methods
JP5554142B2 (en) * 2010-05-14 2014-07-23 株式会社豊田中央研究所 Method for vapor phase growth of semiconductor film
EP2617050A2 (en) * 2010-09-15 2013-07-24 Praxair Technology, Inc. Method for extending lifetime of an ion source
CN103890906B (en) * 2011-08-15 2017-06-09 阿卜杜拉国王科技大学 For the method for the flexible silicon base of manufacturing machine
KR102131581B1 (en) * 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 Tungsten feature fill
US9466729B1 (en) 2015-05-08 2016-10-11 Qualcomm Incorporated Etch stop region based fabrication of bonded semiconductor structures
US20170148726A1 (en) * 2015-11-03 2017-05-25 Applied Materials, Inc. Semiconductor processing method and semiconductor device
US10163719B2 (en) * 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9842913B1 (en) 2016-05-18 2017-12-12 Globalfoundries Inc. Integrated circuit fabrication with boron etch-stop layer
US10249538B1 (en) 2017-10-03 2019-04-02 Globalfoundries Inc. Method of forming vertical field effect transistors with different gate lengths and a resulting structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6744079B2 (en) * 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
US20040164336A1 (en) * 1998-11-24 2004-08-26 Micron Technology, Inc. Films doped with carbon for use in integrated circuit technology
US6906400B2 (en) * 2003-01-14 2005-06-14 Interuniversitair Microelektronica Centrum (Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US20050191911A1 (en) * 2004-02-27 2005-09-01 International Business Machines Corporation Transistor structure with minimized parasitics and method of fabricating the same

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652183A (en) * 1979-02-16 1987-03-24 United Technologies Corporation Amorphous boron-carbon alloy tool bits and methods of making the same
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH05175216A (en) * 1991-12-24 1993-07-13 Rohm Co Ltd Hetero junction bipolar transistor and its manufacture
US5965931A (en) * 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US5453399A (en) * 1993-10-06 1995-09-26 Texas Instruments Incorporated Method of making semiconductor-on-insulator structure
US5466949A (en) * 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
EP0799495A4 (en) * 1994-11-10 1999-11-03 Lawrence Semiconductor Researc Silicon-germanium-carbon compositions and processes thereof
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6800881B2 (en) * 1996-12-09 2004-10-05 Ihp Gmbh-Innovations For High Performance Microelectronics/Institut Fur Innovative Mikroelektronik Silicon-germanium hetero bipolar transistor with T-shaped implantation layer between emitter and emitter contact area
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
EP1102327B1 (en) * 1999-11-15 2007-10-03 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
JP2001189478A (en) * 1999-12-28 2001-07-10 Sanyo Electric Co Ltd Semiconductor element and manufacturing method therefor
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
TW483171B (en) * 2000-03-16 2002-04-11 Trw Inc Ultra high speed heterojunction bipolar transistor having a cantilevered base.
US6362065B1 (en) * 2001-02-26 2002-03-26 Texas Instruments Incorporated Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US20030082882A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from buried layers in bipolar integrated circuits
US20030080394A1 (en) * 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US6656809B2 (en) * 2002-01-15 2003-12-02 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US6759674B2 (en) * 2002-02-04 2004-07-06 Newport Fab, Llc Band gap compensated HBT
JP3746246B2 (en) * 2002-04-16 2006-02-15 株式会社東芝 Manufacturing method of semiconductor device
US7262429B2 (en) * 2002-04-26 2007-08-28 Taylor Geoff W Thz detection employing modulation doped quantum well device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7217950B2 (en) * 2002-10-11 2007-05-15 Nissan Motor Co., Ltd. Insulated gate tunnel-injection device having heterojunction and method for manufacturing the same
CN100459150C (en) * 2003-04-15 2009-02-04 松下电器产业株式会社 Ballistic semiconductor device
US6936910B2 (en) * 2003-05-09 2005-08-30 International Business Machines Corporation BiCMOS technology on SOI substrates
WO2005013375A1 (en) 2003-08-05 2005-02-10 Fujitsu Limited Semiconductor device and its manufacturing method
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
TWI228320B (en) * 2003-09-09 2005-02-21 Ind Tech Res Inst An avalanche photo-detector(APD) with high saturation power, high gain-bandwidth product
TWI222219B (en) * 2003-09-10 2004-10-11 Ind Tech Res Inst Semiconductor optical transistor
US6989322B2 (en) * 2003-11-25 2006-01-24 International Business Machines Corporation Method of forming ultra-thin silicidation-stop extensions in mosfet devices
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7361943B2 (en) * 2005-04-19 2008-04-22 The Ohio State University Silicon-based backward diodes for zero-biased square law detection and detector arrays of same
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20070054460A1 (en) 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
JP2007066981A (en) * 2005-08-29 2007-03-15 Toshiba Corp Semiconductor device
US7535089B2 (en) * 2005-11-01 2009-05-19 Massachusetts Institute Of Technology Monolithically integrated light emitting devices
US20070290193A1 (en) * 2006-01-18 2007-12-20 The Board Of Trustees Of The University Of Illinois Field effect transistor devices and methods
US7569913B2 (en) 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US7495250B2 (en) * 2006-10-26 2009-02-24 Atmel Corporation Integrated circuit structures having a boron- and carbon-doped etch-stop and methods, devices and systems related thereto

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040164336A1 (en) * 1998-11-24 2004-08-26 Micron Technology, Inc. Films doped with carbon for use in integrated circuit technology
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6744079B2 (en) * 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
US6906400B2 (en) * 2003-01-14 2005-06-14 Interuniversitair Microelektronica Centrum (Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US20050191911A1 (en) * 2004-02-27 2005-09-01 International Business Machines Corporation Transistor structure with minimized parasitics and method of fabricating the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US9012308B2 (en) 2005-11-07 2015-04-21 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US8173526B2 (en) 2006-10-31 2012-05-08 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator

Also Published As

Publication number Publication date
US7569913B2 (en) 2009-08-04
US20080099840A1 (en) 2008-05-01
WO2008057692A2 (en) 2008-05-15
US20080237716A1 (en) 2008-10-02
TW200828431A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
WO2008057692A3 (en) System and method for providing a nanoscale, highly selective, and thermally resilient boron etch-stop
WO2008051503A3 (en) Light-emitter-based devices with lattice-mismatched semiconductor structures
WO2009010585A3 (en) Method for producing an emitter structure and emitter structures resulting therefrom
TW200606168A (en) Copper (I) compounds useful as deposition precursors of copper thin films
WO2008008753A3 (en) A method for fabricating a gate dielectric layer utilized in a gate structure
WO2007005816A3 (en) Low-temperature catalyzed formation of segmented nanowire of dielectric material
WO2008016650A3 (en) Methods of forming carbon-containing silicon epitaxial layers
WO2009075793A3 (en) Controlling thickness of residual layer
WO2006107532A3 (en) Single wafer thermal cvd processes for hemispherical grained silicon and nano-crystalline grain-sized polysilicon
WO2007147020A3 (en) Cobalt precursors useful for forming cobalt-containing films on substrates
WO2006120449A8 (en) Nanostructure production methods and apparatus
EP1860690A3 (en) Process for producing silicon oxide films from organoaminosilane precursors
WO2007015897A3 (en) Deposition of multilayer structures including layers of germanium and/or germanium alloys
TW200709279A (en) Method of depositing Ge-Sb-Te thin film
WO2005038865A3 (en) Amorphous carbon layer to improve photoresist adhesion
TW200612381A (en) Method and apparatus for manufacturing display
WO2008011688A3 (en) GROWTH OF MONOCRYSTALLINE GeN ON A SUBSTRATE
WO2006083769A3 (en) N2-based plasma treatment for porous low-k dielectric films
WO2008054967A3 (en) Method for providing a nanoscale, high electron mobility transistor (hemt) on insulator
TW200723354A (en) Material for forming adhesion reinforcing layer, adhesion reinforcing layer, semiconductor device, and manufacturing method thereof
WO2008094870A3 (en) Carbon nanotube device and process for manufacturing same
JP2005340816A5 (en)
DE102006044665A1 (en) Wiring line formation, for e.g. dynamic RAM, involves forming amorphous carbon layer by depositing organosilicate glass on top of wiring lines so that edges of wiring lines are adjoined by air-filled spaces
WO2007076250A3 (en) Semiconductor device fabricated using sublimation
TWI267166B (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07844002

Country of ref document: EP

Kind code of ref document: A2

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07844002

Country of ref document: EP

Kind code of ref document: A2

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)