WO2008031031A3 - Cartesian cluster tool configuration for lithography type processes - Google Patents

Cartesian cluster tool configuration for lithography type processes Download PDF

Info

Publication number
WO2008031031A3
WO2008031031A3 PCT/US2007/077883 US2007077883W WO2008031031A3 WO 2008031031 A3 WO2008031031 A3 WO 2008031031A3 US 2007077883 W US2007077883 W US 2007077883W WO 2008031031 A3 WO2008031031 A3 WO 2008031031A3
Authority
WO
WIPO (PCT)
Prior art keywords
cluster tool
photosensitive material
cartesian
tool configuration
type processes
Prior art date
Application number
PCT/US2007/077883
Other languages
French (fr)
Other versions
WO2008031031A2 (en
Inventor
Eric A Englhardt
Michael R Rice
Jeffrey C Hudgens
Steve Hongkham
Jay D Pinson
Mohsen Salek
Charles Carlson
William T Weaver
Helen R Armer
Original Assignee
Applied Materials Inc
Eric A Englhardt
Michael R Rice
Jeffrey C Hudgens
Steve Hongkham
Jay D Pinson
Mohsen Salek
Charles Carlson
William T Weaver
Helen R Armer
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Eric A Englhardt, Michael R Rice, Jeffrey C Hudgens, Steve Hongkham, Jay D Pinson, Mohsen Salek, Charles Carlson, William T Weaver, Helen R Armer filed Critical Applied Materials Inc
Publication of WO2008031031A2 publication Critical patent/WO2008031031A2/en
Publication of WO2008031031A3 publication Critical patent/WO2008031031A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Abstract

The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
PCT/US2007/077883 2006-09-08 2007-09-07 Cartesian cluster tool configuration for lithography type processes WO2008031031A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/530,297 US7819079B2 (en) 2004-12-22 2006-09-08 Cartesian cluster tool configuration for lithography type processes
US11/530,297 2006-09-08

Publications (2)

Publication Number Publication Date
WO2008031031A2 WO2008031031A2 (en) 2008-03-13
WO2008031031A3 true WO2008031031A3 (en) 2009-03-05

Family

ID=39158094

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/077883 WO2008031031A2 (en) 2006-09-08 2007-09-07 Cartesian cluster tool configuration for lithography type processes

Country Status (3)

Country Link
US (1) US7819079B2 (en)
TW (1) TW200822183A (en)
WO (1) WO2008031031A2 (en)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5006122B2 (en) 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP5318403B2 (en) * 2007-11-30 2013-10-16 株式会社Sokudo Substrate processing equipment
JP5128918B2 (en) * 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
JP5179170B2 (en) 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5359285B2 (en) * 2009-01-07 2013-12-04 東京エレクトロン株式会社 Processing device and operating method of processing device
JP2010177673A (en) * 2009-01-30 2010-08-12 Semes Co Ltd Apparatus and method for treating substrate
JP5680557B2 (en) 2009-02-22 2015-03-04 マッパー・リソグラフィー・アイピー・ビー.ブイ. Charged particle lithography equipment
WO2010094804A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Lithography machine and substrate handling arrangement
JP5392190B2 (en) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 Substrate processing system and substrate processing method
TWI451521B (en) * 2010-06-21 2014-09-01 Semes Co Ltd Substrate treating apparatus and substrate treating method
CH704406A1 (en) * 2011-01-31 2012-07-31 Kringlan Composites Ag A process for the manufacture of preforms.
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
US20160195822A1 (en) * 2013-08-16 2016-07-07 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10459341B2 (en) 2018-01-30 2019-10-29 Applied Materials, Inc. Multi-configuration digital lithography system
JP7181068B2 (en) * 2018-11-30 2022-11-30 株式会社Screenホールディングス Substrate processing equipment
CN113196452A (en) 2019-01-18 2021-07-30 应用材料公司 Film structure for electric field guided photoresist patterning process
KR102240925B1 (en) * 2019-07-17 2021-04-15 세메스 주식회사 Apparatus for Processing Substrate and Substrates transfer apparatus
US11251064B2 (en) * 2020-03-02 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer frame sorter and stocker
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN113644005A (en) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 Semiconductor processing system
KR20230029443A (en) * 2021-08-24 2023-03-03 주식회사 케이씨텍 Substrate cleaning line and substrate cleaning system comprising the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060182536A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot cluster tool architecture

Family Cites Families (542)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (en) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (en) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (en) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Device for controlling automatically steered road vehicles in a container loading system
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (en) 1997-05-07 2002-01-21 東京エレクトロン株式会社 Substrate processing equipment
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (en) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 Substrate rotation holding device for rotary substrate processing equipment
US4984597B1 (en) 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4634655A (en) 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (en) 1985-04-17 1993-02-18 Hitachi Ltd GRIPPER TOOL.
JPS61178187U (en) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278826A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Method for surface treatment and device thereof
JPS6278828A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Surface processing and apparatus thereof
US4639026A (en) * 1985-10-11 1987-01-27 Schlage Lock Company Door knob and door knob catch arrangement
JPH0533006Y2 (en) 1985-10-28 1993-08-23
JPS62129846A (en) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd Method and apparatus for coating photoresist
JPH0621769B2 (en) 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 Pattern defect detection method and device
JPS62247085A (en) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd Processing of thin metallic plate by photoetching
JPH0621346B2 (en) 1986-06-11 1994-03-23 日本鉱業株式会社 Method for manufacturing high-purity metal tantalum target
JPS6314434A (en) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS6377569A (en) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd Rotary type surface treatment device for substrate
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (en) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd Positioning and holding device for photosensitive material
JPS63133545A (en) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd Substrate transferring transporting device for thermal treatment equipment
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
DE3876241D1 (en) 1987-03-31 1993-01-07 Siemens Ag INDUSTRIAL ROBOT.
DE3712281A1 (en) 1987-04-10 1988-10-27 Heraeus Gmbh W C METHOD FOR PRODUCING HIGHLY DUCTILE TANTALE SEMI-FINISHED PRODUCTS
JPS63271931A (en) 1987-04-28 1988-11-09 Tokyo Electron Ltd Development device
JPS63191348U (en) 1987-05-27 1988-12-09
JPH0333058Y2 (en) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (en) 1987-07-13 1991-10-29
JPS6419351A (en) 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
JPH0617295Y2 (en) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 Substrate transfer device
JPH0623935B2 (en) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 Heat treatment control method with improved reproducibility
KR970006206B1 (en) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 Automatic coating system
KR970003907B1 (en) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Resist process system and resist processing method
KR970011644B1 (en) 1988-04-08 1997-07-12 고다까 토시오 Coating device
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (en) 1988-06-03 1999-01-13 東京エレクトロン株式会社 Processing equipment
JPH06103687B2 (en) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 Rotational surface treatment method, treatment end point detection method in rotation type surface treatment, and rotation type surface treatment device
JPH069501Y2 (en) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 Substrate rotary dryer
JPH02137852A (en) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd Development end point detecting method for photoresist
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (en) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 Substrate surface treatment method
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (en) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
JPH03136232A (en) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd Substrate surface treating device
JPH0734426Y2 (en) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 Photosensitive material detector
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (en) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2704309B2 (en) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate heat treatment method
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
DE69113553T2 (en) 1990-07-23 1996-06-20 Dainippon Screen Mfg Interface device for transporting substrates between processing devices.
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2843134B2 (en) 1990-09-07 1999-01-06 東京エレクトロン株式会社 Coating device and coating method
JP2892476B2 (en) 1990-09-14 1999-05-17 東京エレクトロン株式会社 Band-shaped liquid nozzle, liquid processing apparatus and liquid processing method
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
DK0482479T3 (en) 1990-10-23 1998-12-07 Dainippon Screen Mfg Method and apparatus for processing photosensitive material
JP2769645B2 (en) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 Sensitive material processing equipment
KR100230753B1 (en) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 Liquid coating system
JPH081922B2 (en) 1991-01-25 1996-01-10 株式会社東芝 Wafer-holding device
JP3241058B2 (en) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 Rotary coating device and rotary coating method
TW204411B (en) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (en) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd Substrate rotary holding jig of pivoted substrate treating device
JP3209426B2 (en) 1991-10-04 2001-09-17 シーエフエムティ インコーポレイテッド Cleaning microparts with complex shapes
JP2639771B2 (en) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
JP2622046B2 (en) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 Substrate transfer device
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (en) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 Notched wafer position detector
JP2972970B2 (en) 1992-04-24 1999-11-08 東京エレクトロン株式会社 Processing equipment
JP2906006B2 (en) 1992-10-15 1999-06-14 東京エレクトロン株式会社 Processing method and apparatus
KR970011065B1 (en) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 Board changing apparatus and method in board handling system
JP2870719B2 (en) 1993-01-29 1999-03-17 東京エレクトロン株式会社 Processing equipment
JPH06244095A (en) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd Substrate cooling device
US5485644A (en) 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG130022A1 (en) 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (en) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 Processing liquid supply device for rotary substrate processing equipment
JP3347814B2 (en) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
TW268905B (en) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (en) 1993-06-10 1999-05-10 東京エレクトロン株式会社 Processing equipment
DE69402918T2 (en) 1993-07-15 1997-08-14 Applied Materials Inc Substrate catcher and ceramic sheet for semiconductor processing equipment
EP0634783B1 (en) 1993-07-16 1997-08-06 Semiconductor Systems, Inc. Thermal process module for substrate coat/develop system
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
DE634699T1 (en) 1993-07-16 1996-02-15 Semiconductor Systems Inc Grouped photolithographic system.
JP3142195B2 (en) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 Chemical supply device
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (en) 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 Silica-based coating liquid ejector
JPH07115058A (en) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd Board cooling device
JP2845738B2 (en) 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 Substrate rotation holder for rotary substrate processing equipment
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (en) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd Developing method and device
JPH07245285A (en) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd Board processor
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
KR100198477B1 (en) 1994-04-08 1999-06-15 이시다 아키라 Substrate treating device
JP2994553B2 (en) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 Substrate processing equipment
JPH07297258A (en) 1994-04-26 1995-11-10 Tokyo Electron Ltd Carrying equipment of plate body
JP3073886B2 (en) 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (en) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 Substrate processing equipment
US5715173A (en) 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
JP3116297B2 (en) 1994-08-03 2000-12-11 東京エレクトロン株式会社 Processing method and processing apparatus
US5695817A (en) 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
US5689749A (en) 1994-08-31 1997-11-18 Tokyo Electron Limited Apparatus for developing a resist-coated substrate
JP3099054B2 (en) 1994-09-09 2000-10-16 東京エレクトロン株式会社 Coating apparatus and method
TW294821B (en) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3033009B2 (en) 1994-09-09 2000-04-17 東京エレクトロン株式会社 Processing equipment
JP3122868B2 (en) 1994-09-29 2001-01-09 東京エレクトロン株式会社 Coating device
US5625433A (en) 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (en) 1994-10-26 2000-06-12 東京エレクトロン株式会社 Heat treatment equipment
KR100370728B1 (en) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. Method of uniformly coating a substrate and device therefor
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (en) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd Treating device for long size material
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (en) 1995-01-19 2002-04-22 東京エレクトロン株式会社 Processing device and processing method
US5618348A (en) 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (en) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd Substrate processor
JP3350278B2 (en) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 Substrate processing equipment
TW306011B (en) 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
JP3401121B2 (en) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 Rotary coating device for substrates
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (en) 1995-05-12 2000-04-04 東京エレクトロン株式会社 Heat treatment equipment
JPH08316190A (en) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
KR100226326B1 (en) 1995-06-19 1999-10-15 이시다 아키라 Violet exposing apparatus and treating system of substrate
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
JPH0945611A (en) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd Spin coater of substrate
JP2676334B2 (en) 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
JP3518948B2 (en) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 Substrate rotation processing equipment
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
JPH0990643A (en) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd Substrate treating device
JP3552178B2 (en) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 Substrate storage cassette, interface mechanism and substrate processing device
JPH09107013A (en) 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd Substrate transferer
JPH09106934A (en) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd Wafer developing device
JP3227642B2 (en) 1995-10-13 2001-11-12 東京エレクトロン株式会社 Coating device
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (en) 1995-11-22 2002-02-28 이시다 아키라 Substrate detection and transfer apparatus in cassette and method thereof
JP3380663B2 (en) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
JP3892493B2 (en) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 Substrate processing system
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (en) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd Deaerator of treatment liquid for substrate
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (en) 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 Substrate processing equipment
US5704493A (en) 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (en) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 Substrate processing equipment
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
TW324834B (en) 1996-02-01 1998-01-11 Tokyo Electron Co Ltd Method for forming membrane
JP3377909B2 (en) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 Substrate processing equipment
JP3462657B2 (en) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 Thin film forming apparatus and thin film forming method
JP3476305B2 (en) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 Rotary substrate processing equipment
JP3218425B2 (en) 1996-03-25 2001-10-15 東京エレクトロン株式会社 Processing method and processing apparatus
DE19613620C2 (en) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Method and device for drying substrates
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (en) 1996-04-23 2001-01-15 이시다 아키라 Substrate Temperature Control Method, Substrate Heat Treatment Apparatus and Substrate Support Apparatus
DE19654903C2 (en) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Device for treating substrates in a fluid container
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (en) 1996-05-08 2002-05-13 東京エレクトロン株式会社 Development processing method and development processing apparatus
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (en) 1996-05-28 2004-04-05 東京エレクトロン株式会社 Method and apparatus for forming coating film
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
JP3597639B2 (en) 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP3343033B2 (en) 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 Substrate processing equipment
JPH1022358A (en) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
EP0828189B1 (en) 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
JP3227595B2 (en) 1996-08-20 2001-11-12 東京エレクトロン株式会社 Development processing method and development processing apparatus
JP3442934B2 (en) 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 Substrate processing equipment
JP3254574B2 (en) 1996-08-30 2002-02-12 東京エレクトロン株式会社 Method and apparatus for forming coating film
JP3278714B2 (en) 1996-08-30 2002-04-30 東京エレクトロン株式会社 Coating film forming equipment
JP3245769B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JP3245812B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JPH1074818A (en) 1996-09-02 1998-03-17 Tokyo Electron Ltd Treating device
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (en) 1996-09-06 2006-05-24 東京エレクトロン株式会社 Processing system
JP3202929B2 (en) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 Processing system
TW535216B (en) 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
US6053058A (en) 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (en) 1996-10-08 2001-01-15 이시다 아키라 Substrate Processing Equipment
CH697146A5 (en) 1996-10-09 2008-05-15 Tec Sem Ag Gripping device for handling wafers.
JP3420900B2 (en) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 Coating liquid application method
JP3540524B2 (en) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP3471543B2 (en) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 Rotary substrate drying equipment
JPH10144757A (en) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JPH10144599A (en) 1996-11-11 1998-05-29 Tokyo Electron Ltd Rotary treatment equipment and its washing method
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JP3315608B2 (en) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 Coating liquid application method
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (en) 1996-11-27 2002-01-15 東京エレクトロン株式会社 Coating film forming equipment
JP3566475B2 (en) 1996-12-03 2004-09-15 東京エレクトロン株式会社 Processing equipment
TW382749B (en) 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (en) 1996-12-25 2002-10-07 東京エレクトロン株式会社 Coating device
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
KR100283442B1 (en) 1996-12-26 2001-04-02 이시다 아키라 Developing apparatus and developing method
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (en) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd Substrate processor
JP3579228B2 (en) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 Substrate processing equipment
JP3578577B2 (en) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 Processing solution supply method and apparatus
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP3410342B2 (en) 1997-01-31 2003-05-26 東京エレクトロン株式会社 Coating device
JP3559133B2 (en) 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 Heat treatment equipment and substrate processing equipment
JP3280880B2 (en) 1997-02-07 2002-05-13 東京エレクトロン株式会社 Degassing mechanism and processing apparatus using the same
JP3346716B2 (en) 1997-02-14 2002-11-18 東京エレクトロン株式会社 Substrate cooling method and substrate cooling device
JP3321540B2 (en) 1997-02-14 2002-09-03 東京エレクトロン株式会社 Deaeration mechanism, processing apparatus using the same, and deaeration method
EP0863538B1 (en) 1997-03-03 2003-05-21 Tokyo Electron Limited Coating apparatus and coating method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
WO2004075285A1 (en) 1997-03-07 2004-09-02 Takuya Shibao Substrate treating device
JP3442253B2 (en) 1997-03-13 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
JP3526184B2 (en) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
JP3693783B2 (en) 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3548373B2 (en) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
JP3549141B2 (en) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 Substrate processing device and substrate holding device
JP3715073B2 (en) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 Heat treatment equipment
JP3612196B2 (en) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 Developing apparatus, developing method, and substrate processing apparatus
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
US6207231B1 (en) 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
JP3917237B2 (en) 1997-05-20 2007-05-23 東京エレクトロン株式会社 Resist film forming method
JP3737604B2 (en) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 Substrate processing equipment
JPH113851A (en) 1997-06-11 1999-01-06 Tokyo Electron Ltd Liquid treatment device and liquid treatment method
SG71809A1 (en) 1997-07-03 2000-04-18 Tokyo Electron Ltd Solution treatment apparatus
TW384505B (en) 1997-07-04 2000-03-11 Tokyo Electron Ltd Coating device
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
JPH1133471A (en) 1997-07-23 1999-02-09 Tokyo Electron Ltd Coating apparatus
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (en) 1997-08-01 2002-03-11 東京エレクトロン株式会社 Liquid film forming apparatus and method
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (en) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd Substrate processing device and substrate carrying-in/ out device
US6354311B1 (en) 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (en) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 Substrate processing unit and substrate processing apparatus using the same
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3988805B2 (en) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 Substrate transfer method and apparatus
US6174371B1 (en) 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (en) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 Substrate processing equipment
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
US6352083B1 (en) 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
JP3320648B2 (en) 1997-12-04 2002-09-03 東京エレクトロン株式会社 Resist film forming method and resist film forming apparatus
US6177133B1 (en) 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6190063B1 (en) 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (en) 1998-01-19 2002-09-30 東京エレクトロン株式会社 Coating device
JP3323797B2 (en) 1998-01-21 2002-09-09 東京エレクトロン株式会社 Hydrophobic treatment device
JP3246891B2 (en) 1998-02-03 2002-01-15 東京エレクトロン株式会社 Heat treatment equipment
JP3356676B2 (en) 1998-02-04 2002-12-16 東京エレクトロン株式会社 Development processing method and apparatus
US6291800B1 (en) 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6018616A (en) 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (en) 1998-03-09 1999-09-24 Tokyo Electron Ltd Method and apparatus for development
US6359264B1 (en) 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6368776B1 (en) 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
JPH11274024A (en) 1998-03-18 1999-10-08 Tokyo Electron Ltd Method and device for supplying treatment liquid
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
JP3381776B2 (en) 1998-05-19 2003-03-04 東京エレクトロン株式会社 Processing device and processing method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (en) 1998-05-26 1999-12-10 Tokyo Electron Ltd Method and device for development processing
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (en) 1998-06-05 2003-01-08 東京エレクトロン株式会社 Coating film forming apparatus and method
US6183147B1 (en) 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (en) 1998-06-24 2003-09-16 東京エレクトロン株式会社 Multi-stage spin type substrate processing system
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP3461725B2 (en) 1998-06-26 2003-10-27 東京エレクトロン株式会社 Treatment liquid supply device and treatment liquid supply method
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (en) 1998-08-05 2000-02-25 Tokyo Electron Ltd Method for treating substrate
KR100535714B1 (en) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 Substrate process apparatus
KR100537040B1 (en) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 Developing apparatus
JP3453069B2 (en) 1998-08-20 2003-10-06 東京エレクトロン株式会社 Substrate temperature controller
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6203969B1 (en) 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6689215B2 (en) 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (en) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd Articulated robot
JP3458063B2 (en) 1998-11-20 2003-10-20 東京エレクトロン株式会社 Coating device and coating method
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (en) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 Coating liquid application method
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6453214B1 (en) 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (en) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 Line printer device
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (en) 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
KR100593627B1 (en) 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 Processing apparatus, processing system, discrimination method and detection method
US6169274B1 (en) 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (en) 1999-04-02 2003-04-07 東京エレクトロン株式会社 Developing method and developing device
KR100585448B1 (en) 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 Film forming method and film forming apparatus
KR100604024B1 (en) 1999-04-19 2006-07-24 동경 엘렉트론 주식회사 Coating film forming method and coating apparatus
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP3587723B2 (en) 1999-04-30 2004-11-10 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (en) 1999-05-31 2005-02-02 東京エレクトロン株式会社 Liquid treatment apparatus, treatment liquid supply nozzle used therefor, and liquid treatment method
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100704749B1 (en) 1999-07-19 2007-04-09 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
KR100629746B1 (en) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 Developing apparatus and method thereof
KR100597287B1 (en) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 Substrate processing apparatus and method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (en) 1999-08-19 2001-03-06 Tokyo Electron Ltd Method for forming resist pattern
KR100700764B1 (en) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (en) 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (en) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd Heat treatment device and substrate treatment device
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6431769B1 (en) 1999-10-25 2002-08-13 Tokyo Electron Limited Substrate processing system and substrate processing method
US6364547B1 (en) 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (en) 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
KR100583134B1 (en) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 Substrate Processing Unit and Processing Method
TW518639B (en) 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
KR100728244B1 (en) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 Silylation treatment unit and method
JP4090648B2 (en) 1999-11-18 2008-05-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3306398B2 (en) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 Substrate transfer device and transfer teaching system
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
KR20010062439A (en) 1999-12-17 2001-07-07 히가시 데쓰로 Coating film and forming apparatus
US6676757B2 (en) 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
WO2001048800A1 (en) 1999-12-24 2001-07-05 Ebara Corporation Semiconductor wafer processing apparatus and processing method
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (en) 2000-02-07 2003-08-18 タツモ株式会社 Substrate transfer device
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (en) 2000-02-18 2001-08-24 Tokyo Electron Ltd Method and apparatus for supplying treatment liquid
JP3842512B2 (en) 2000-02-24 2006-11-08 オムロン株式会社 Fluid heating device
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP2001257144A (en) 2000-03-09 2001-09-21 Tokyo Electron Ltd Heat treatment apparatus for substrate
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (en) 2000-03-27 2005-10-12 東京エレクトロン株式会社 Treatment liquid supply apparatus and treatment liquid supply method
AU2001247687A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Dry silylation plasma etch process
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP2001291655A (en) 2000-04-07 2001-10-19 Tokyo Electron Ltd Method for evaluating hydrophobic treatment, method for forming resist pattern, and formation system for the resist pattern
JP3792986B2 (en) 2000-04-11 2006-07-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3519669B2 (en) 2000-04-25 2004-04-19 東京エレクトロン株式会社 Development processing method and development processing apparatus
TW593376B (en) 2000-04-27 2004-06-21 Shinetsu Chemical Co Polymer, chemically amplified resist composition and patterning process
JP3648129B2 (en) 2000-05-10 2005-05-18 東京エレクトロン株式会社 Coating development processing method and coating development processing system
JP3545676B2 (en) 2000-05-10 2004-07-21 東京エレクトロン株式会社 Development processing apparatus and development processing method
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (en) 2000-05-31 2001-12-14 Tokyo Electron Ltd System and method for treating substrate
JP2001351848A (en) 2000-06-07 2001-12-21 Tokyo Electron Ltd Substrate treatment system and substrate treatment method
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (en) 2000-06-16 2001-12-26 Tokyo Electron Ltd Substrate-processing apparatus
JP3585217B2 (en) 2000-07-03 2004-11-04 東京エレクトロン株式会社 Substrate processing equipment
JP3581303B2 (en) 2000-07-31 2004-10-27 東京エレクトロン株式会社 Discrimination method and processing device
JP2002134402A (en) 2000-08-15 2002-05-10 Tokyo Electron Ltd Substrate processing method and device thereof
JP4004248B2 (en) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate inspection method
CN100398272C (en) 2000-09-01 2008-07-02 阿赛斯特技术公司 Edge grip aligner with buffering capabilities
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (en) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 Substrate processing method and substrate processing apparatus
JP3587776B2 (en) 2000-10-10 2004-11-10 東京エレクトロン株式会社 Coating device and coating method
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (en) 2000-11-07 2005-02-02 東京エレクトロン株式会社 Development processing method, development processing apparatus, and processing apparatus
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
JP3741604B2 (en) 2000-11-27 2006-02-01 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (en) 2000-12-08 2007-07-11 東京エレクトロン株式会社 Coating, developing device and pattern forming method
JP2002184671A (en) 2000-12-14 2002-06-28 Tokyo Electron Ltd Method and system for substrate treatment
JP3702175B2 (en) 2000-12-19 2005-10-05 東京エレクトロン株式会社 Heat treatment apparatus and method, and pattern formation method
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
JP4124400B2 (en) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 Substrate processing equipment
KR100848772B1 (en) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US6692165B2 (en) 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
JP3713447B2 (en) 2001-04-05 2005-11-09 東京エレクトロン株式会社 Development processing equipment
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP4435443B2 (en) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 Substrate transport apparatus and substrate transport method
JP3967618B2 (en) 2001-04-17 2007-08-29 東京エレクトロン株式会社 Substrate processing method and substrate processing system
JP4025030B2 (en) 2001-04-17 2007-12-19 東京エレクトロン株式会社 Substrate processing apparatus and transfer arm
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
KR100488376B1 (en) 2001-04-27 2005-05-11 가부시키가이샤 고베 세이코쇼 Substrate processing method and substrate processing arrangements
JP3934362B2 (en) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 Element support device
JP4006191B2 (en) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 Optical fiber coupling equipment
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (en) 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (en) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 Method of forming coating film and apparatus for forming coating film
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6683006B2 (en) 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
EP1406751A2 (en) 2001-07-13 2004-04-14 FSI International Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP3725051B2 (en) 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 Substrate processing equipment
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP3869306B2 (en) 2001-08-28 2007-01-17 東京エレクトロン株式会社 Development processing method and developer coating apparatus
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (en) 2001-09-14 2006-11-15 東京エレクトロン株式会社 Coating film forming device
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (en) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 Substrate processing apparatus schedule creation method and program thereof
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (en) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (en) 2001-10-19 2005-11-24 東京エレクトロン株式会社 Developing apparatus and developing method
JP4018958B2 (en) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
JP3751246B2 (en) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 Thin film forming apparatus and conveying method
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP3910054B2 (en) 2001-12-10 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
JP2003257849A (en) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd Substrate developing and processing device
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (en) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd Apparatus and method for treating board
JP3992601B2 (en) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 Chemical treatment equipment
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP4195227B2 (en) 2002-02-22 2008-12-10 東京エレクトロン株式会社 Introducing port structure of workpiece
JP3811082B2 (en) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3688264B2 (en) 2002-03-20 2005-08-24 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
JP3939178B2 (en) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 High pressure drying apparatus, high pressure drying method and substrate processing apparatus
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4274736B2 (en) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4342147B2 (en) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 Substrate processing equipment
JP2003347186A (en) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd Substrate treatment device
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP4233285B2 (en) 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 Substrate processing equipment
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (en) 2003-03-14 2008-02-27 株式会社豊電子工業 Hand device for work robot
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4356936B2 (en) 2005-01-21 2009-11-04 東京エレクトロン株式会社 Coating and developing apparatus and method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060182536A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot cluster tool architecture

Also Published As

Publication number Publication date
WO2008031031A2 (en) 2008-03-13
TW200822183A (en) 2008-05-16
US20070144439A1 (en) 2007-06-28
US7819079B2 (en) 2010-10-26

Similar Documents

Publication Publication Date Title
WO2008031031A3 (en) Cartesian cluster tool configuration for lithography type processes
TW200629464A (en) Coating and developing apparatus and coating and developing method
TW200719095A (en) Exposure apparatus, exposure method and device manufacturing method
TW200631073A (en) Method of processing substrate, exposure device and method of manufacturing device
WO2008008727A3 (en) Scheduling method for processing equipment
TW200627075A (en) Coating and developing apparatus and coating and developing method
TW200602815A (en) Exposure apparatus and device manufacturing method
TW200707113A (en) Substrate processing apparatus
TW200707118A (en) Method of characterization, method of characterizing a process operation, and device manufacturing method
TW200505617A (en) Method and apparatus for removing an edge region of a layer applied to a substrate and for coating a substrate and a substrate
WO2007035071A8 (en) Apparatus and method for treating substrate
TW200700932A (en) Lithography process with an enhanced depth-of-depth
TW200628998A (en) Lithographic apparatus and device manufacturing method
TW200638462A (en) System and method for manufacturing a mask for semiconductor processing
WO2006069341A3 (en) Cluster tool architecture for processing a substrate
GB2375883A (en) A method and apparatus for implanting semiconductor wafer substrates
US9256142B2 (en) Pellicle mounting system and method
TW200633106A (en) Method and apparatus for inspecting semiconductor wafer
WO2017114404A1 (en) Method for protecting edges of silicon wafer and lithography exposure device
TW200618063A (en) Overlay inspection system
TW200512788A (en) Method of fabricating thin film transistor (TFT) array
JP5799304B2 (en) Exposure unit and exposure method using the same
TW200620411A (en) Superposition inspection system
US20120088370A1 (en) Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
TW200611303A (en) Semiconductor manufacturing equipment and semiconductor manufacturing method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07842052

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07842052

Country of ref document: EP

Kind code of ref document: A2