WO2008031031A2 - Cartesian cluster tool configuration for lithography type processes - Google Patents

Cartesian cluster tool configuration for lithography type processes Download PDF

Info

Publication number
WO2008031031A2
WO2008031031A2 PCT/US2007/077883 US2007077883W WO2008031031A2 WO 2008031031 A2 WO2008031031 A2 WO 2008031031A2 US 2007077883 W US2007077883 W US 2007077883W WO 2008031031 A2 WO2008031031 A2 WO 2008031031A2
Authority
WO
WIPO (PCT)
Prior art keywords
processing
robot
substrate
assembly
chambers
Prior art date
Application number
PCT/US2007/077883
Other languages
French (fr)
Other versions
WO2008031031A3 (en
Inventor
Eric A. Englhardt
Michael R. Rice
Jeffrey C. Hudgens
Steve Hongkham
Jay D. Pinson
Mohsen Salek
Charles Carlson
William T. Weaver
Helen R. Armer
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2008031031A2 publication Critical patent/WO2008031031A2/en
Publication of WO2008031031A3 publication Critical patent/WO2008031031A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Definitions

  • Embodiments of the invention generally relate to an integrated processing system containing multiple processing stations and robots that are capable of processing multiple substrates in parallel.
  • the process of forming electronic devices is commonly done in a multi- chamber processing system (e.g., a cluster tool) that has the capability to sequentially process substrates, ⁇ e.g., semiconductor wafers) in a controlled processing environment.
  • Typical cluster tools used to deposit (Ae., coat) and develop a photoresist material, commonly known as a track lithography tool, or used to perform semiconductor cleaning processes, commonly described as a wet/clean tool will include a mainframe that houses at least one substrate transfer robot which transports substrates between a pod/cassette mounting device and multiple processing chambers that are connected to the mainframe.
  • Cluster tools are often used so that substrates can be processed in a repeatable way in a controlled processing environment.
  • a controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
  • a process sequence is generally 009540PC06/FEG/SYNX/HMM
  • a process sequence may generally contain various substrate (or wafer) electronic device fabrication processing steps.
  • electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times.
  • a typical track lithography process sequence will generally include the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of a substrate, then transferring the substrate out of the cluster tool to a separate stepper or scanner tool to pattern the substrate surface by exposing the photoresist layer to a photoresist modifying electromagnetic radiation, and then developing the patterned photoresist layer.
  • the longest process recipe step will generally limit the throughput of the processing sequence. This is usually not the case in track lithography process sequences, due to the short processing times and large number of processing steps.
  • Typical system throughput for the conventional fabrication processes, such as a track lithography tool running a typical process, will generally be between 100-120 substrates per hour.
  • FIG. 1 illustrates a top view of a conventional cluster tool configuration (e.g., cluster tool 22) that contains three processing cells 16 that each contain a robot 17, one or more pass-through slots 21 ⁇ e.g., robots 21A-21C), and one or more processing chambers 18 that surround each of the robots 17 (e.g., robots 17A- 17C).
  • the cluster tool 22 will also generally contain a front end unit 19 that contains a front end robot 20 and one or more substrate cassettes 23.
  • the size and number of processing chambers 18 are limited by the reach of the robots 17A-C and thus can not be increased in size unless another processing cell (e.g., item # 16') is added to the cluster tool.
  • Prior art configurations such as the one shown in Figure 1 , require the use of multiple pass-through slots 21 distributed throughout the cluster tool 22, and multiple robots to complete the transferring process sequence through the cluster tool.
  • a first robot 17A will handoff each substrate to a pass-through slot 21 B so that the adjacent second robot 17B can pickup and transfer the substrate to a desired position within a processing chamber in its processing cell 16.
  • the substrate is then placed back in the pass-through slot 21 B by the second robot 17B where it is picked up by the first robot 17A.
  • Conventional cluster tool transferring sequences that 009540PC06/FEG/SYNX/HMM
  • cluster tool Another issue that arises when building a cluster tool that have a large number of processing chambers and supporting components, which are common to lithography type cluster tools, is that the cluster tool is hard to manufacture, the cluster tool is not easily serviced during operation, and the cluster tool is not easily configured to meet the end user's needs.
  • These issues commonly arise due to the competing goals that require the footprint of the cluster tool to be as small as possible versus the cluster tool having enough chambers and robotic components to assure that the throughput of the system achieves a desired goal. Therefore, there is a need for a cluster tool that is easy to manufacture, is easy to service, is easily configured, and has a small footprint relative to the prior art type configurations.
  • Lithography type device fabrication processes can be especially sensitive to variations in process recipe variables and the timing between the recipe steps, which directly affects process variability and ultimately device performance. Therefore, a cluster tool and supporting apparatus capable of performing a process sequence that minimizes process variability and the variability in the timing between process steps is needed. Also, a cluster tool and supporting apparatus that is capable of performing a device fabrication process that delivers a uniform and repeatable process result, while achieving a desired substrate throughput is also needed.
  • the present invention generally provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the first and second groups has a first side that is aligned along a first direction that is generally perpendicular to the vertical direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the third and fourth groups has a first side that is aligned along the first direction, a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack, a second robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the second processing rack, a third robot assembly that
  • Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is aligned along the first direction, a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first
  • a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction, a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack, a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack, and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.
  • Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and each process chamber has a first width aligned along a first direction, and a second group of two or more process chambers that are stacked vertically and each process chamber has a second width aligned along a first direction, wherein the first direction is generally perpendicular to the vertical direction and the second width is generally a multiple of the first width, and a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack.
  • Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically, and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically, and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is 009540PC06/FEG/SYNX/HMM
  • a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack
  • the first robot assembly is contained within a central module and comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane, and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction, a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly is contained within a central module and comprises a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is
  • Figure 1 is a plan view illustrating a conventional cluster tool configuration
  • Figure 2A is an isometric view illustrating one embodiment of a cluster tool of the invention.
  • Figure 2B is a plan view of the processing system illustrated in Figure 2A, according to the present invention.
  • Figure 2C illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein;
  • Figure 2D is a plan view of the processing system illustrated in Figure 2B, according to the present invention.
  • Figure 2E is a side view that illustrates one embodiment of the first processing rack assembly 60 according to the present invention.
  • Figure 2F is a side view that illustrates one embodiment of the second processing rack assembly 80 according to the present invention.
  • FIG. 3 is a side view of one embodiment of an exchange chamber, according to the present invention.
  • Figure 4A is a plan view of a processing system, according to the present invention.
  • Figure 4B is a plan view of a processing system illustrated in Figure 4A, according to the present invention.
  • Figure 5 is an isometric view illustrating one embodiment of a robot that may be adapted to transfer substrates in various embodiments of the cluster tool; 009540PC06/FEG/SYNX/HMM
  • Figure 6 is an isometric view illustrating one embodiment of a cluster tool of the invention.
  • Figure 7 is a plan view of the processing system according to one aspect of the present invention.
  • the present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint.
  • a multi-chamber processing system e.g., a cluster tool
  • the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
  • Figures 2-7 illustrate some of the various robot and process chamber configurations that may be used in conjunction with various embodiments of this invention.
  • the various embodiments of the cluster tool 10 generally utilize two or more robots that are configured in a parallel processing configuration to transfer substrates between the various processing chambers retained in the processing racks (e.g., elements 60, 80, etc.) so that a desired processing sequence can be performed on the substrates.
  • the parallel processing configuration contains two or more robot assemblies 11 (elements 11 A, 11 B in Figures 2A and 2B) that are adapted to move a substrate in a vertical (hereafter the z-direction) and horizontal directions, i.e., transfer direction (x-direction) and a direction orthogonal to the transfer direction (y-direction), so that the substrates can be processed in various processing chambers retained in the processing racks (e.g., elements 60 and 80) which are aligned along the transfer direction.
  • One advantage of the parallel processing configuration is that if one of the robots becomes inoperable, or is taken down for servicing, the system can still continue to process 009540PC06/FEG/SYNX/HMM
  • the various embodiments described herein are advantageous since each row or group of substrate processing chambers are serviced by two or more robots to allow for increased throughput and increased system reliability. Also, the various embodiments described herein are generally configured to minimize and control the particles generated by the substrate transferring mechanisms, to prevent device yield and substrate scrap problems that can affect the CoO of the cluster tool. Another advantage of this configuration is the flexible and modular architecture allows the user to configure the number of processing chambers, processing racks, and processing robots required to meet the throughput needs of the user. While Figures 2-7 illustrate one embodiment of a robot assembly 11 that can be used to carryout various aspects of the invention, other types of robot assemblies 11 may be adapted to perform the same substrate transferring and positioning function(s) without varying from the basic scope of the invention.
  • FIG. 2A is an isometric view of one embodiment of a cluster tool 10 that illustrates a number of the aspects of the present invention that may be used to advantage.
  • Figure 2A illustrates an embodiment of the cluster tool 10 which contains two robots that are adapted to access the various process chambers that are stacked vertically in a first processing rack assembly 60 and a second processing rack assembly 80 and an external module 5.
  • the external module 5 may be a stepper/scanner tool, that is attached to the rear region 45 (not shown in Figure 2A) to perform some additional exposure type processing step(s).
  • One embodiment of the cluster tool 10, as illustrated in Figure 2A contains a front end module 24 and a central module 25.
  • FIG. 2B is a plan view of the embodiment of the cluster tool 10 shown in Figure 2A.
  • the front end module 24 generally contains one or more pod assemblies 105 (e.g., items 105A-D) and a front end robot assembly 15.
  • the one or more pod assemblies 105, or front-end opening unified pods (FOUPs), are generally adapted 009540PC06/FEG/SYNX/HMM
  • the front end module 24 also contains one or more pass-through positions 9 (e.g., elements 9A-B Figure 2B).
  • the central module 25 has a first robot assembly 11 A, a second robot assembly 11 B, a first rear robot assembly 4OA, a second rear robot assembly 40B, a first stepper robot assembly 46, a first processing rack assembly 60 and a second processing rack assembly 80.
  • the first processing rack assembly 60 and a second processing rack assembly 80 contain various processing chambers ⁇ e.g., coater/developer chamber, bake chamber, chill chamber, wet clean chambers, etc. which are discussed below ( Figures 2C-D)) that are adapted to perform the various processing steps found in a substrate processing sequence.
  • Figures 2E and 2F illustrate side views of one embodiment of the first processing rack assembly 60 and second processing rack assembly 80 as viewed when facing the first processing rack assembly 60 and second processing racks 80 while standing on the side closest to side 6OA, and thus will coincide with the views shown in Figures 2A-2F, 4A-4B and 7.
  • the first processing rack assembly 60 and second processing rack assembly 80 generally contain one or more groups of vertically stacked processing chambers, or individual racks that are adapted to perform some desired semiconductor or flat panel display device fabrication processing steps on a substrate.
  • the first process rack assembly 60 has five groups, or racks, containing vertically stacked processing chambers.
  • these device fabrication processing steps may include depositing a material on a surface of the substrate, cleaning a surface of the substrate, etching a surface of the substrate, or exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate.
  • the first processing rack assembly 60 and second processing rack assembly 80 have one or more processing chambers contained in them that can be adapted to perform one or more photolithography processing sequence steps.
  • processing racks 60 and 80 may contain one or more coater/developer chambers 160, one or more chill chambers 180, one or more 009540PC06/FEG/SYNX/HMM
  • exemplary coater/developer chambers, chill chambers, bake chambers, OEBR chambers, PEB chambers, support chambers, integrated bake/chill chambers and/or HMDS processing chambers that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • the first processing rack assembly 60 may have eight coater/developer chambers 160 (labeled CD1-8), six chill chambers 180 (labeled C1-6), six integrated bake/chill chamber 800 (labeled BC1-6), eight integrated PEB chambers 801 (labeled PEBC 1-8), and two OEBR chambers 162 (labeled OEBR 1-2).
  • the second process rack 80 may have eight coater/developer chambers 160 (labeled CD1-8), eighteen integrated bake/chill chambers 800 (labeled BC1-18), six integrated PEB chambers 801 (labeled PEBC 1-8) and six support chambers 165 (labeled S1-6).
  • the orientation, positioning, type and number of process chambers shown in the Figures 2E-F are not intended to be limiting as to the scope of the invention, but are intended to illustrate an embodiment of the invention.
  • An example of an exemplary integrated bake/chill chamber or integrated PEB chamber that may be adapted to benefit one or more aspects of the invention is further described in the 009540PC06/FEG/SYNX/HMM
  • the front end robot assembly 15 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 (see elements 105A-D) and the one or more of the pass-through positions 9 (see pass-through positions 9A-B in Figure 2B).
  • the front end robot assembly 15 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and the one or more processing chambers in the first processing rack assembly 60 or a second processing rack assembly 80 that abuts the front end module 24.
  • the front end robot assembly 15 generally contains a horizontal motion assembly 15A and a robot 15B, which in combination are able to position a substrate in a desired horizontal and/or vertical position in the front end module 24 or the adjoining positions in the central module 25.
  • the front end robot assembly 15 is adapted to transfer one or more substrates using one or more robot blades 15C, by use commands sent from a system controller 101 (discussed below).
  • the front end robot assembly 15 is adapted to transfer a substrate from the cassette 106 to one of the pass-through positions 9A- 009540PC06/FEG/SYNX/HMM
  • a pass-through position is a substrate staging area that may contain a pass-through processing chamber that has features similar to an exchange chamber 533 ( Figure 3), or a conventional substrate cassette 106, and is able to accept a substrate from a first robot so that it can be removed and repositioned by a second robot.
  • the pass-through processing chamber mounted in a pass-through position may be adapted to perform one or more processing steps in a desired processing sequence, for example, a HMDS process step or a chill/cooldown processing step or substrate notch align.
  • each of the pass-through positions 9A-9B may be accessed by each of the central robot assemblies (i.e., first robot assembly 11A and second robot assembly 11 B).
  • the first robot assembly 11A and the second robot assembly 11 B are adapted to transfer substrates to the various processing chambers contained in the first processing rack assembly 60 and the second processing rack assembly 80.
  • the first robot assembly 11A and the second robot assembly 11 B have similarly configured robot assemblies 11 which each have at least one horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 which are in communication with a system controller 101.
  • the side 6OB of the first processing rack assembly 60, and the side 8OA of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 (described below) of each of the various robot assemblies (i.e., first robot assembly 11A and second robot assembly 11 B).
  • the system controller 101 is adapted to control the position and motion of the various components used to complete the transferring process.
  • the system controller 101 is generally designed to facilitate the control and automation of the overall system and typically includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown).
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various system functions, chamber processes and support hardware (e.g., detectors, robots, motors, gas sources hardware, etc.) and monitor the system 009540PC06/FEG/SYNX/HMM
  • the memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the system controller 101 determines which tasks are performable on a substrate.
  • the program is software readable by the system controller 101 that includes code to perform tasks relating to monitoring and execution of the processing sequence tasks and various chamber process recipe steps.
  • the first robot assembly 11 A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from at least one side, e.g., the side 6OB.
  • the second robot assembly 11 B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from at least one side, e.g., the side 8OA.
  • the first robot assembly 11A and the second robot assembly 11 B are both adapted to "overlap" or access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 6OB and the second processing rack assembly 80 from side 8OA.
  • the ability to extend the robot blade 87 into a processing chamber and retract the robot blade 87 from the processing chamber is generally completed by cooperative movement of 009540PC06/FEG/SYNX/HMM
  • Robot "overlap" is generally the ability of two or more robots to access and/or independently transfer substrates to and from the same processing chamber.
  • the ability of two or more robots to redundantly access processing chambers can be an important aspect in preventing system robot transfer bottlenecks, since it allows an under utilized robot to help out a robot that is limiting the system throughput. Therefore, the substrate throughput can be increased, a substrate's wafer history can be made more repeatable, and the system reliability can be improved through the act of balancing the load that each robot takes during the processing sequence.
  • the various overlapping robot assemblies are able to simultaneously access processing chambers that are horizontally adjacent (x-direction) or vertically adjacent (z-direction) to each other.
  • the first robot assembly 11A is able to access processing chamber CD6 in the first processing rack assembly 60 and the second robot assembly 11 B is able to access processing chamber CD5 simultaneously without colliding or interfering with each other.
  • the first robot assembly 11A is able to access processing chamber C6 in the second processing rack assembly 80 and the second robot assembly 11 B is able to access processing chamber BC11 simultaneously without colliding or interfering with each other.
  • the system controller 101 is adapted to adjust the substrate transfer sequence through the cluster tool based on a calculated optimized throughput or to work around processing chambers that have become inoperable.
  • the feature of the system controller 101 which allows it to optimize throughput is 009540PC06/FEG/SYNX/HMM
  • the logical scheduler prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool.
  • the logical scheduler may be adapted to review the list of future tasks requested of each of the various robots (e.g., front end robot assembly 15, first robot assembly 11 A, second robot assembly 11 B etc.), which are retained in the memory of the system controller 101 , to help balance the load placed on each of the various robots.
  • the use of a system controller 101 to maximize the utilization of the cluster tool will improve the cluster tool's CoO, makes the wafer history more repeatable, and can improve the cluster tool's reliability.
  • the system controller 101 is also adapted to prevent collisions between the various overlapping robots and optimize the substrate throughput.
  • the system controller 101 is further programmed to monitor and control the motion of the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 of all the robots in the cluster tool to avoid a collision between the "overlapping" robots and improve system throughput by allowing all of the robots to be in motion at the same time.
  • This so called “collision avoidance system” may be implemented in multiple ways, but in general the system controller 101 monitors the position of each of the robots by use of various sensors positioned on the robot(s) or in the cluster tool during the transferring process to avoid a collision.
  • the system controller is adapted to actively alter the motion and/or trajectory of each of the robots during the transferring process to avoid a collision and minimize the transfer path length.
  • the first interface chamber 48 and/or the second interface chamber 49 are configured to perform an optical edge bead removal process (OEBR), a substrate chill process, or an integrated bake and chill process.
  • OEBR optical edge bead removal process
  • An example of an exemplary optical edge bead removal chamber, a substrate chill chamber or an integrated bake and chill chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • the interface chamber 48 and/or the second interface chamber 49 are adapted to perform metrology type functions and communicate the results to the user through the controller 101.
  • the interface chambers 48, 49 contain an array of vertically stacked metrology chambers (not shown) so that multiple substrates can be processed in parallel.
  • the interface chambers 48, 49 contain a multiple metrology chambers (not shown) and multiple exchange chamber position (not shown) similar to the one illustrated in Figure 3.
  • Typical metrology chambers may include, but is not limited to conventional particle measurement tools, conventional resist thickness measurement tools, and/or conventional CD measurement tools.
  • the central module 25 has a first rear robot assembly 4OA, second rear robot assembly 4OB and an interface assembly 47 that are adapted to interface with an external module 5, such as a stepper/scanner device 50 to allow a seamless processing sequence.
  • an external module 5 such as a stepper/scanner device 50 to allow a seamless processing sequence.
  • the first rear robot assembly 4OA, second rear robot assembly 4OB and an interface robot 46 contained in the interface assembly 47 are adapted to transfer and control the substrate movement between the cluster tool 10 and the external module 5.
  • the first rear robot assembly 4OA and second rear robot assembly 4OB are adapted to transfer substrates between the processing chambers retained in the first processing rack assembly 60 and/or the second processing rack assembly 80 and positions within the interface assembly 47 or positions within the external module 5.
  • the external module 5 is conventional stepper or scanner module available from, for example, Nikon Precision Inc. of Belmont, CA, Canon U.S.A. Inc. of Lake Success, NY, or ASML US Inc. of Tempe, AZ.
  • the first rear robot assembly 4OA and second rear robot assembly 4OB are adapted to directly access and position substrates within the external module 5.
  • the first rear robot assembly 4OA and the second rear robot assembly 4OB generally contains a conventional selectively compliant articulated robot arm (SCARA) robots having a single arm/blade 4OE.
  • SCARA selectively compliant articulated robot arm
  • the first rear robot assembly 4OA and the second rear robot assembly 4OB may be a SCARA type of robot that has two independently controllable arms/blades (not shown) to exchange substrates and/or transfer substrates in groups of two.
  • the two independently controllable arms/blade type robot may be advantageous, for example, where the robot has to remove a substrate from a desired position prior to placing the next substrate in the same position.
  • An exemplary two independently controllable arms/blade type robot may be purchased from Asyst Technologies in Fremont, CA.
  • the interface assembly 47 generally contains the interface robot 46, a first interface chamber 48 and a second interface chamber 49 that are adapted to position and control the movement of substrates between various load/unload positions within the external module 5.
  • the first interface chamber 48 and the second interface chamber 49 are pass-through type chambers that allow substrates moving from the central module 25 to the external module 5 or from the external module 5 to the central module 25 to be handed off between the stepper interface robot 46 and the respective rear robot assemblies, such as the first rear robot assembly 4OA or the second rear robot assembly 4OB.
  • This configuration is advantageous since it allows the stepper interface robot 46 to control the transfer of the substrates between the external module 5 and the central module 25.
  • the use of the stepper interface robot 46 can also be used to free-up the rear robot assemblies (e.g., items #40A and 40B) to perform the critical tasks at the time when they arise rather than waiting until tasks of lower importance be completed.
  • Figure 3 illustrates one embodiment of an exchange chamber 533 that may be positioned in a support chamber 165 ( Figure 2F) in a processing rack ⁇ e.g., elements 60, 80).
  • the exchange chamber 533 is adapted to receive and retain a substrate so that at least two robots in the cluster tool 10 can 009540PC06/FEG/SYNX/HMM
  • the exchange chamber 533 generally contains a substrate support assembly 651 , an enclosure 652, and at least one access port 653 formed in a wall of the enclosure 652.
  • the substrate support assembly 651 generally has a plurality of support fingers 660 (six shown in Figure 3) which have a substrate receiving surface 661 to support and retain a substrate positioned thereon.
  • the enclosure 652 is generally a structure having one or more walls that enclose the substrate support assembly 651 to control the environment around the substrates while they are retained in the exchange chamber 533.
  • the access port 653 is generally an opening in a wall of the enclosure 652 that allows an external robot access to pickup and drop off substrates to the support fingers 660.
  • the substrate support assembly 651 is adapted to allow substrates to be positioned on and removed from the substrate receiving surface 661 by two or more robots that are adapted to access the enclosure 652 at angles of at least 90 degrees apart.
  • FIG. 2C illustrates one example of a substrate processing sequence 500 through the cluster tool 10, where a number of process steps ⁇ e.g., elements 501- 518) may be performed after each of the transferring steps A 1 - An have been completed.
  • One or more of the process steps 501-518 may entail performing lithography type fluid processing steps on a substrate, to deposit a material on a surface of the substrate, to clean a surface of the substrate, to develop the deposited material on the substrate surface, or to exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate.
  • Figure 2D illustrates an example of the transfer steps that a substrate may follow as it is transferred through a cluster tool that is configured as the cluster tool similar to the one shown in Figure 2B following the processing sequence 500 described in Figure 2C.
  • the substrate is removed from a pod assembly 105 (item # 105D) by the front end robot assembly 15 and is delivered to a chamber positioned at the pass-through position 9B following the transfer path A-i, 009540PC06/FEG/SYNX/HMM
  • the pass-through step 502 entails positioning or retaining the substrate so that another robot could pickup the substrate from the pass-through position 9B.
  • the substrate is then transferred to a first process chamber 531 by the second robot assembly 11 B following the transfer path A 2 , where process step 504 is completed on the substrate.
  • the substrate is then transferred to the second process chamber 532 by the second robot assembly 11 B following the transfer path A 3 .
  • the substrate is then transferred by the second robot assembly 11 B, following the transfer path A 4 , to the exchange chamber 533 ( Figure 3).
  • the substrate is then transferred by the first rear robot assembly 4OA, following the transfer path A 5 , to the first interface chamber 48 where the process step 509 is performed.
  • the substrate is then transferred by the stepper interface robot 46, following the transfer path A 6 , to an exchange position 51 in the stepper/scanner module 50 where the process step 510 is performed.
  • the substrate is then transferred by the stepper interface robot 46, following the transfer path A 7 , to the second interface chamber 49 in the stepper interface assembly 47 where the process step 511 is performed.
  • the process steps 509 and 511 entail positioning or retaining the substrate so that the first rear robot assembly 4OA, second rear robot assembly 4OB and/or the stepper interface robot 46 can pickup and transfer the substrate to a desired position.
  • the substrate is then transferred by the second rear robot assembly 4OB, following the transfer path A 8 , to the process chamber 534 where the process step 512 is performed.
  • the substrate is then transferred by the first robot assembly 11 A, following the transfer path A 9 , to the process chamber 535 where the process step 514 is performed.
  • the first robot assembly 11A then transfers the substrate to a pass-through chamber positioned at the pass-through position 9A following the transfer path A 10 where a pass-through step 516 is performed.
  • the pass-through step 516 009540PC06/FEG/SYNX/HMM
  • the substrate is then transferred by the front end robot assembly 15, following the transfer path An, to the pod assembly 105D.
  • process steps 504, 506, 510, 512, and 514 are a photoresist coat step, a bake/chill step, an exposure step performed in a stepper/scanner module 50, a post exposure bake/chill step, and a develop step, respectively, which are further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is incorporated by reference herein.
  • the bake/chill step and the post exposure bake/chill steps may be performed in a single process chamber or they may also be transferred between a bake section and a chill section of an integrated bake/chill chamber by use of an internal robot (not shown). While Figures 2C-D illustrate one example of a process sequence that may be used to process a substrate in a cluster tool 10, process sequences and/or transfer sequences that are more or less complex may be performed without varying from the basic scope of the invention.
  • the cluster tool configuration described above may be advantageously used to perform processing sequences where a tight control of the timing between certain steps has to be maintained to assure that the wafer history and process results for each processed substrate is repeatable.
  • the timing between some lithography type process steps such as between the exposure step 510 (see Figure 2C) and a post exposure bake step (step 512 in Figure 2C) are critical to assure that the process results ⁇ e.g., critical dimension (CD) uniformity) is acceptable and consistent from substrate to substrate.
  • CD critical dimension
  • the timing issue in a lithography type process sequence generally arises after performing the exposure process (step 510 in Figure 2C) on a positive photoresist material, which causes a chemical change in the photoresist material.
  • photoresist is thus affected by the migration of the generated photoacid, which is a diffusion dominated process. Since the photoacid attack of the formed pattern is a diffusion dominated process, the rate of attack is dependent on two related variables, time and temperature. The control of these variables are thus important in assuring that CD uniformity is acceptable and consistent from substrate to substrate.
  • the configuration(s) illustrated herein are useful to assure that timing of the transfer process during certain critical steps, such as between steps 510 through 512, is minimized and is repeatable by use of the three robot configuration. This process is important when the substrate throughput through the Cluster tool 10 and the stepper/scanner 50 is high, such as when it exceeds 120 substrates per hour, since the stepper/scanner 50 takes-in, processes and hands-off the substrates in less than 30 second intervals.
  • At least one robot e.g., first rear robot assembly 4OA, second rear robot assembly 40B
  • at least one robot is dedicated to assure that the substrates leaving the stepper/scanner 50 always see the same timing between process steps (e.g., steps 510 through 512) to assure that the device results are desirable and repeatable.
  • Figure 4A is a plan view of one embodiment of cluster tool 10 that has a front end robot assembly 15, a rear robot assembly 40, a system controller 101 and three robot assemblies 11 (e.g., elements 11 A, 11 B, and 11C in Figure 4A) positioned between two processing racks (elements 60 and 80), which are all adapted to perform at least one aspect of a desired substrate processing sequence using the various processing chambers found in the processing racks.
  • the embodiment illustrated in Figure 4A is similar to the configurations illustrated in Figures 2A-F except for the addition of the third robot assembly 11 C and pass- through position 9C, thus like element numbers have been used where appropriate.
  • the cluster tool configuration illustrated in Figure 4A may be advantageous where the substrate throughput is robot limited, because the addition of the third robot assembly 11C will help to remove the burden on the other robots and also builds in some redundancy that allows the system to process substrates when one or more of 009540PC06/FEG/SYNX/HMM
  • the central robots become inoperable.
  • the side 6OB of the first processing rack assembly 60, and the side 8OA of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 of each of the various robot assemblies (e.g., first robot assembly 11 A, second robot assembly 11 B, etc.).
  • the first robot assembly 11A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 6OB.
  • the second robot assembly 11 B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from side 8OA.
  • the third robot assembly 11 C is adapted to access and transfer substrates between the processing chambers in first processing rack assembly 60 from side 6OB and the second processing rack assembly 80 from side 8OA.
  • Figure 4B illustrates a plan view of the embodiment of the cluster tool 10 shown in Figure 4A, in which a robot blade 87 from the third robot assembly 11C has been extended into the a processing chamber in the first processing rack assembly 60 through side 6OB.
  • the ability to extend the robot blade 87 into a processing chamber and/or retract the robot blade 87 into a processing chamber is generally completed by cooperative movement of the robot assembly 11 components, which are contained in the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85, and by use of commands sent from the system controller 101.
  • the third robot assembly 11C along with the system controller 101 may be adapted to allow "overlap" between each of the robots in the cluster tool, may allow the system controller's logical scheduler to prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool, and may also use a collision avoidance system to allow robots to optimally transfer substrates through the system.
  • Use of the system controller 101 to maximize the utilization of the cluster tool can improve the cluster tool's CoO, makes the wafer history more repeatable, and improves the system reliability.
  • the various embodiments of the cluster tool 10 described herein have particular advantage over prior art configurations due to the reduced cluster tool foot print created by the reduced size of the robot assemblies ⁇ e.g., element 11 in Figure 9A) and a robot design that minimizes the physical encroachment of a robot into a space occupied by other cluster tool components ⁇ e.g., robot(s), process chambers) during the process of transferring a substrate.
  • the reduced physical encroachment prevents collisions of the robot with other foreign components.
  • the embodiments of the robot described herein also has particular advantage due to the reduced number of axes that need to be controlled to perform the transferring motion. This aspect is important since it will improve the reliability of the robot assemblies and thus the cluster tool. The importance of this aspect may be better understood by noting that the reliability of a system is proportional to the product of the reliability of each component in the system.
  • the embodiments of the cluster tool 10 described herein also have particular advantage over prior art configurations due to the reduced number of pass-through chambers ⁇ e.g., elements 9A-C in Figure 2B), required to transfer a substrate though the cluster tool.
  • the prior art cluster tool configurations commonly install two or more pass-through chambers, or of interim substrate retaining stations, in the processing sequence so that the cluster tool robots can transfer a substrate between one robot that is centrally positioned between one or more processing chambers to another robot that is centrally positioned between one or more other processing chambers during the processing sequence.
  • the inventive cluster tool configuration generally avoid these pitfalls of the prior art configurations, since the inventive cluster tool configuration generally only utilizes the pass-through type steps (e.g., steps 502, 508, 511 and 518 in Figure 2C) before any processing has occurred on a substrate and after all of the processing steps have been completed on a substrate and thus the process timing and wafer history are not as much of an issue.
  • the cluster tool configuration illustrated herein is configured so that the robots performing these time critical steps can complete these tasks without affecting the movement and timing of the substrates upstream or downstream of this critical transfer process step.
  • the robot 17C needs to suspend any transferring tasks within its processing cell 16 to perform a time critical transferring task, which thus affects the timing and flow of substrates into and out-of the processing cells.
  • the prior art configurations have to control, or regulate, the timing of substrates into and 009540PC06/FEG/SYNX/HMM
  • each cell e.g., item # 16 in Figure 1 in the cluster tool to assure that each robot (e.g., item # 17A - 17C in Figure 1) has enough overhead time to assure that its time critical tasks can be completed and the wafer history is not dramatically affected.
  • the process of controlling the timing of substrates into and out-of the processing cells in the prior art configurations to maintain a consistent wafer history dramatically reduces the substrate throughput, since the substrate throughput needs to be slowed so that conflicting substrate transferring priorities do not affect the timing between steps in all possible conflicting and non-conflicting situations.
  • the aspects of the invention described herein decouple the flow of substrates through the critical transfer steps by providing one or more robots [e.g., robot 40B) that are specifically tasked with performing the critical timing steps while allowing other robots (e.g., robots 4OA and 46) to perform the lower priority tasks.
  • This configuration is especially advantageous where the throughput of the process sequence in the cluster tool 10 does not match the throughput of the external module 5 connected to the system.
  • the system through-put need not be reduced if the substrate is in a stable processing state after step 509 and thus will allow a repeatable wafer history.
  • the higher throughput into the chamber performing the exchange process 509 versus the throughput of the external module 5 is compensated by storing the substrates reaching the exchange chamber in multiple "buffer" positions (e.g., support fingers 610 in Figure 3) so that they can then be picked-up and transferred to the external module 5 as needed.
  • the system through-put need not be coordinated with the upstream process steps and thus the system throughput need not be reduced.
  • the throughput can be greatly increased when the robot assemblies 11 and processing modules configured to process or transfer multiple substrates in parallel, since a bottleneck in the transferring process need not limit the substrate throughput.
  • the process results e.g., CD uniformity
  • the configurations described herein thus create a transferring process that has a repeatable wafer history, and delivers improved substrate process results and an improved substrate through-put.
  • FIG 5 illustrates isometric view of one embodiment of a robot assembly 11 that may be used as one or more of the robot assemblies 11 (e.g., elements 11A- C shown in Figures 2A-2F and Figures 4A-4B above).
  • the robot assembly 11 generally contains a robot hardware assembly 85, one or more vertical robot assemblies 95 and one or more horizontal robot assemblies 90.
  • a substrate can thus be positioned in any desired x, y and z position in the cluster tool 10 by the cooperative motion of the robot hardware assemblies 85, vertical robot assemblies 95 and horizontal robot assemblies 90, from commands sent by the system controller 101.
  • the robot hardware assembly 85 generally contains one or more transfer robot assemblies 86 that are adapted to retain, transfer and position one or more substrates by use of commands sent from the system controller 101.
  • the transfer robot assemblies 86 shown in Figure 5 are adapted to transfer the substrates in a horizontal plane, such as a plane that includes the X and Y directions, due to the motion of the various transfer robot assemblies 86 components.
  • An example of an exemplary robot hardware assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed 009540PC06/FEG/SYNX/HMM
  • FIG. 5 illustrates an isometric view of one embodiment of the horizontal motion assembly 90.
  • the horizontal motion assembly 90 generally contains an enclosure 460, an actuator assembly 443 and a sled mount 451.
  • the actuator assembly 443 generally contains at least one horizontal linear slide assembly 468 and a motion assembly 442.
  • the motion assembly 442 generally contains a horizontal robot actuator 367, a drive belt 440, and two or more drive belt pulleys 441.
  • the vertical motion assembly 95 is attached to the horizontal motion assembly 90 through the sled mount 451.
  • the sled mount 451 is a structural piece that supports the various loads created as the vertical motion assembly 95 is positioned by the horizontal motion assembly 90.
  • the horizontal motion assembly 90 generally contains two horizontal linear slide assemblies 468 that each have a linear rail 458, a bearing block (not shown) and a support mount (not shown) that support the weight of the sled mount 451 and vertical motion assembly 95. This configuration thus allows for a smooth and precise translation of the vertical motion assembly 95 along the length of the horizontal motion assembly 90.
  • the linear rail 455 and the bearing block (not shown) may be linear ball bearing slides or a conventional linear guide, which are well known in the art.
  • An example of an exemplary horizontal motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed December 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • FIG. 5 also illustrate one embodiment of the vertical motion assembly 95.
  • the vertical motion assembly 95 generally contains a vertical support (not 009540PC06/FEG/SYNX/HMM
  • vertical actuator assembly 560 a support plate (not shown), and a vertical enclosure 590, that are adapted top control and position the robot assembly 85 in a vertical direction (e.g., z-direction in Figure 5).
  • a vertical direction e.g., z-direction in Figure 5.
  • An example of an exemplary vertical motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed December 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • the cluster tool is configured so that it is expandable by the addition of extra processing capability without greatly increasing the number of robots, increasing the system control complexity and the size of the cluster tool.
  • the prior art configurations that utilize the processing cell 16 configuration, as shown in Figure 1 require the addition of a robot every time the processing capability needs to be increased above the original capacity of the system. This issue can lead to the undesirable case where an additional robot and additional pass-throughs need to be added to the cluster tool to service only a few additional processing chambers to achieve a desired system throughput.
  • cluster tool manufacturers often de-rate, or reduce, the maximum cluster tool throughput rather than adding an additional processing cell that will increase in cost and complexity of the cluster tool, and reduce the cluster tool's reliability.
  • the cluster tool configuration described herein thus de-couples the issues of substrate throughput, process capability, system reliability and robot capacity, so that changing the system requirements does not require the adjustment of the other aspects or parameters in the system.
  • Embodiments of the invention, described herein provide a modular approach to the configuration of cluster tool that allows the processing capability of the cluster tool to be increased without adding an additional substrate transferring apparatuses and pass-throughs, while only minimally increasing the system footprint.
  • Figure 6 is an isometric view of half of the cluster tool illustrated in Figure
  • processing rack 60 side of cluster tool 10 that illustrates a method 009540PC06/FEG/SYNX/HMM
  • cluster tool 10 by adding of one or more stacked processing modules (e.g., items 621 , 631) and increasing the robot length ⁇ e.g., items 640, 641). It should be noted that the addition of one or more stacked processing modules and increasing the length of one or more of the robot assemblies may be performed on one or more sides (e.g., processing rack 60, processing rack 80) of the cluster tool. The processing capability of cluster tool 10 is thus increased by the addition of stacked processing modules 621 , 631 , which contain multiple processing chambers 622 and 632, respectively.
  • the addition of the stacked processing module 621 and/or stacked processing module 631 will require the robot assembly 11 to be extended a length 641 and/or length 642 to allow the robot to access the various processing chambers 622 and/or processing chambers 632 in the stacked processing modules. While the increase in the length of the cluster tool due to the addition of the stacked processing modules may increase the transfer time between chambers this component of the process of transferring a substrate is typically the smallest component of the transfer process overhead time.
  • the process of picking- up and dropping off of the substrate in the process chambers is the largest portion of the transferring process, which generally includes the steps of picking-up the substrate, transferring the substrate to the correct X, Y, and Z-positions, and dropping-off the substrate.
  • the transferring process using a single robot that moves from one X, Y, and Z-position to another X, Y, and Z- position will be faster than the process of serially transferring a substrate between processing cells, as discussed above in reference to the prior art configuration shown in Figure 1 , due to the lack of the accumulation of multiple pick-up and dropoff transferring steps found in serial sequences.
  • the processing rack assemblies e.g., items 601 , 611 , 621 , 631 are designed so that the widths of the stacked processing chambers (e.g., items 602, 612, 622, 632) aligned along a robot transfer direction, such as the X- direction in Figure 6, are multiples of each other.
  • the width of the stacked processing module 601 is 2X and the width of the stacked processing module 601 is X, where X is some finite length, such as a width between about 0.4 meters and about 2.0 meters.
  • the use of stacked processing chambers that have widths ⁇ e.g., X) that are multiples of one another helps resolve some of the issues associated with grouping modules within a cluster tool that have differing shapes and sizes.
  • the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.5 meters in width and the larger process chambers are 1.0 meters in width.
  • the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.7 meters in width and the larger process chambers are 1.4 meters in width. This approach reduces the variability in robot lengths based on the make-up of the processing modules contained in the stacked processing modules and reduces the complexity of configuring systems for different processing applications.
  • Figure 7 is a plan view of the one embodiment of the cluster tool 10 that contains a multi-sided pass-through position 9A that is adapted to be accessed by multiple robots.
  • the pass-through chamber is accessed by all of the central robot assemblies (e.g., first robot assembly 11 A, second robot assembly 11 B) and the front end robot assembly 15. Therefore, in one embodiment, as shown in Figure 7 the pass-through position 9A is configured to allow the front end robot assembly 15, the first robot assembly 11A and the second robot assembly 11B to 009540PC06/FEG/SYNX/HMM
  • the transfer process may require a transferring step in which the first robot assembly 11 A deposits a substrate on the pass-through position 9A where it is then picked up and transferred by the second robot assembly 11 B to another desired position in the cluster tool.
  • the transfer steps A 1 -A 2 and A 10 -An found in Figure 2D are transferred through two pass-through positions 9A, 9B the configuration containing a multi-sided pass-through chamber allows the substrate to be exchanged through the single pass-through position 9A, as shown in Figure 7.

Abstract

The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.

Description

009540PC06/FEG/SYNX/HMM
CARTESIAN CLUSTER TOOL CONFIGURATION FOR LITHOGRAPHY TYPE
PROCESSES
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention generally relate to an integrated processing system containing multiple processing stations and robots that are capable of processing multiple substrates in parallel.
Description of the Related Art
[0002] The process of forming electronic devices is commonly done in a multi- chamber processing system (e.g., a cluster tool) that has the capability to sequentially process substrates, {e.g., semiconductor wafers) in a controlled processing environment. Typical cluster tools used to deposit (Ae., coat) and develop a photoresist material, commonly known as a track lithography tool, or used to perform semiconductor cleaning processes, commonly described as a wet/clean tool, will include a mainframe that houses at least one substrate transfer robot which transports substrates between a pod/cassette mounting device and multiple processing chambers that are connected to the mainframe. Cluster tools are often used so that substrates can be processed in a repeatable way in a controlled processing environment. A controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
[0003] The effectiveness of a substrate fabrication process is often measured by two related and important factors, which are device yield and the cost of ownership (CoO). These factors are important since they directly affect the cost to produce an electronic device and thus a device manufacturer's competitiveness in the market place. The CoO, while affected by a number of factors, is greatly affected by the system and chamber throughput, or simply the number of substrates per hour processed using a desired processing sequence. A process sequence is generally 009540PC06/FEG/SYNX/HMM
defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool. A process sequence may generally contain various substrate (or wafer) electronic device fabrication processing steps. In an effort to reduce CoO, electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times.
[0004] In track lithography type cluster tools, since the chamber processing times tend to be rather short, {e.g., about a minute to complete the process) and the number of processing steps required to complete a typical process sequence is large, a significant portion of the time it takes to complete the processing sequence is taken up transferring the substrates between the various processing chambers. A typical track lithography process sequence will generally include the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of a substrate, then transferring the substrate out of the cluster tool to a separate stepper or scanner tool to pattern the substrate surface by exposing the photoresist layer to a photoresist modifying electromagnetic radiation, and then developing the patterned photoresist layer. If the substrate throughput in a cluster tool is not robot limited, the longest process recipe step will generally limit the throughput of the processing sequence. This is usually not the case in track lithography process sequences, due to the short processing times and large number of processing steps. Typical system throughput for the conventional fabrication processes, such as a track lithography tool running a typical process, will generally be between 100-120 substrates per hour.
[0005] Other important factors in the CoO calculation are the system reliability and system uptime. These factors are very important to a cluster tool's profitability and/or usefulness, since the longer the system is unable to process substrates the more money is lost by the user due to the lost opportunity to process substrates in the cluster tool. Therefore, cluster tool users and manufacturers spend a large amount of time trying to develop reliable processes, reliable hardware and reliable systems that have increased uptime. 009540PC06/FEG/SYNX/HMM
[0006] Figure 1 illustrates a top view of a conventional cluster tool configuration (e.g., cluster tool 22) that contains three processing cells 16 that each contain a robot 17, one or more pass-through slots 21 {e.g., robots 21A-21C), and one or more processing chambers 18 that surround each of the robots 17 (e.g., robots 17A- 17C). The cluster tool 22 will also generally contain a front end unit 19 that contains a front end robot 20 and one or more substrate cassettes 23. In these type of conventional cluster tool configurations the size and number of processing chambers 18 are limited by the reach of the robots 17A-C and thus can not be increased in size unless another processing cell (e.g., item # 16') is added to the cluster tool. When a new processing cell 16' is added, a new robot has to be added to the cluster tool 22 so that substrates can be transferred to the added processing chambers 18. The process of transferring substrates through the cluster tool 22 needs to be done "serially", rather than in parallel, since each substrate must be transferred from one processing cell 16 to another by use of the robot positioned in the center of each cell. An issue arises since the reliability of a serial sequence is proportional to the product of the reliability of each component in the sequence. Therefore, by adding additional robots to the cluster tool the reliability of the system will drop. For example, a transferring sequence that uses two robots that have an up-time of 99% each, will limit the system's uptime to 98.01%, whereas a system that was able to utilize a single robot to service the same number of chambers would have an uptime of 99%. Therefore, since system uptime is a major factor in CoO calculations there is a need for a cluster tool that minimizes the number of serial steps and serial components.
[0007] Prior art configurations, such as the one shown in Figure 1 , require the use of multiple pass-through slots 21 distributed throughout the cluster tool 22, and multiple robots to complete the transferring process sequence through the cluster tool. For example, a first robot 17A will handoff each substrate to a pass-through slot 21 B so that the adjacent second robot 17B can pickup and transfer the substrate to a desired position within a processing chamber in its processing cell 16. After the substrate is processed in the processing chamber the substrate is then placed back in the pass-through slot 21 B by the second robot 17B where it is picked up by the first robot 17A. Conventional cluster tool transferring sequences that 009540PC06/FEG/SYNX/HMM
require multiple handoffs to pass-through chambers are detrimental to CoO calculations, since it requires a number of non-value added moves to transfer the substrate between various processing cells 16 within the cluster tool 21. The non- value added moves can be costly due to decreased substrate throughput and the decrease in the cluster tool reliability. Since track lithography chamber processing times tend to be rather short, and the number of processing steps required to complete a typical process sequence is large, the system throughput can be significantly affected by the number of wafer handoffs, the non-value added moves of a robot, and the reliability of the components within the system. Therefore, there is a need for a cluster tool that reduces the number of non-value added moves, such as pass-through steps.
[0008] Another issue that arises when building a cluster tool that have a large number of processing chambers and supporting components, which are common to lithography type cluster tools, is that the cluster tool is hard to manufacture, the cluster tool is not easily serviced during operation, and the cluster tool is not easily configured to meet the end user's needs. These issues commonly arise due to the competing goals that require the footprint of the cluster tool to be as small as possible versus the cluster tool having enough chambers and robotic components to assure that the throughput of the system achieves a desired goal. Therefore, there is a need for a cluster tool that is easy to manufacture, is easy to service, is easily configured, and has a small footprint relative to the prior art type configurations. [0009] The push in the industry to shrink the size of semiconductor devices to improve device processing speed and reduce the generation of heat by the device, has reduced the industry's tolerance for process variability. To minimize process variability an important factor in the track lithography processing sequences is the issue of assuring that every substrate run through a cluster tool has the same "wafer history." A substrate's wafer history is generally monitored and controlled by process engineers to assure that all of the device fabrication processing variables that may later affect a device's performance are controlled, so that all substrates in the same batch are always processed the same way. To assure that each substrate has the same "wafer history" requires that each substrate experiences the same repeatable substrate processing steps (e.g., consistent coating process, consistent 009540PC06/FEG/SYNX/HMM
hard bake process, consistent chill process, etc.) and the timing between the various processing steps is the same for each substrate. Lithography type device fabrication processes can be especially sensitive to variations in process recipe variables and the timing between the recipe steps, which directly affects process variability and ultimately device performance. Therefore, a cluster tool and supporting apparatus capable of performing a process sequence that minimizes process variability and the variability in the timing between process steps is needed. Also, a cluster tool and supporting apparatus that is capable of performing a device fabrication process that delivers a uniform and repeatable process result, while achieving a desired substrate throughput is also needed.
[0010] Therefore, there is a need for a system, a method and an apparatus that can process a substrate so that it can meet the required device performance goals and increase the system throughput and thus reduce the process sequence CoO.
SUMMARY OF THE INVENTION
[0011] The present invention generally provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the first and second groups has a first side that is aligned along a first direction that is generally perpendicular to the vertical direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the each substrate processing chamber in the third and fourth groups has a first side that is aligned along the first direction, a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack, a second robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the second processing rack, a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly, a fourth robot assembly that is in communication with a process 009540PC06/FEG/SYNX/HMM
chamber in the second processing rack and a second processing module in the interface assembly, and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.
[0012] Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is aligned along the first direction, a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane, and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction, a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly comprises a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within the first plane, wherein the first plane is parallel to the first direction and the second direction which is orthogonal to the first direction, a third motion assembly that is 009540PC06/FEG/SYNX/HMM
adapted to position the second robot in a third direction that is generally perpendicular to the first plane, and a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction, a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack, a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack, and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.
[0013] Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically and each process chamber has a first width aligned along a first direction, and a second group of two or more process chambers that are stacked vertically and each process chamber has a second width aligned along a first direction, wherein the first direction is generally perpendicular to the vertical direction and the second width is generally a multiple of the first width, and a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack.
[0014] Embodiments of the invention further provide a cluster tool for processing a substrate, comprising a first processing rack comprising a first group of two or more process chambers that are stacked vertically, and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction, a second processing rack comprising a third group of two or more process chambers that are stacked vertically, and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is 009540PC06/FEG/SYNX/HMM
aligned along a first direction, a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly is contained within a central module and comprises a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane, and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction, a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly is contained within a central module and comprises a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction, a third motion assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the first plane, and a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction, a front-end robot positioned in an interface assembly that is positioned adjacent to the first and second processing racks, wherein the front-end robot is adapted to transfer a substrate to and from a cassette that is in communication with the interface assembly, and a pass-through chamber positioned proximate to the central module and the interface assembly and is adapted to receive a substrate from the front-end robot, the first robot assembly and the second robot assembly.
BRIEF DESCRIPTION OF THE DRAWINGS
[0015] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which 009540PC06/FEG/SYNX/HMM
are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0016] Figure 1 (Prior Art) is a plan view illustrating a conventional cluster tool configuration;
[0017] Figure 2A is an isometric view illustrating one embodiment of a cluster tool of the invention;
[0018] Figure 2B is a plan view of the processing system illustrated in Figure 2A, according to the present invention;
[0019] Figure 2C illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein;
[0020] Figure 2D is a plan view of the processing system illustrated in Figure 2B, according to the present invention;
[0021] Figure 2E is a side view that illustrates one embodiment of the first processing rack assembly 60 according to the present invention;
[0022] Figure 2F is a side view that illustrates one embodiment of the second processing rack assembly 80 according to the present invention;
[0023] Figure 3 is a side view of one embodiment of an exchange chamber, according to the present invention;
[0024] Figure 4A is a plan view of a processing system, according to the present invention;
[0025] Figure 4B is a plan view of a processing system illustrated in Figure 4A, according to the present invention;
[0026] Figure 5 is an isometric view illustrating one embodiment of a robot that may be adapted to transfer substrates in various embodiments of the cluster tool; 009540PC06/FEG/SYNX/HMM
[0027] Figure 6 is an isometric view illustrating one embodiment of a cluster tool of the invention;
[0028] Figure 7 is a plan view of the processing system according to one aspect of the present invention.
DETAILED DESCRIPTION
[0029] The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
[0030] Figures 2-7 illustrate some of the various robot and process chamber configurations that may be used in conjunction with various embodiments of this invention. The various embodiments of the cluster tool 10 generally utilize two or more robots that are configured in a parallel processing configuration to transfer substrates between the various processing chambers retained in the processing racks (e.g., elements 60, 80, etc.) so that a desired processing sequence can be performed on the substrates. In one embodiment, the parallel processing configuration contains two or more robot assemblies 11 (elements 11 A, 11 B in Figures 2A and 2B) that are adapted to move a substrate in a vertical (hereafter the z-direction) and horizontal directions, i.e., transfer direction (x-direction) and a direction orthogonal to the transfer direction (y-direction), so that the substrates can be processed in various processing chambers retained in the processing racks (e.g., elements 60 and 80) which are aligned along the transfer direction. One advantage of the parallel processing configuration is that if one of the robots becomes inoperable, or is taken down for servicing, the system can still continue to process 009540PC06/FEG/SYNX/HMM
substrates using the other robots retained in the system. Generally, the various embodiments described herein are advantageous since each row or group of substrate processing chambers are serviced by two or more robots to allow for increased throughput and increased system reliability. Also, the various embodiments described herein are generally configured to minimize and control the particles generated by the substrate transferring mechanisms, to prevent device yield and substrate scrap problems that can affect the CoO of the cluster tool. Another advantage of this configuration is the flexible and modular architecture allows the user to configure the number of processing chambers, processing racks, and processing robots required to meet the throughput needs of the user. While Figures 2-7 illustrate one embodiment of a robot assembly 11 that can be used to carryout various aspects of the invention, other types of robot assemblies 11 may be adapted to perform the same substrate transferring and positioning function(s) without varying from the basic scope of the invention.
First Cluster Tool Configuration A. System Configuration
[0031] Figure 2A is an isometric view of one embodiment of a cluster tool 10 that illustrates a number of the aspects of the present invention that may be used to advantage. Figure 2A illustrates an embodiment of the cluster tool 10 which contains two robots that are adapted to access the various process chambers that are stacked vertically in a first processing rack assembly 60 and a second processing rack assembly 80 and an external module 5. In one aspect, when the cluster tool 10 is used to complete a photolithography processing sequence the external module 5, may be a stepper/scanner tool, that is attached to the rear region 45 (not shown in Figure 2A) to perform some additional exposure type processing step(s). One embodiment of the cluster tool 10, as illustrated in Figure 2A, contains a front end module 24 and a central module 25.
[0032] Figure 2B is a plan view of the embodiment of the cluster tool 10 shown in Figure 2A. The front end module 24 generally contains one or more pod assemblies 105 (e.g., items 105A-D) and a front end robot assembly 15. The one or more pod assemblies 105, or front-end opening unified pods (FOUPs), are generally adapted 009540PC06/FEG/SYNX/HMM
to accept one or more cassettes 106 that may contain one or more substrates "W", or wafers, that are to be processed in the cluster tool 10. In one aspect, the front end module 24 also contains one or more pass-through positions 9 (e.g., elements 9A-B Figure 2B).
[0033] In one aspect, the central module 25 has a first robot assembly 11 A, a second robot assembly 11 B, a first rear robot assembly 4OA, a second rear robot assembly 40B, a first stepper robot assembly 46, a first processing rack assembly 60 and a second processing rack assembly 80. The first processing rack assembly 60 and a second processing rack assembly 80 contain various processing chambers {e.g., coater/developer chamber, bake chamber, chill chamber, wet clean chambers, etc. which are discussed below (Figures 2C-D)) that are adapted to perform the various processing steps found in a substrate processing sequence.
[0034] Figures 2E and 2F illustrate side views of one embodiment of the first processing rack assembly 60 and second processing rack assembly 80 as viewed when facing the first processing rack assembly 60 and second processing racks 80 while standing on the side closest to side 6OA, and thus will coincide with the views shown in Figures 2A-2F, 4A-4B and 7. The first processing rack assembly 60 and second processing rack assembly 80 generally contain one or more groups of vertically stacked processing chambers, or individual racks that are adapted to perform some desired semiconductor or flat panel display device fabrication processing steps on a substrate. For example, in Figure 2C the first process rack assembly 60 has five groups, or racks, containing vertically stacked processing chambers. In general these device fabrication processing steps may include depositing a material on a surface of the substrate, cleaning a surface of the substrate, etching a surface of the substrate, or exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate. In one embodiment, the first processing rack assembly 60 and second processing rack assembly 80 have one or more processing chambers contained in them that can be adapted to perform one or more photolithography processing sequence steps. In one aspect, processing racks 60 and 80 may contain one or more coater/developer chambers 160, one or more chill chambers 180, one or more 009540PC06/FEG/SYNX/HMM
bake chambers 190, one or more optical edge bead removal (OEBR) chambers 162, one or more support chambers 165, an integrated bake/chill chamber 800, integrated PEB chambers 801 and/or one or more hexamethyldisilazane (HMDS) processing chambers 170. Exemplary coater/developer chambers, chill chambers, bake chambers, OEBR chambers, PEB chambers, support chambers, integrated bake/chill chambers and/or HMDS processing chambers that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. Examples of an integrated bake/chill chamber and an integrated PEB chamber that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/111 ,154, filed April 11 , 2005 and United States Patent Application Serial No. 11/111 ,353, filed April 11 , 2005, which are hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
[0035] In one embodiment, as shown in Figure 2E, where the cluster tool 10 is adapted to perform a photolithography type process, the first processing rack assembly 60 may have eight coater/developer chambers 160 (labeled CD1-8), six chill chambers 180 (labeled C1-6), six integrated bake/chill chamber 800 (labeled BC1-6), eight integrated PEB chambers 801 (labeled PEBC 1-8), and two OEBR chambers 162 (labeled OEBR 1-2). In one embodiment, as shown in Figure 2F, where the cluster tool 10 is adapted to perform a photolithography type process, the second process rack 80 may have eight coater/developer chambers 160 (labeled CD1-8), eighteen integrated bake/chill chambers 800 (labeled BC1-18), six integrated PEB chambers 801 (labeled PEBC 1-8) and six support chambers 165 (labeled S1-6). The orientation, positioning, type and number of process chambers shown in the Figures 2E-F are not intended to be limiting as to the scope of the invention, but are intended to illustrate an embodiment of the invention. An example of an exemplary integrated bake/chill chamber or integrated PEB chamber that may be adapted to benefit one or more aspects of the invention is further described in the 009540PC06/FEG/SYNX/HMM
commonly assigned United States Patent Application Serial No. 11/174,781 , filed July 05, 2005, United States Patent Application Serial No. 11/174,782, filed July 05, 2005 and United States Patent Application Serial No. 11/413,960, filed April 28, 2006, which are all hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. An example of an exemplary coater/developer chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, United States Patent Application Serial No. 11/111 ,353, filed April 20, 2005 and United States Patent Application Serial No. 11/111 ,154, filed April 20, 2005, which are hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. An example of an exemplary bake/chill chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
[0036] Referring to Figure 2B, in one embodiment, the front end robot assembly 15 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 (see elements 105A-D) and the one or more of the pass-through positions 9 (see pass-through positions 9A-B in Figure 2B). In another embodiment, the front end robot assembly 15 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and the one or more processing chambers in the first processing rack assembly 60 or a second processing rack assembly 80 that abuts the front end module 24. The front end robot assembly 15 generally contains a horizontal motion assembly 15A and a robot 15B, which in combination are able to position a substrate in a desired horizontal and/or vertical position in the front end module 24 or the adjoining positions in the central module 25. The front end robot assembly 15 is adapted to transfer one or more substrates using one or more robot blades 15C, by use commands sent from a system controller 101 (discussed below). In one sequence the front end robot assembly 15 is adapted to transfer a substrate from the cassette 106 to one of the pass-through positions 9A- 009540PC06/FEG/SYNX/HMM
9B. Generally, a pass-through position is a substrate staging area that may contain a pass-through processing chamber that has features similar to an exchange chamber 533 (Figure 3), or a conventional substrate cassette 106, and is able to accept a substrate from a first robot so that it can be removed and repositioned by a second robot. In one aspect, the pass-through processing chamber mounted in a pass-through position may be adapted to perform one or more processing steps in a desired processing sequence, for example, a HMDS process step or a chill/cooldown processing step or substrate notch align. In one aspect, each of the pass-through positions 9A-9B may be accessed by each of the central robot assemblies (i.e., first robot assembly 11A and second robot assembly 11 B).
[0037] Referring to Figures 2A-B, the first robot assembly 11A and the second robot assembly 11 B are adapted to transfer substrates to the various processing chambers contained in the first processing rack assembly 60 and the second processing rack assembly 80. In one embodiment, to perform the process of transferring substrates in the cluster tool 10 the first robot assembly 11A and the second robot assembly 11 B have similarly configured robot assemblies 11 which each have at least one horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 which are in communication with a system controller 101. In one aspect, the side 6OB of the first processing rack assembly 60, and the side 8OA of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 (described below) of each of the various robot assemblies (i.e., first robot assembly 11A and second robot assembly 11 B).
[0038] The system controller 101 is adapted to control the position and motion of the various components used to complete the transferring process. The system controller 101 is generally designed to facilitate the control and automation of the overall system and typically includes a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various system functions, chamber processes and support hardware (e.g., detectors, robots, motors, gas sources hardware, etc.) and monitor the system 009540PC06/FEG/SYNX/HMM
and chamber processes (e.g., chamber temperature, process sequence throughput, chamber process time, I/O signals, etc.). The memory is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by the system controller 101 determines which tasks are performable on a substrate. Preferably, the program is software readable by the system controller 101 that includes code to perform tasks relating to monitoring and execution of the processing sequence tasks and various chamber process recipe steps.
[0039] Referring to Figure 2B, in one aspect of the invention the first robot assembly 11 A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from at least one side, e.g., the side 6OB. In one aspect, the second robot assembly 11 B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from at least one side, e.g., the side 8OA. In one aspect, it is desirable to only allow the first robot assembly 11A to access the processing chambers contained in the first processing rack assembly 60 and only allow the second robot assembly 11 B to access the processing chambers contained in the second processing rack assembly 80 to prevent robot collisions and reduce the number of process variables that each substrate is exposed to {e.g., transfer time, robot blade temperature) during a desired processing sequence.
[0040] In one embodiment, the first robot assembly 11A and the second robot assembly 11 B are both adapted to "overlap" or access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 6OB and the second processing rack assembly 80 from side 8OA. The ability to extend the robot blade 87 into a processing chamber and retract the robot blade 87 from the processing chamber is generally completed by cooperative movement of 009540PC06/FEG/SYNX/HMM
the components contained in the horizontal motion assembly 90, vertical motion assembly 95, and robot hardware assembly 85, and by use of commands sent from the system controller 101. The ability of two or more robots to "overlap" with one another, such as the first robot assembly 11A and the second robot assembly 11 B, is advantageous since it allows substrate transfer redundancy which can improve the cluster reliability, uptime, and also increase the substrate throughput. Robot "overlap" is generally the ability of two or more robots to access and/or independently transfer substrates to and from the same processing chamber. The ability of two or more robots to redundantly access processing chambers can be an important aspect in preventing system robot transfer bottlenecks, since it allows an under utilized robot to help out a robot that is limiting the system throughput. Therefore, the substrate throughput can be increased, a substrate's wafer history can be made more repeatable, and the system reliability can be improved through the act of balancing the load that each robot takes during the processing sequence.
[0041] In one aspect of the invention, the various overlapping robot assemblies (e.g., elements 11 A, 11 B, etc. in Figures 2-7) are able to simultaneously access processing chambers that are horizontally adjacent (x-direction) or vertically adjacent (z-direction) to each other. For example, when using the cluster tool configurations illustrated in Figures 2B and 2F, the first robot assembly 11A is able to access processing chamber CD6 in the first processing rack assembly 60 and the second robot assembly 11 B is able to access processing chamber CD5 simultaneously without colliding or interfering with each other. In another example, when using the cluster tool configurations illustrated in Figures 2B and 2F, the first robot assembly 11A is able to access processing chamber C6 in the second processing rack assembly 80 and the second robot assembly 11 B is able to access processing chamber BC11 simultaneously without colliding or interfering with each other.
[0042] In one aspect, the system controller 101 is adapted to adjust the substrate transfer sequence through the cluster tool based on a calculated optimized throughput or to work around processing chambers that have become inoperable. The feature of the system controller 101 which allows it to optimize throughput is 009540PC06/FEG/SYNX/HMM
known as the logical scheduler. The logical scheduler prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool. The logical scheduler may be adapted to review the list of future tasks requested of each of the various robots (e.g., front end robot assembly 15, first robot assembly 11 A, second robot assembly 11 B etc.), which are retained in the memory of the system controller 101 , to help balance the load placed on each of the various robots. The use of a system controller 101 to maximize the utilization of the cluster tool will improve the cluster tool's CoO, makes the wafer history more repeatable, and can improve the cluster tool's reliability.
[0043] In one aspect, the system controller 101 is also adapted to prevent collisions between the various overlapping robots and optimize the substrate throughput. In one aspect, the system controller 101 is further programmed to monitor and control the motion of the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85 of all the robots in the cluster tool to avoid a collision between the "overlapping" robots and improve system throughput by allowing all of the robots to be in motion at the same time. This so called "collision avoidance system," may be implemented in multiple ways, but in general the system controller 101 monitors the position of each of the robots by use of various sensors positioned on the robot(s) or in the cluster tool during the transferring process to avoid a collision. In one aspect, the system controller is adapted to actively alter the motion and/or trajectory of each of the robots during the transferring process to avoid a collision and minimize the transfer path length.
[0044] Referring to Figure 2B, in one embodiment, the first interface chamber 48 and/or the second interface chamber 49 are configured to perform an optical edge bead removal process (OEBR), a substrate chill process, or an integrated bake and chill process. An example of an exemplary optical edge bead removal chamber, a substrate chill chamber or an integrated bake and chill chamber that may be adapted to benefit one or more aspects of the invention is further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. In one embodiment, the first interface 009540PC06/FEG/SYNX/HMM
chamber 48 and/or the second interface chamber 49 are adapted to perform metrology type functions and communicate the results to the user through the controller 101. In one aspect, the interface chambers 48, 49 contain an array of vertically stacked metrology chambers (not shown) so that multiple substrates can be processed in parallel. In one aspect, the interface chambers 48, 49 contain a multiple metrology chambers (not shown) and multiple exchange chamber position (not shown) similar to the one illustrated in Figure 3. Typical metrology chambers may include, but is not limited to conventional particle measurement tools, conventional resist thickness measurement tools, and/or conventional CD measurement tools.
Interface Robot Configurations
[0045] In one embodiment, as shown in Figures 2A-2F, the central module 25 has a first rear robot assembly 4OA, second rear robot assembly 4OB and an interface assembly 47 that are adapted to interface with an external module 5, such as a stepper/scanner device 50 to allow a seamless processing sequence. In this configuration the first rear robot assembly 4OA, second rear robot assembly 4OB and an interface robot 46 contained in the interface assembly 47 are adapted to transfer and control the substrate movement between the cluster tool 10 and the external module 5. In one aspect, the first rear robot assembly 4OA and second rear robot assembly 4OB are adapted to transfer substrates between the processing chambers retained in the first processing rack assembly 60 and/or the second processing rack assembly 80 and positions within the interface assembly 47 or positions within the external module 5. In one aspect, the external module 5 is conventional stepper or scanner module available from, for example, Nikon Precision Inc. of Belmont, CA, Canon U.S.A. Inc. of Lake Success, NY, or ASML US Inc. of Tempe, AZ. In one embodiment, the first rear robot assembly 4OA and second rear robot assembly 4OB are adapted to directly access and position substrates within the external module 5. In one aspect, it may be desirable to eliminate the interface robot 46 from the cluster tool configuration when the first rear robot assembly 4OA and second rear robot assembly 4OB are adapted to directly access and position substrates within the external module 5. 009540PC06/FEG/SYNX/HMM
[0046] Referring to Figure 2B, in one aspect, the first rear robot assembly 4OA and the second rear robot assembly 4OB generally contains a conventional selectively compliant articulated robot arm (SCARA) robots having a single arm/blade 4OE. In another embodiment, the first rear robot assembly 4OA and the second rear robot assembly 4OB may be a SCARA type of robot that has two independently controllable arms/blades (not shown) to exchange substrates and/or transfer substrates in groups of two. The two independently controllable arms/blade type robot may be advantageous, for example, where the robot has to remove a substrate from a desired position prior to placing the next substrate in the same position. An exemplary two independently controllable arms/blade type robot may be purchased from Asyst Technologies in Fremont, CA.
[0047] In one embodiment, the interface assembly 47 generally contains the interface robot 46, a first interface chamber 48 and a second interface chamber 49 that are adapted to position and control the movement of substrates between various load/unload positions within the external module 5. In one embodiment, the first interface chamber 48 and the second interface chamber 49 are pass-through type chambers that allow substrates moving from the central module 25 to the external module 5 or from the external module 5 to the central module 25 to be handed off between the stepper interface robot 46 and the respective rear robot assemblies, such as the first rear robot assembly 4OA or the second rear robot assembly 4OB. This configuration is advantageous since it allows the stepper interface robot 46 to control the transfer of the substrates between the external module 5 and the central module 25. The use of the stepper interface robot 46 can also be used to free-up the rear robot assemblies (e.g., items #40A and 40B) to perform the critical tasks at the time when they arise rather than waiting until tasks of lower importance be completed.
Exchange chamber
[0048] Figure 3 illustrates one embodiment of an exchange chamber 533 that may be positioned in a support chamber 165 (Figure 2F) in a processing rack {e.g., elements 60, 80). In one embodiment, the exchange chamber 533 is adapted to receive and retain a substrate so that at least two robots in the cluster tool 10 can 009540PC06/FEG/SYNX/HMM
deposit or pickup a substrate. In one aspect, the rear robot assembly 40 and at least one robot in the central module 25 are adapted to deposit and/or receive a substrate from the exchange chamber 533. The exchange chamber 533 generally contains a substrate support assembly 651 , an enclosure 652, and at least one access port 653 formed in a wall of the enclosure 652. The substrate support assembly 651 generally has a plurality of support fingers 660 (six shown in Figure 3) which have a substrate receiving surface 661 to support and retain a substrate positioned thereon. The enclosure 652 is generally a structure having one or more walls that enclose the substrate support assembly 651 to control the environment around the substrates while they are retained in the exchange chamber 533. The access port 653 is generally an opening in a wall of the enclosure 652 that allows an external robot access to pickup and drop off substrates to the support fingers 660. In one aspect, the substrate support assembly 651 is adapted to allow substrates to be positioned on and removed from the substrate receiving surface 661 by two or more robots that are adapted to access the enclosure 652 at angles of at least 90 degrees apart.
B. Transfer Sequence Example
[0049] Figure 2C illustrates one example of a substrate processing sequence 500 through the cluster tool 10, where a number of process steps {e.g., elements 501- 518) may be performed after each of the transferring steps A1 - An have been completed. One or more of the process steps 501-518 may entail performing lithography type fluid processing steps on a substrate, to deposit a material on a surface of the substrate, to clean a surface of the substrate, to develop the deposited material on the substrate surface, or to exposing the substrate to some form of radiation to cause a physical or chemical change to one or more regions on the substrate. Figure 2D illustrates an example of the transfer steps that a substrate may follow as it is transferred through a cluster tool that is configured as the cluster tool similar to the one shown in Figure 2B following the processing sequence 500 described in Figure 2C. In this embodiment, the substrate is removed from a pod assembly 105 (item # 105D) by the front end robot assembly 15 and is delivered to a chamber positioned at the pass-through position 9B following the transfer path A-i, 009540PC06/FEG/SYNX/HMM
so that the pass-through step 502 can be completed on the substrate. In one aspect, the pass-through step 502 entails positioning or retaining the substrate so that another robot could pickup the substrate from the pass-through position 9B.
[0050] Once the pass-through step 502 has been completed, the substrate is then transferred to a first process chamber 531 by the second robot assembly 11 B following the transfer path A2, where process step 504 is completed on the substrate. After completing the process step 504 the substrate is then transferred to the second process chamber 532 by the second robot assembly 11 B following the transfer path A3. After performing the process step 506 the substrate is then transferred by the second robot assembly 11 B, following the transfer path A4, to the exchange chamber 533 (Figure 3). After performing the process step 508 the substrate is then transferred by the first rear robot assembly 4OA, following the transfer path A5, to the first interface chamber 48 where the process step 509 is performed. After performing process step 509 the substrate is then transferred by the stepper interface robot 46, following the transfer path A6, to an exchange position 51 in the stepper/scanner module 50 where the process step 510 is performed. After performing process step 510 the substrate is then transferred by the stepper interface robot 46, following the transfer path A7, to the second interface chamber 49 in the stepper interface assembly 47 where the process step 511 is performed. In one aspect, the process steps 509 and 511 entail positioning or retaining the substrate so that the first rear robot assembly 4OA, second rear robot assembly 4OB and/or the stepper interface robot 46 can pickup and transfer the substrate to a desired position. After performing process step 511 the substrate is then transferred by the second rear robot assembly 4OB, following the transfer path A8, to the process chamber 534 where the process step 512 is performed. After performing the process step 512 the substrate is then transferred by the first robot assembly 11 A, following the transfer path A9, to the process chamber 535 where the process step 514 is performed. After the process step 514 is complete, the first robot assembly 11A then transfers the substrate to a pass-through chamber positioned at the pass-through position 9A following the transfer path A10 where a pass-through step 516 is performed. In one embodiment, the pass-through step 516 009540PC06/FEG/SYNX/HMM
entails positioning or retaining the substrate so that another robot could pickup the substrate from the pass-through position 9A. After performing the pass-through step 516 the substrate is then transferred by the front end robot assembly 15, following the transfer path An, to the pod assembly 105D.
[0051] In one embodiment, process steps 504, 506, 510, 512, and 514 are a photoresist coat step, a bake/chill step, an exposure step performed in a stepper/scanner module 50, a post exposure bake/chill step, and a develop step, respectively, which are further described in the commonly assigned United States Patent Application Serial No. 11/112,281 , filed April 22, 2005, which is incorporated by reference herein. The bake/chill step and the post exposure bake/chill steps may be performed in a single process chamber or they may also be transferred between a bake section and a chill section of an integrated bake/chill chamber by use of an internal robot (not shown). While Figures 2C-D illustrate one example of a process sequence that may be used to process a substrate in a cluster tool 10, process sequences and/or transfer sequences that are more or less complex may be performed without varying from the basic scope of the invention.
[0052] The cluster tool configuration described above may be advantageously used to perform processing sequences where a tight control of the timing between certain steps has to be maintained to assure that the wafer history and process results for each processed substrate is repeatable. For example, the timing between some lithography type process steps, such as between the exposure step 510 (see Figure 2C) and a post exposure bake step (step 512 in Figure 2C) are critical to assure that the process results {e.g., critical dimension (CD) uniformity) is acceptable and consistent from substrate to substrate. The timing issue in a lithography type process sequence generally arises after performing the exposure process (step 510 in Figure 2C) on a positive photoresist material, which causes a chemical change in the photoresist material.
[0053] During the exposure process, components in the photoresist that contain photoacid generators (or PAGs) generate an organic acid that can attack the unexposed areas of the photoresist and affect the sharpness of the pattern formed in the photoresist layer during the exposure process. The attack of the unexposed 009540PC06/FEG/SYNX/HMM
photoresist is thus affected by the migration of the generated photoacid, which is a diffusion dominated process. Since the photoacid attack of the formed pattern is a diffusion dominated process, the rate of attack is dependent on two related variables, time and temperature. The control of these variables are thus important in assuring that CD uniformity is acceptable and consistent from substrate to substrate.
[0054] The configuration(s) illustrated herein, are useful to assure that timing of the transfer process during certain critical steps, such as between steps 510 through 512, is minimized and is repeatable by use of the three robot configuration. This process is important when the substrate throughput through the Cluster tool 10 and the stepper/scanner 50 is high, such as when it exceeds 120 substrates per hour, since the stepper/scanner 50 takes-in, processes and hands-off the substrates in less than 30 second intervals. Therefore, by assuring that at least one robot (e.g., first rear robot assembly 4OA, second rear robot assembly 40B) is dedicated to assure that the substrates leaving the stepper/scanner 50 always see the same timing between process steps (e.g., steps 510 through 512) to assure that the device results are desirable and repeatable.
Second Cluster Tool Configuration A. System Configuration
[0055] Figure 4A is a plan view of one embodiment of cluster tool 10 that has a front end robot assembly 15, a rear robot assembly 40, a system controller 101 and three robot assemblies 11 (e.g., elements 11 A, 11 B, and 11C in Figure 4A) positioned between two processing racks (elements 60 and 80), which are all adapted to perform at least one aspect of a desired substrate processing sequence using the various processing chambers found in the processing racks. The embodiment illustrated in Figure 4A is similar to the configurations illustrated in Figures 2A-F except for the addition of the third robot assembly 11 C and pass- through position 9C, thus like element numbers have been used where appropriate. The cluster tool configuration illustrated in Figure 4A may be advantageous where the substrate throughput is robot limited, because the addition of the third robot assembly 11C will help to remove the burden on the other robots and also builds in some redundancy that allows the system to process substrates when one or more of 009540PC06/FEG/SYNX/HMM
the central robots become inoperable. In one aspect, the side 6OB of the first processing rack assembly 60, and the side 8OA of the second processing rack assembly 80 are both aligned along a direction parallel to the horizontal motion assembly 90 of each of the various robot assemblies (e.g., first robot assembly 11 A, second robot assembly 11 B, etc.).
[0056] In one aspect, the first robot assembly 11A is adapted to access and transfer substrates between the processing chambers in the first processing rack assembly 60 from side 6OB. In one aspect, the second robot assembly 11 B is adapted to access and transfer substrates between the processing chambers in the second processing rack assembly 80 from side 8OA. In one aspect, the third robot assembly 11 C is adapted to access and transfer substrates between the processing chambers in first processing rack assembly 60 from side 6OB and the second processing rack assembly 80 from side 8OA.
[0057] Figure 4B illustrates a plan view of the embodiment of the cluster tool 10 shown in Figure 4A, in which a robot blade 87 from the third robot assembly 11C has been extended into the a processing chamber in the first processing rack assembly 60 through side 6OB. The ability to extend the robot blade 87 into a processing chamber and/or retract the robot blade 87 into a processing chamber is generally completed by cooperative movement of the robot assembly 11 components, which are contained in the horizontal motion assembly 90, a vertical motion assembly 95, and a robot hardware assembly 85, and by use of commands sent from the system controller 101. As discussed above the third robot assembly 11C along with the system controller 101 may be adapted to allow "overlap" between each of the robots in the cluster tool, may allow the system controller's logical scheduler to prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool, and may also use a collision avoidance system to allow robots to optimally transfer substrates through the system. Use of the system controller 101 to maximize the utilization of the cluster tool can improve the cluster tool's CoO, makes the wafer history more repeatable, and improves the system reliability. 009540PC06/FEG/SYNX/HMM
Robot Assemblies
[0058] In general the various embodiments of the cluster tool 10 described herein have particular advantage over prior art configurations due to the reduced cluster tool foot print created by the reduced size of the robot assemblies {e.g., element 11 in Figure 9A) and a robot design that minimizes the physical encroachment of a robot into a space occupied by other cluster tool components {e.g., robot(s), process chambers) during the process of transferring a substrate. The reduced physical encroachment prevents collisions of the robot with other foreign components. While reducing the footprint of the cluster tool, the embodiments of the robot described herein, also has particular advantage due to the reduced number of axes that need to be controlled to perform the transferring motion. This aspect is important since it will improve the reliability of the robot assemblies and thus the cluster tool. The importance of this aspect may be better understood by noting that the reliability of a system is proportional to the product of the reliability of each component in the system.
[0059] The embodiments of the cluster tool 10 described herein also have particular advantage over prior art configurations due to the reduced number of pass-through chambers {e.g., elements 9A-C in Figure 2B), required to transfer a substrate though the cluster tool. The prior art cluster tool configurations commonly install two or more pass-through chambers, or of interim substrate retaining stations, in the processing sequence so that the cluster tool robots can transfer a substrate between one robot that is centrally positioned between one or more processing chambers to another robot that is centrally positioned between one or more other processing chambers during the processing sequence. The process of successively placing a substrate in multiple pass-through chambers that will not perform a subsequent processing step wastes time, decreases the availability of the robot(s), wastes space in the cluster tool, and increases the wear on the robot(s). The addition of the pass-through steps will also adversely affect device yield, due to the increase in the number of substrate handoffs which will increase the amount of backside particle contamination. Also, substrate processing sequences that contain 009540PC06/FEG/SYNX/HMM
multiple pass-through steps will inherently have different substrate wafer histories, unless the time spent in the pass-through chamber is controlled for every substrate.
[0060] Controlling the time in the pass-through chamber will increase the system complexity, due to an added process variable, and it will hurt the maximum achievable substrate throughput. In a case where the system throughput is robot limited, the maximum substrate throughput of the cluster tool is governed by the total number of robot moves to complete the process sequence and the time it takes to make the robot move. The time it takes a robot to make a desired move is usually limited by robot hardware, distance between processing chambers, substrate cleanliness concerns, and system control limitations. Typically the robot move time will not vary much from one type of robot to another and is fairly consistent industry wide. Therefore, a cluster tool that inherently has fewer robot moves to complete the processing sequence will have a higher system throughput than a cluster tool that requires more moves to complete the processing sequence, such as cluster tools that contains multiple pass-through steps.
[0061] The aspects of the invention, described herein, generally avoid these pitfalls of the prior art configurations, since the inventive cluster tool configuration generally only utilizes the pass-through type steps (e.g., steps 502, 508, 511 and 518 in Figure 2C) before any processing has occurred on a substrate and after all of the processing steps have been completed on a substrate and thus the process timing and wafer history are not as much of an issue. In cases where a pass- through is positioned between time critical steps, such as step 511 in Figure 2C, the cluster tool configuration illustrated herein is configured so that the robots performing these time critical steps can complete these tasks without affecting the movement and timing of the substrates upstream or downstream of this critical transfer process step.
[0062] For example, in a configuration such as the one shown in Figure 1 , the robot 17C needs to suspend any transferring tasks within its processing cell 16 to perform a time critical transferring task, which thus affects the timing and flow of substrates into and out-of the processing cells. To compensate for this problem the prior art configurations have to control, or regulate, the timing of substrates into and 009540PC06/FEG/SYNX/HMM
out-of each cell (e.g., item # 16 in Figure 1) in the cluster tool to assure that each robot (e.g., item # 17A - 17C in Figure 1) has enough overhead time to assure that its time critical tasks can be completed and the wafer history is not dramatically affected. The process of controlling the timing of substrates into and out-of the processing cells in the prior art configurations to maintain a consistent wafer history dramatically reduces the substrate throughput, since the substrate throughput needs to be slowed so that conflicting substrate transferring priorities do not affect the timing between steps in all possible conflicting and non-conflicting situations.
[0063] The aspects of the invention described herein, decouple the flow of substrates through the critical transfer steps by providing one or more robots [e.g., robot 40B) that are specifically tasked with performing the critical timing steps while allowing other robots (e.g., robots 4OA and 46) to perform the lower priority tasks. This configuration is especially advantageous where the throughput of the process sequence in the cluster tool 10 does not match the throughput of the external module 5 connected to the system. For example, when following the processing sequence shown in Figure 2C if the throughput of substrates transferred to the chamber performing the exchange process 509 is faster than the throughput of the external module 5 the system through-put need not be reduced if the substrate is in a stable processing state after step 509 and thus will allow a repeatable wafer history. In one case the higher throughput into the chamber performing the exchange process 509 versus the throughput of the external module 5 is compensated by storing the substrates reaching the exchange chamber in multiple "buffer" positions (e.g., support fingers 610 in Figure 3) so that they can then be picked-up and transferred to the external module 5 as needed. In another example, in the case where the throughput of substrates entering the external module 5 is slower than the exposure step 510 and/or the other down stream process steps (e.g., steps 511-518) the system through-put need not be coordinated with the upstream process steps and thus the system throughput need not be reduced.
[0064] The configuration of the novel cluster tool described herein is advantageous for multiple reasons. First, the process transferring of substrate in the configurations described herein doesn't require the same robots to transfer the 009540PC06/FEG/SYNX/HMM
substrates moving upstream and downstream at the same time, as required in the prior art systems shown in Figure 1 , and thus the creation of a substrate processing and/or a robot transferring bottleneck affects the upstream and downstream flow of substrates through the system. Second, the throughput can be greatly increased when the robot assemblies 11 and processing modules configured to process or transfer multiple substrates in parallel, since a bottleneck in the transferring process need not limit the substrate throughput. Third, the process results (e.g., CD uniformity) achieved on the processed substrate need not be degraded due to transferring process bottlenecks found in the upstream processes. The configurations described herein thus create a transferring process that has a repeatable wafer history, and delivers improved substrate process results and an improved substrate through-put.
Cartesian Robot Configuration
[0065] Figure 5 illustrates isometric view of one embodiment of a robot assembly 11 that may be used as one or more of the robot assemblies 11 (e.g., elements 11A- C shown in Figures 2A-2F and Figures 4A-4B above). The robot assembly 11 generally contains a robot hardware assembly 85, one or more vertical robot assemblies 95 and one or more horizontal robot assemblies 90. A substrate can thus be positioned in any desired x, y and z position in the cluster tool 10 by the cooperative motion of the robot hardware assemblies 85, vertical robot assemblies 95 and horizontal robot assemblies 90, from commands sent by the system controller 101.
[0066] The robot hardware assembly 85 generally contains one or more transfer robot assemblies 86 that are adapted to retain, transfer and position one or more substrates by use of commands sent from the system controller 101. In one embodiment, the transfer robot assemblies 86 shown in Figure 5 are adapted to transfer the substrates in a horizontal plane, such as a plane that includes the X and Y directions, due to the motion of the various transfer robot assemblies 86 components. An example of an exemplary robot hardware assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed 009540PC06/FEG/SYNX/HMM
December 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. The configuration shown in Figure 5, or "over/under" type blade configuration, may be advantageous, for example, where it is desired to remove a substrate from a processing chamber prior to placing the next substrate to be processed in the same processing chamber, without causing the robot hardware assembly 85 to leave its basic position to move the "removed" substrate to another chamber (i.e., "swap" substrates).
[0067] Figure 5 illustrates an isometric view of one embodiment of the horizontal motion assembly 90. The horizontal motion assembly 90 generally contains an enclosure 460, an actuator assembly 443 and a sled mount 451. The actuator assembly 443 generally contains at least one horizontal linear slide assembly 468 and a motion assembly 442. The motion assembly 442 generally contains a horizontal robot actuator 367, a drive belt 440, and two or more drive belt pulleys 441. The vertical motion assembly 95 is attached to the horizontal motion assembly 90 through the sled mount 451. The sled mount 451 is a structural piece that supports the various loads created as the vertical motion assembly 95 is positioned by the horizontal motion assembly 90. The horizontal motion assembly 90 generally contains two horizontal linear slide assemblies 468 that each have a linear rail 458, a bearing block (not shown) and a support mount (not shown) that support the weight of the sled mount 451 and vertical motion assembly 95. This configuration thus allows for a smooth and precise translation of the vertical motion assembly 95 along the length of the horizontal motion assembly 90. The linear rail 455 and the bearing block (not shown) may be linear ball bearing slides or a conventional linear guide, which are well known in the art. An example of an exemplary horizontal motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed December 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
[0068] Figures 5 also illustrate one embodiment of the vertical motion assembly 95. The vertical motion assembly 95 generally contains a vertical support (not 009540PC06/FEG/SYNX/HMM
shown), vertical actuator assembly 560, a support plate (not shown), and a vertical enclosure 590, that are adapted top control and position the robot assembly 85 in a vertical direction (e.g., z-direction in Figure 5). An example of an exemplary vertical motion assembly that may be adapted to benefit one or more aspects of the invention are further described in the commonly assigned United States Patent Application Serial No. 11/315,984, filed December 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
Cluster Tool Configurability Design
[0069] In one aspect of the invention, the cluster tool is configured so that it is expandable by the addition of extra processing capability without greatly increasing the number of robots, increasing the system control complexity and the size of the cluster tool. As noted above, the prior art configurations that utilize the processing cell 16 configuration, as shown in Figure 1 , require the addition of a robot every time the processing capability needs to be increased above the original capacity of the system. This issue can lead to the undesirable case where an additional robot and additional pass-throughs need to be added to the cluster tool to service only a few additional processing chambers to achieve a desired system throughput. When this occurs, prior art cluster tool manufacturers often de-rate, or reduce, the maximum cluster tool throughput rather than adding an additional processing cell that will increase in cost and complexity of the cluster tool, and reduce the cluster tool's reliability. The cluster tool configuration described herein thus de-couples the issues of substrate throughput, process capability, system reliability and robot capacity, so that changing the system requirements does not require the adjustment of the other aspects or parameters in the system.
[0070] Embodiments of the invention, described herein provide a modular approach to the configuration of cluster tool that allows the processing capability of the cluster tool to be increased without adding an additional substrate transferring apparatuses and pass-throughs, while only minimally increasing the system footprint. Figure 6 is an isometric view of half of the cluster tool illustrated in Figure
2A, for example processing rack 60 side of cluster tool 10, that illustrates a method 009540PC06/FEG/SYNX/HMM
of increasing the processing capability of the cluster tool 10 by adding of one or more stacked processing modules (e.g., items 621 , 631) and increasing the robot length {e.g., items 640, 641). It should be noted that the addition of one or more stacked processing modules and increasing the length of one or more of the robot assemblies may be performed on one or more sides (e.g., processing rack 60, processing rack 80) of the cluster tool. The processing capability of cluster tool 10 is thus increased by the addition of stacked processing modules 621 , 631 , which contain multiple processing chambers 622 and 632, respectively.
[0071] In general, the addition of the stacked processing module 621 and/or stacked processing module 631 will require the robot assembly 11 to be extended a length 641 and/or length 642 to allow the robot to access the various processing chambers 622 and/or processing chambers 632 in the stacked processing modules. While the increase in the length of the cluster tool due to the addition of the stacked processing modules may increase the transfer time between chambers this component of the process of transferring a substrate is typically the smallest component of the transfer process overhead time. Typically the process of picking- up and dropping off of the substrate in the process chambers is the largest portion of the transferring process, which generally includes the steps of picking-up the substrate, transferring the substrate to the correct X, Y, and Z-positions, and dropping-off the substrate. It should be noted that the transferring process using a single robot that moves from one X, Y, and Z-position to another X, Y, and Z- position will be faster than the process of serially transferring a substrate between processing cells, as discussed above in reference to the prior art configuration shown in Figure 1 , due to the lack of the accumulation of multiple pick-up and dropoff transferring steps found in serial sequences.
[0072] In one embodiment, it may be desirable to add an additional robot assembly 11 to the cluster tool configuration to increase the throughput of the system (see Figure 4A). The addition of another robot to the cluster tool configuration shown in Figure 2B will not suffer all of the detrimental affects experienced by the prior art configurations, since the each robot assemblies 11
(e.g., items 11 A, 11 B and 11C in Figure 4A) act in parallel rather than in series. In 009540PC06/FEG/SYNX/HMM
this case, while the overall reliability of the system will be reduced due to the addition of an additional component, but the uptime of the system will remain fairly constant due to the ability of the robots acting in parallel to take up the slack created when one of the robots becomes inoperable.
[0073] In one aspect, the processing rack assemblies (e.g., items 601 , 611 , 621 , 631) are designed so that the widths of the stacked processing chambers (e.g., items 602, 612, 622, 632) aligned along a robot transfer direction, such as the X- direction in Figure 6, are multiples of each other. Referring to Figure 6, the width of the stacked processing module 601 is 2X and the width of the stacked processing module 601 is X, where X is some finite length, such as a width between about 0.4 meters and about 2.0 meters. The use of stacked processing chambers that have widths {e.g., X) that are multiples of one another (e.g., X, 2X, 3X) helps resolve some of the issues associated with grouping modules within a cluster tool that have differing shapes and sizes. In one example, the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.5 meters in width and the larger process chambers are 1.0 meters in width. In another example, the cluster tool contains processing modules that are configured in two discrete sizes where the smaller processing modules are about 0.7 meters in width and the larger process chambers are 1.4 meters in width. This approach reduces the variability in robot lengths based on the make-up of the processing modules contained in the stacked processing modules and reduces the complexity of configuring systems for different processing applications.
Pass-Through Chamber Configuration
[0074] Figure 7 is a plan view of the one embodiment of the cluster tool 10 that contains a multi-sided pass-through position 9A that is adapted to be accessed by multiple robots. In one aspect, the pass-through chamber is accessed by all of the central robot assemblies (e.g., first robot assembly 11 A, second robot assembly 11 B) and the front end robot assembly 15. Therefore, in one embodiment, as shown in Figure 7 the pass-through position 9A is configured to allow the front end robot assembly 15, the first robot assembly 11A and the second robot assembly 11B to 009540PC06/FEG/SYNX/HMM
transfer a substrate to or from the pass-through position 9A. In one aspect, the transfer process may require a transferring step in which the first robot assembly 11 A deposits a substrate on the pass-through position 9A where it is then picked up and transferred by the second robot assembly 11 B to another desired position in the cluster tool. Referring to Figure 2D and Figure 7, whereas the transfer steps A1-A2 and A10-An found in Figure 2D are transferred through two pass-through positions 9A, 9B the configuration containing a multi-sided pass-through chamber allows the substrate to be exchanged through the single pass-through position 9A, as shown in Figure 7.
[0075] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

009540PC06/FEG/SYNX/HMMClaims:
1. A cluster tool for processing a substrate, comprising: a first processing rack comprising: a first group of two or more process chambers that are stacked vertically; and a second group of two or more process chambers that are stacked vertically, wherein each substrate processing chamber in the first and second groups has a first side that is aligned along a first direction that is generally perpendicular to the vertical direction; a second processing rack comprising: a third group of two or more process chambers that are stacked vertically; and a fourth group of two or more process chambers that are stacked vertically, wherein each substrate processing chamber in the third and fourth groups has a first side that is aligned along the first direction; a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack; a second robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the second processing rack; a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly; and a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly.
2. The apparatus of claim 1 , further comprising a fifth robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of 009540PC06/FEG/SYNX/HMM
the substrate processing chambers in the first and second processing racks, wherein the fifth robot assembly is positioned between the first and second robot assemblies.
3. The apparatus of claim 1 , further comprising a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module positioned adjacent to the cluster tool.
4. The apparatus of claim 1 , further comprising an external module that is positioned adjacent to the cluster tool and is adapted to communicate with the first and second processing modules positioned in the interface assembly, wherein the external module is selected from a group consisting of a stepper and a scanner.
5. The apparatus of claim 1 , wherein the first group of two or more process chambers have a first width aligned along a first direction and the second group of two or more process chambers having a second width, wherein the second width is generally a multiple of the first width.
6. A cluster tool for processing a substrate, comprising: a first processing rack comprising: a first group of two or more process chambers that are stacked vertically; and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction; a second processing rack comprising: 009540PC06/FEG/SYNX/HMM
a third group of two or more process chambers that are stacked vertically; and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the third and fourth groups have a first side that is aligned along the first direction; a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly comprises: a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction; a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane; and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction; a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly comprises: a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within the first plane, wherein the first plane is parallel to the first direction and the second direction which is orthogonal to the first direction; a third motion assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the first plane; and 009540PC06/FEG/SYNX/HMM
a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction; a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack; a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack; and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module positioned adjacent to the cluster tool.
7. The apparatus of claim 6, wherein the first robot assembly and the second robot assembly further comprise a third robot that has a robot blade having a substrate receiving surface, wherein the third robot is adapted to position a substrate at one or more points generally contained within a second plane, wherein the first plane and the second plane are spaced a distance apart.
8. The apparatus of claim 6, wherein the cluster tool further comprises: a sixth robot assembly that is adapted to transfer a substrate to the substrate processing chambers in the first processing rack and the second processing rack, wherein the sixth robot assembly comprises: a third robot having a third robot blade and a substrate receiving surface located thereon, wherein the third robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a second plane, wherein the second plane is parallel to the first direction and a second direction that is orthogonal to the first direction; 009540PC06/FEG/SYNX/HMM
a first motion assembly having an actuator assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the second plane; and a second motion assembly having an actuator assembly that is adapted to position the second robot in a direction generally parallel to the first direction.
9. The apparatus of claim 6, further comprising: a cassette that is adapted to retain two or more substrates; and a first pass-through chamber that is adapted to receive a substrate from a front end robot and the first robot assembly; a second pass-through chamber that is adapted to receive a substrate from the front end robot and the second robot assembly; and the front end robot being adapted to transfer a substrate to and from a cassette and the first and second pass-through chambers.
10. The apparatus of claim 6, wherein the external module is adapted to communicate with the fifth robot assembly or the first and second processing modules positioned in the interface assembly, wherein the external module is selected from a group consisting of a stepper and a scanner.
11. A cluster tool for processing a substrate, comprising: a first processing rack comprising: a first group of two or more process chambers that are stacked in a vertical direction, each process chamber having a first width aligned along a first direction; and a second group of two or more process chambers that are stacked in the vertical direction, each processing chamber having a second width aligned along the first direction, wherein the first direction is generally 009540PC06/FEG/SYNX/HMM
perpendicular to the vertical direction and the second width is generally a multiple of the first width; and a first robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the first processing rack.
12. The apparatus of claim 11 , wherein the first width is between about 0.4 meters and about 1 meter and the second width is between about 0.8 meters and about 2 meters.
13. The apparatus of claim 11 , further comprising: a second processing rack comprising: a third group of two or more process chambers that are stacked in the vertical direction, each process chamber having a third width aligned along a first direction; and a fourth group of two or more process chambers that are stacked in the vertical direction, each process chamber having a fourth width aligned along a first direction, wherein the fourth width is generally a multiple of the third width; and a second robot assembly adapted to translate in a direction parallel to the first direction and position a substrate in each of the substrate processing chambers in the second processing rack.
14. The apparatus of claim 13, further comprising: a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack; 009540PC06/FEG/SYNX/HMM
a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack; and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot is adapted to communicate with an external module in the interface assembly.
15. The apparatus of claim 13, further comprising: a third robot assembly that is in communication with a process chamber in the first processing rack and an external module which is positioned adjacent to the first processing rack; and a fourth robot assembly that is in communication with a process chamber in the second processing rack and an external module which is positioned adjacent to the second processing rack.
16. A cluster tool for processing a substrate, comprising: a first processing rack comprising: a first group of two or more process chambers that are stacked vertically; and a second group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the first and second groups have a first side that is aligned along a first direction; a second processing rack comprising: a third group of two or more process chambers that are stacked vertically; and a fourth group of two or more process chambers that are stacked vertically, wherein the two or more substrate processing chambers in the 009540PC06/FEG/SYNX/HMM
third and fourth groups have a first side that is aligned along the first direction; a first robot assembly adapted to transfer a substrate to the substrate processing chambers in the first processing rack, wherein the first robot assembly is contained within a central module and comprises: a first robot having a robot blade and a substrate receiving surface located thereon, wherein the first robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction; a first motion assembly that is adapted to position the first robot in a third direction that is generally perpendicular to the first plane; and a second motion assembly that is adapted to position the first robot in a direction generally parallel to the first direction; a second robot assembly adapted to transfer a substrate to the substrate processing chambers in the second processing rack, wherein the second robot assembly and is contained within a central module and comprises: a second robot having a robot blade and a substrate receiving surface located thereon, wherein the second robot defines a transferring region and is adapted to position a substrate at one or more points generally contained within a first plane, wherein the first plane is parallel to the first direction and a second direction which is orthogonal to the first direction; a third motion assembly that is adapted to position the second robot in a third direction that is generally perpendicular to the first plane; and a fourth motion assembly that is adapted to position the second robot in a direction generally parallel to the first direction; 009540PC06/FEG/SYNX/HMM
a front-end robot positioned in an interface assembly that is positioned adjacent to the first and second processing racks, wherein the front-end robot is adapted to transfer a substrate to and from a cassette that is in communication with the interface assembly; and a pass-through chamber positioned proximate to the central module and the interface assembly and is adapted to receive a substrate from the front-end robot, the first robot assembly and the second robot assembly.
17. The apparatus of claim 16, further comprising: a third robot assembly that is in communication with a process chamber in the first processing rack and a first processing module in an interface assembly which is positioned adjacent to the first processing rack; a fourth robot assembly that is in communication with a process chamber in the second processing rack and a second processing module in the interface assembly which is positioned adjacent to the second processing rack; and a fifth robot assembly that is positioned within the interface assembly and is in communication with the first processing module and the second processing module, wherein the fifth robot assembly is adapted to communicate with an external module in the interface assembly.
18. A method of transferring a substrate in a cluster tool, comprising: providing a first processing rack that comprises a first array of three or more groups of two or more vertically stacked processing chambers that each have a first side that is aligned along a first direction which is generally orthogonal to a vertical direction, wherein each of the three or more groups of two or more vertically stacked chambers within the first array are positioned along the first direction; positioning a second processing rack that comprises a second array of three or more groups of two or more vertically stacked processing chambers that each have a first side that is aligned along the first direction so that the first side of each of the 009540PC06/FEG/SYNX/HMM
processing chambers in the first processing rack and the first side of each of the processing chambers in the second processing rack are facing each other, wherein each of the three or more groups of two or more vertically stacked chambers within the second array are positioned along the first direction; positioning at least two robot assemblies between each of the first sides of the processing chambers in the first and second processing racks, wherein each of the at least two robot assemblies are adapted to position a substrate in a desired position in the first direction; transferring the substrate from at least one processing chamber contained within a first group of processing chambers in the first processing rack to at least one processing chamber contained within a second group of processing chambers in the first processing rack using a first robot assembly; transferring the substrate from at least one processing chamber contained within the second group of processing chambers in the first processing rack to a first group of processing chambers in the second processing rack using a second robot assembly; transferring the substrate from at least one processing chamber contained within a first group of processing chambers in the first processing rack to a first processing module positioned in an interface assembly using a third robot assembly; transferring the substrate from at least one processing chamber contained within a first group of processing chambers in the second processing rack to a second processing module positioned in the interface assembly using a fourth robot assembly; and transferring the substrate from the first processing module or the second processing to an external module using a fifth robot assembly, wherein the external module is selected from a group consisting of a stepper and a scanner.
19. The method of claim 18, further comprising transferring a substrate from one or more processing chambers contained within the first group of two or more vertically stacked processing chambers in the first processing rack to one or more processing 00954OPC06/FEG/SYNX/HMM
chambers contained within a third group of two or more vertically stacked processing chambers in the first processing rack using the sixth robot assembly that is positioned between the first and second robot assemblies.
20. The method of claim 18, further comprising: transferring a substrate from a cassette positioned in a front-end module to a pass-through chamber using the sixth robot assembly that is positioned in the front-end module which is adjacent to one or more of the vertically stacked processing chambers contained within the first and second processing racks; and transferring a substrate from the pass-through chamber to a processing module positioned in the vertically stacked processing chambers contained within the first or second processing racks using the first robot assembly or the second robot assembly.
PCT/US2007/077883 2006-09-08 2007-09-07 Cartesian cluster tool configuration for lithography type processes WO2008031031A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/530,297 US7819079B2 (en) 2004-12-22 2006-09-08 Cartesian cluster tool configuration for lithography type processes
US11/530,297 2006-09-08

Publications (2)

Publication Number Publication Date
WO2008031031A2 true WO2008031031A2 (en) 2008-03-13
WO2008031031A3 WO2008031031A3 (en) 2009-03-05

Family

ID=39158094

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/077883 WO2008031031A2 (en) 2006-09-08 2007-09-07 Cartesian cluster tool configuration for lithography type processes

Country Status (3)

Country Link
US (1) US7819079B2 (en)
TW (1) TW200822183A (en)
WO (1) WO2008031031A2 (en)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5006122B2 (en) 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5318403B2 (en) 2007-11-30 2013-10-16 株式会社Sokudo Substrate processing equipment
JP5179170B2 (en) 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5359285B2 (en) * 2009-01-07 2013-12-04 東京エレクトロン株式会社 Processing device and operating method of processing device
JP2010177673A (en) * 2009-01-30 2010-08-12 Semes Co Ltd Apparatus and method for treating substrate
EP2399270B1 (en) 2009-02-22 2013-06-12 Mapper Lithography IP B.V. Charged particle lithography apparatus
EP2399271B1 (en) * 2009-02-22 2013-01-16 Mapper Lithography IP B.V. Lithography machine and substrate handling arrangement
JP5392190B2 (en) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 Substrate processing system and substrate processing method
TWI451521B (en) * 2010-06-21 2014-09-01 Semes Co Ltd Substrate treating apparatus and substrate treating method
CH704406A1 (en) * 2011-01-31 2012-07-31 Kringlan Composites Ag A process for the manufacture of preforms.
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
WO2015022125A1 (en) * 2013-08-16 2015-02-19 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10459341B2 (en) 2018-01-30 2019-10-29 Applied Materials, Inc. Multi-configuration digital lithography system
JP7181068B2 (en) * 2018-11-30 2022-11-30 株式会社Screenホールディングス Substrate processing equipment
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
KR102240925B1 (en) * 2019-07-17 2021-04-15 세메스 주식회사 Apparatus for Processing Substrate and Substrates transfer apparatus
US11251064B2 (en) * 2020-03-02 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer frame sorter and stocker
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN113644005A (en) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 Semiconductor processing system
KR20230029443A (en) * 2021-08-24 2023-03-03 주식회사 케이씨텍 Substrate cleaning line and substrate cleaning system comprising the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060182536A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot cluster tool architecture

Family Cites Families (542)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (en) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (en) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (en) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Device for controlling automatically steered road vehicles in a container loading system
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (en) 1997-05-07 2002-01-21 東京エレクトロン株式会社 Substrate processing equipment
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (en) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 Substrate rotation holding device for rotary substrate processing equipment
US4984597B1 (en) 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4634655A (en) 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (en) 1985-04-17 1993-02-18 Hitachi Ltd GRIPPER TOOL.
JPS61178187U (en) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278828A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Surface processing and apparatus thereof
JPS6278826A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Method for surface treatment and device thereof
US4639026A (en) * 1985-10-11 1987-01-27 Schlage Lock Company Door knob and door knob catch arrangement
JPH0533006Y2 (en) 1985-10-28 1993-08-23
JPS62129846A (en) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd Method and apparatus for coating photoresist
JPH0621769B2 (en) 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 Pattern defect detection method and device
JPS62247085A (en) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd Processing of thin metallic plate by photoetching
JPH0621346B2 (en) 1986-06-11 1994-03-23 日本鉱業株式会社 Method for manufacturing high-purity metal tantalum target
JPS6314434A (en) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS6377569A (en) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd Rotary type surface treatment device for substrate
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (en) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd Positioning and holding device for photosensitive material
JPS63133545A (en) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd Substrate transferring transporting device for thermal treatment equipment
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
EP0355100B1 (en) 1987-03-31 1992-11-25 Siemens Aktiengesellschaft Industrial robot
DE3712281A1 (en) 1987-04-10 1988-10-27 Heraeus Gmbh W C METHOD FOR PRODUCING HIGHLY DUCTILE TANTALE SEMI-FINISHED PRODUCTS
JPS63271931A (en) 1987-04-28 1988-11-09 Tokyo Electron Ltd Development device
JPS63191348U (en) 1987-05-27 1988-12-09
JPH0333058Y2 (en) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (en) 1987-07-13 1991-10-29
JPS6419351A (en) 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
JPH0617295Y2 (en) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 Substrate transfer device
JPH0623935B2 (en) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 Heat treatment control method with improved reproducibility
KR970006206B1 (en) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 Automatic coating system
KR970003907B1 (en) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Resist process system and resist processing method
KR970011644B1 (en) 1988-04-08 1997-07-12 고다까 토시오 Coating device
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (en) 1988-06-03 1999-01-13 東京エレクトロン株式会社 Processing equipment
JPH06103687B2 (en) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 Rotational surface treatment method, treatment end point detection method in rotation type surface treatment, and rotation type surface treatment device
JPH069501Y2 (en) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 Substrate rotary dryer
JPH02137852A (en) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd Development end point detecting method for photoresist
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (en) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 Substrate surface treatment method
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (en) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
JPH03136232A (en) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd Substrate surface treating device
JPH0734426Y2 (en) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 Photosensitive material detector
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (en) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2704309B2 (en) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate heat treatment method
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
EP0468409B1 (en) 1990-07-23 1995-10-04 Dainippon Screen Mfg. Co., Ltd. Interface apparatus for transporting substrates between substrate processing apparatus
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2843134B2 (en) 1990-09-07 1999-01-06 東京エレクトロン株式会社 Coating device and coating method
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2892476B2 (en) 1990-09-14 1999-05-17 東京エレクトロン株式会社 Band-shaped liquid nozzle, liquid processing apparatus and liquid processing method
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
EP0482479B1 (en) 1990-10-23 1998-03-18 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for processing photosensitive material
JP2769645B2 (en) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 Sensitive material processing equipment
KR100230753B1 (en) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 Liquid coating system
JPH081922B2 (en) 1991-01-25 1996-01-10 株式会社東芝 Wafer-holding device
JP3241058B2 (en) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 Rotary coating device and rotary coating method
TW204411B (en) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
KR0167572B1 (en) 1991-09-20 1999-02-01 이노우에 아키라 Apparatus of coating wafer
JPH0590238A (en) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd Substrate rotary holding jig of pivoted substrate treating device
EP0894542B1 (en) 1991-10-04 2004-01-21 Cfmt, Inc. Ultracleaning of involuted microparts
JP2639771B2 (en) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
JP2622046B2 (en) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 Substrate transfer device
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (en) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 Notched wafer position detector
JP2972970B2 (en) 1992-04-24 1999-11-08 東京エレクトロン株式会社 Processing equipment
JP2906006B2 (en) 1992-10-15 1999-06-14 東京エレクトロン株式会社 Processing method and apparatus
KR970011065B1 (en) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 Board changing apparatus and method in board handling system
JP2870719B2 (en) 1993-01-29 1999-03-17 東京エレクトロン株式会社 Processing equipment
JPH06244095A (en) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd Substrate cooling device
US5485644A (en) 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
CN1059967C (en) 1993-03-25 2000-12-27 东京电子株式会社 Method of forming coating film and apparatus therefor
JP2907676B2 (en) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 Processing liquid supply device for rotary substrate processing equipment
KR100248565B1 (en) 1993-03-30 2000-05-01 다카시마 히로시 Resist processing method and apparatus
JP3347814B2 (en) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
TW268905B (en) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (en) 1993-06-10 1999-05-10 東京エレクトロン株式会社 Processing equipment
DE69402918T2 (en) 1993-07-15 1997-08-14 Applied Materials Inc Substrate catcher and ceramic sheet for semiconductor processing equipment
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
DE634699T1 (en) 1993-07-16 1996-02-15 Semiconductor Systems Inc Grouped photolithographic system.
DE634783T1 (en) 1993-07-16 1996-02-15 Semiconductor Systems Inc Thermal treatment module for coating / developing device for substrate.
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
JP3142195B2 (en) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 Chemical supply device
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (en) 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 Silica-based coating liquid ejector
JPH07115058A (en) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd Board cooling device
JP2845738B2 (en) 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 Substrate rotation holder for rotary substrate processing equipment
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (en) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd Developing method and device
JPH07245285A (en) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd Board processor
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
JP2994553B2 (en) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 Substrate processing equipment
KR100198477B1 (en) 1994-04-08 1999-06-15 이시다 아키라 Substrate treating device
JPH07297258A (en) 1994-04-26 1995-11-10 Tokyo Electron Ltd Carrying equipment of plate body
JP3073886B2 (en) 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (en) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 Substrate processing equipment
US5715173A (en) 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
JP3116297B2 (en) 1994-08-03 2000-12-11 東京エレクトロン株式会社 Processing method and processing apparatus
TW285779B (en) 1994-08-08 1996-09-11 Tokyo Electron Co Ltd
US5689749A (en) 1994-08-31 1997-11-18 Tokyo Electron Limited Apparatus for developing a resist-coated substrate
TW294821B (en) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (en) 1994-09-09 2000-10-16 東京エレクトロン株式会社 Coating apparatus and method
JP3033009B2 (en) 1994-09-09 2000-04-17 東京エレクトロン株式会社 Processing equipment
JP3122868B2 (en) 1994-09-29 2001-01-09 東京エレクトロン株式会社 Coating device
US5625433A (en) 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (en) 1994-10-26 2000-06-12 東京エレクトロン株式会社 Heat treatment equipment
KR100370728B1 (en) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. Method of uniformly coating a substrate and device therefor
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (en) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd Treating device for long size material
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (en) 1995-01-19 2002-04-22 東京エレクトロン株式会社 Processing device and processing method
US5618348A (en) 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (en) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd Substrate processor
JP3350278B2 (en) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 Substrate processing equipment
US5711809A (en) 1995-04-19 1998-01-27 Tokyo Electron Limited Coating apparatus and method of controlling the same
JP3401121B2 (en) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 Rotary coating device for substrates
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (en) 1995-05-12 2000-04-04 東京エレクトロン株式会社 Heat treatment equipment
JPH08316190A (en) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
KR100226326B1 (en) 1995-06-19 1999-10-15 이시다 아키라 Violet exposing apparatus and treating system of substrate
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
JPH0945611A (en) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd Spin coater of substrate
JP2676334B2 (en) 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
JP3518948B2 (en) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 Substrate rotation processing equipment
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JP3552178B2 (en) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 Substrate storage cassette, interface mechanism and substrate processing device
JPH0990643A (en) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd Substrate treating device
JPH09107013A (en) 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd Substrate transferer
JPH09106934A (en) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd Wafer developing device
JP3227642B2 (en) 1995-10-13 2001-11-12 東京エレクトロン株式会社 Coating device
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (en) 1995-11-22 2002-02-28 이시다 아키라 Substrate detection and transfer apparatus in cassette and method thereof
JP3380663B2 (en) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
JP3892493B2 (en) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 Substrate processing system
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (en) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd Deaerator of treatment liquid for substrate
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (en) 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 Substrate processing equipment
US5704493A (en) 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (en) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 Substrate processing equipment
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
US6228561B1 (en) 1996-02-01 2001-05-08 Tokyo Electron Limited Film forming method and film forming apparatus
JP3377909B2 (en) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 Substrate processing equipment
JP3462657B2 (en) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 Thin film forming apparatus and thin film forming method
JP3476305B2 (en) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 Rotary substrate processing equipment
JP3218425B2 (en) 1996-03-25 2001-10-15 東京エレクトロン株式会社 Processing method and processing apparatus
DE19613620C2 (en) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Method and device for drying substrates
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (en) 1996-04-23 2001-01-15 이시다 아키라 Substrate Temperature Control Method, Substrate Heat Treatment Apparatus and Substrate Support Apparatus
DE19654903C2 (en) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Device for treating substrates in a fluid container
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (en) 1996-05-08 2002-05-13 東京エレクトロン株式会社 Development processing method and development processing apparatus
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (en) 1996-05-28 2004-04-05 東京エレクトロン株式会社 Method and apparatus for forming coating film
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
JP3597639B2 (en) 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JPH1022358A (en) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
JP3343033B2 (en) 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 Substrate processing equipment
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
DE69731515T2 (en) 1996-08-14 2005-10-27 Mitsubishi Paper Mills Limited Apparatus for the treatment of photosensitive material
JP3442934B2 (en) 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 Substrate processing equipment
JP3227595B2 (en) 1996-08-20 2001-11-12 東京エレクトロン株式会社 Development processing method and development processing apparatus
JP3278714B2 (en) 1996-08-30 2002-04-30 東京エレクトロン株式会社 Coating film forming equipment
JP3254574B2 (en) 1996-08-30 2002-02-12 東京エレクトロン株式会社 Method and apparatus for forming coating film
JP3245812B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JP3245769B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JPH1074818A (en) 1996-09-02 1998-03-17 Tokyo Electron Ltd Treating device
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (en) 1996-09-06 2006-05-24 東京エレクトロン株式会社 Processing system
TW535216B (en) 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3202929B2 (en) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 Processing system
US6053058A (en) 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (en) 1996-10-08 2001-01-15 이시다 아키라 Substrate Processing Equipment
CH697146A5 (en) 1996-10-09 2008-05-15 Tec Sem Ag Gripping device for handling wafers.
JP3420900B2 (en) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 Coating liquid application method
JP3540524B2 (en) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP3471543B2 (en) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 Rotary substrate drying equipment
JPH10144757A (en) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JPH10144599A (en) 1996-11-11 1998-05-29 Tokyo Electron Ltd Rotary treatment equipment and its washing method
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (en) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 Coating liquid application method
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (en) 1996-11-27 2002-01-15 東京エレクトロン株式会社 Coating film forming equipment
JP3566475B2 (en) 1996-12-03 2004-09-15 東京エレクトロン株式会社 Processing equipment
TW382749B (en) 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (en) 1996-12-25 2002-10-07 東京エレクトロン株式会社 Coating device
KR100283442B1 (en) 1996-12-26 2001-04-02 이시다 아키라 Developing apparatus and developing method
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (en) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd Substrate processor
JP3579228B2 (en) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 Substrate processing equipment
JP3578577B2 (en) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 Processing solution supply method and apparatus
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP3559133B2 (en) 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 Heat treatment equipment and substrate processing equipment
JP3410342B2 (en) 1997-01-31 2003-05-26 東京エレクトロン株式会社 Coating device
JP3280880B2 (en) 1997-02-07 2002-05-13 東京エレクトロン株式会社 Degassing mechanism and processing apparatus using the same
JP3321540B2 (en) 1997-02-14 2002-09-03 東京エレクトロン株式会社 Deaeration mechanism, processing apparatus using the same, and deaeration method
JP3346716B2 (en) 1997-02-14 2002-11-18 東京エレクトロン株式会社 Substrate cooling method and substrate cooling device
DE69814710T2 (en) 1997-03-03 2004-03-18 Tokyo Electron Ltd. Coating device and method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
US6138695A (en) 1997-03-07 2000-10-31 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP3442253B2 (en) 1997-03-13 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
JP3526184B2 (en) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
JP3693783B2 (en) 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3548373B2 (en) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
US6117486A (en) 1997-03-31 2000-09-12 Tokyo Electron Limited Photoresist coating method and apparatus
JP3549141B2 (en) 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 Substrate processing device and substrate holding device
JP3715073B2 (en) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 Heat treatment equipment
JP3612196B2 (en) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 Developing apparatus, developing method, and substrate processing apparatus
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
US6207231B1 (en) 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
JP3917237B2 (en) 1997-05-20 2007-05-23 東京エレクトロン株式会社 Resist film forming method
JP3737604B2 (en) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 Substrate processing equipment
JPH113851A (en) 1997-06-11 1999-01-06 Tokyo Electron Ltd Liquid treatment device and liquid treatment method
TW396382B (en) 1997-07-03 2000-07-01 Tokyo Electron Ltd Solution treatment apparatus
TW384505B (en) 1997-07-04 2000-03-11 Tokyo Electron Ltd Coating device
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
JPH1133471A (en) 1997-07-23 1999-02-09 Tokyo Electron Ltd Coating apparatus
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (en) 1997-08-01 2002-03-11 東京エレクトロン株式会社 Liquid film forming apparatus and method
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (en) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd Substrate processing device and substrate carrying-in/ out device
US6354311B1 (en) 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (en) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 Substrate processing unit and substrate processing apparatus using the same
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3988805B2 (en) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 Substrate transfer method and apparatus
US6174371B1 (en) 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (en) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 Substrate processing equipment
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
US6352083B1 (en) 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
JP2002504744A (en) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド System and method for handling non-workpieces subjected to vacuum processing with low contamination and high throughput
JP3320648B2 (en) 1997-12-04 2002-09-03 東京エレクトロン株式会社 Resist film forming method and resist film forming apparatus
US6177133B1 (en) 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6190063B1 (en) 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (en) 1998-01-19 2002-09-30 東京エレクトロン株式会社 Coating device
JP3323797B2 (en) 1998-01-21 2002-09-09 東京エレクトロン株式会社 Hydrophobic treatment device
JP3246891B2 (en) 1998-02-03 2002-01-15 東京エレクトロン株式会社 Heat treatment equipment
JP3356676B2 (en) 1998-02-04 2002-12-16 東京エレクトロン株式会社 Development processing method and apparatus
US6291800B1 (en) 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6018616A (en) 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (en) 1998-03-09 1999-09-24 Tokyo Electron Ltd Method and apparatus for development
US6359264B1 (en) 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
JPH11274024A (en) 1998-03-18 1999-10-08 Tokyo Electron Ltd Method and device for supplying treatment liquid
US6368776B1 (en) 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3381776B2 (en) 1998-05-19 2003-03-04 東京エレクトロン株式会社 Processing device and processing method
TW425618B (en) 1998-05-19 2001-03-11 Tokyo Electron Ltd Coating apparatus and coating method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (en) 1998-05-26 1999-12-10 Tokyo Electron Ltd Method and device for development processing
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (en) 1998-06-05 2003-01-08 東京エレクトロン株式会社 Coating film forming apparatus and method
US6183147B1 (en) 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (en) 1998-06-24 2003-09-16 東京エレクトロン株式会社 Multi-stage spin type substrate processing system
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP3461725B2 (en) 1998-06-26 2003-10-27 東京エレクトロン株式会社 Treatment liquid supply device and treatment liquid supply method
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (en) 1998-08-05 2000-02-25 Tokyo Electron Ltd Method for treating substrate
KR100535714B1 (en) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 Substrate process apparatus
KR100537040B1 (en) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 Developing apparatus
JP3453069B2 (en) 1998-08-20 2003-10-06 東京エレクトロン株式会社 Substrate temperature controller
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6203969B1 (en) 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6689215B2 (en) 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (en) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd Articulated robot
JP3458063B2 (en) 1998-11-20 2003-10-20 東京エレクトロン株式会社 Coating device and coating method
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
JP3800282B2 (en) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 Coating liquid application method
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
EP1135795B1 (en) 1998-12-02 2008-03-12 Newport Corporation Specimen holding robotic arm end effector
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (en) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 Line printer device
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (en) 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
US6654668B1 (en) 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
US6169274B1 (en) 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (en) 1999-04-02 2003-04-07 東京エレクトロン株式会社 Developing method and developing device
KR100585448B1 (en) 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 Film forming method and film forming apparatus
US6319317B1 (en) 1999-04-19 2001-11-20 Tokyo Electron Limited Coating film forming method and coating apparatus
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP3587723B2 (en) 1999-04-30 2004-11-10 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (en) 1999-05-31 2005-02-02 東京エレクトロン株式会社 Liquid treatment apparatus, treatment liquid supply nozzle used therefor, and liquid treatment method
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
TW451274B (en) 1999-06-11 2001-08-21 Tokyo Electron Ltd Substrate processing apparatus
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100704749B1 (en) 1999-07-19 2007-04-09 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6332723B1 (en) 1999-07-28 2001-12-25 Tokyo Electron Limited Substrate processing apparatus and method
KR100629746B1 (en) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 Developing apparatus and method thereof
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (en) 1999-08-19 2001-03-06 Tokyo Electron Ltd Method for forming resist pattern
KR100700764B1 (en) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (en) 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (en) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd Heat treatment device and substrate treatment device
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6364547B1 (en) 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
SG93901A1 (en) 1999-10-25 2003-01-21 Tokyo Electron Ltd Substrate processing system and substrate processing method
US6602382B1 (en) 1999-10-26 2003-08-05 Tokyo Electron Limited Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (en) 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
TW535192B (en) 1999-11-16 2003-06-01 Tokyo Electron Ltd Substrate processing unit and processing method
KR100728244B1 (en) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 Silylation treatment unit and method
US6461438B1 (en) 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
JP4090648B2 (en) 1999-11-18 2008-05-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3306398B2 (en) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 Substrate transfer device and transfer teaching system
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
TW475212B (en) 1999-12-17 2002-02-01 Tokyo Electron Ltd Coating film forming apparatus
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
US6676757B2 (en) 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
KR100773165B1 (en) 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 Semiconductor wafer processing apparatus and processing method
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (en) 2000-02-07 2003-08-18 タツモ株式会社 Substrate transfer device
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (en) 2000-02-18 2001-08-24 Tokyo Electron Ltd Method and apparatus for supplying treatment liquid
JP3842512B2 (en) 2000-02-24 2006-11-08 オムロン株式会社 Fluid heating device
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
JP2001257144A (en) 2000-03-09 2001-09-21 Tokyo Electron Ltd Heat treatment apparatus for substrate
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (en) 2000-03-27 2005-10-12 東京エレクトロン株式会社 Treatment liquid supply apparatus and treatment liquid supply method
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP2003529930A (en) 2000-03-30 2003-10-07 東京エレクトロン株式会社 Dry silylation plasma etching method
JP2001291655A (en) 2000-04-07 2001-10-19 Tokyo Electron Ltd Method for evaluating hydrophobic treatment, method for forming resist pattern, and formation system for the resist pattern
JP3792986B2 (en) 2000-04-11 2006-07-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3519669B2 (en) 2000-04-25 2004-04-19 東京エレクトロン株式会社 Development processing method and development processing apparatus
TW593376B (en) 2000-04-27 2004-06-21 Shinetsu Chemical Co Polymer, chemically amplified resist composition and patterning process
JP3545676B2 (en) 2000-05-10 2004-07-21 東京エレクトロン株式会社 Development processing apparatus and development processing method
JP3648129B2 (en) 2000-05-10 2005-05-18 東京エレクトロン株式会社 Coating development processing method and coating development processing system
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (en) 2000-05-31 2001-12-14 Tokyo Electron Ltd System and method for treating substrate
JP2001351848A (en) 2000-06-07 2001-12-21 Tokyo Electron Ltd Substrate treatment system and substrate treatment method
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (en) 2000-06-16 2001-12-26 Tokyo Electron Ltd Substrate-processing apparatus
JP3585217B2 (en) 2000-07-03 2004-11-04 東京エレクトロン株式会社 Substrate processing equipment
JP3581303B2 (en) 2000-07-31 2004-10-27 東京エレクトロン株式会社 Discrimination method and processing device
JP2002134402A (en) 2000-08-15 2002-05-10 Tokyo Electron Ltd Substrate processing method and device thereof
CN100398272C (en) 2000-09-01 2008-07-02 阿赛斯特技术公司 Edge grip aligner with buffering capabilities
JP4004248B2 (en) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate inspection method
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (en) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 Substrate processing method and substrate processing apparatus
JP3587776B2 (en) 2000-10-10 2004-11-10 東京エレクトロン株式会社 Coating device and coating method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6550988B2 (en) 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
JP3616748B2 (en) 2000-11-07 2005-02-02 東京エレクトロン株式会社 Development processing method, development processing apparatus, and processing apparatus
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
JP3741604B2 (en) 2000-11-27 2006-02-01 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (en) 2000-12-08 2007-07-11 東京エレクトロン株式会社 Coating, developing device and pattern forming method
JP2002184671A (en) 2000-12-14 2002-06-28 Tokyo Electron Ltd Method and system for substrate treatment
JP3702175B2 (en) 2000-12-19 2005-10-05 東京エレクトロン株式会社 Heat treatment apparatus and method, and pattern formation method
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
JP4124400B2 (en) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 Substrate processing equipment
KR100848772B1 (en) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US6692165B2 (en) 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
JP3713447B2 (en) 2001-04-05 2005-11-09 東京エレクトロン株式会社 Development processing equipment
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
JP4435443B2 (en) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 Substrate transport apparatus and substrate transport method
JP4025030B2 (en) 2001-04-17 2007-12-19 東京エレクトロン株式会社 Substrate processing apparatus and transfer arm
JP3967618B2 (en) 2001-04-17 2007-08-29 東京エレクトロン株式会社 Substrate processing method and substrate processing system
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
KR100488376B1 (en) 2001-04-27 2005-05-11 가부시키가이샤 고베 세이코쇼 Substrate processing method and substrate processing arrangements
JP4006191B2 (en) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 Optical fiber coupling equipment
JP3934362B2 (en) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 Element support device
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (en) 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (en) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 Method of forming coating film and apparatus for forming coating film
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6683006B2 (en) 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
CN1288519C (en) 2001-07-13 2006-12-06 Fsi国际股份有限公司 Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP3725051B2 (en) 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 Substrate processing equipment
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP3869306B2 (en) 2001-08-28 2007-01-17 東京エレクトロン株式会社 Development processing method and developer coating apparatus
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (en) 2001-09-14 2006-11-15 東京エレクトロン株式会社 Coating film forming device
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (en) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 Substrate processing apparatus schedule creation method and program thereof
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (en) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (en) 2001-10-19 2005-11-24 東京エレクトロン株式会社 Developing apparatus and developing method
JP4018958B2 (en) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
JP3751246B2 (en) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 Thin film forming apparatus and conveying method
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP3910054B2 (en) 2001-12-10 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
JP2003257849A (en) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd Substrate developing and processing device
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (en) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd Apparatus and method for treating board
JP3992601B2 (en) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 Chemical treatment equipment
JP4195227B2 (en) 2002-02-22 2008-12-10 東京エレクトロン株式会社 Introducing port structure of workpiece
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3811082B2 (en) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
JP3688264B2 (en) 2002-03-20 2005-08-24 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3939178B2 (en) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 High pressure drying apparatus, high pressure drying method and substrate processing apparatus
JP4274736B2 (en) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4342147B2 (en) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 Substrate processing equipment
JP2003347186A (en) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd Substrate treatment device
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP4233285B2 (en) 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 Substrate processing equipment
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (en) 2003-03-14 2008-02-27 株式会社豊電子工業 Hand device for work robot
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4356936B2 (en) 2005-01-21 2009-11-04 東京エレクトロン株式会社 Coating and developing apparatus and method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060182536A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot cluster tool architecture

Also Published As

Publication number Publication date
US7819079B2 (en) 2010-10-26
US20070144439A1 (en) 2007-06-28
TW200822183A (en) 2008-05-16
WO2008031031A3 (en) 2009-03-05

Similar Documents

Publication Publication Date Title
US7819079B2 (en) Cartesian cluster tool configuration for lithography type processes
US7374393B2 (en) Method of retaining a substrate during a substrate transferring process
US8911193B2 (en) Substrate processing sequence in a cartesian robot cluster tool
US7651306B2 (en) Cartesian robot cluster tool architecture
KR100960765B1 (en) Cartesian robot cluster tool architecture
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
US20060182535A1 (en) Cartesian robot design
US7699021B2 (en) Cluster tool substrate throughput optimization
JP3202929B2 (en) Processing system
US20080166210A1 (en) Supinating cartesian robot blade
US20080051930A1 (en) Scheduling method for processing equipment
US8702370B2 (en) Substrate transfer method for performing processes including photolithography sequence
SG177839A1 (en) Coating and developing apparatus and method
US20190019698A1 (en) Substrate treating apparatus
JP4279102B2 (en) Substrate processing apparatus and substrate processing method
US8046095B2 (en) Substrate processing system and substrate transfer method
EP1842225A2 (en) Cluster tool architecture for processing a substrate
KR101187844B1 (en) Small lot size lithography bays

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07842052

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07842052

Country of ref document: EP

Kind code of ref document: A2