WO2008027216A2 - Processes and integrated systems for engineering a substrate surface for metal deposition - Google Patents

Processes and integrated systems for engineering a substrate surface for metal deposition Download PDF

Info

Publication number
WO2008027216A2
WO2008027216A2 PCT/US2007/018270 US2007018270W WO2008027216A2 WO 2008027216 A2 WO2008027216 A2 WO 2008027216A2 US 2007018270 W US2007018270 W US 2007018270W WO 2008027216 A2 WO2008027216 A2 WO 2008027216A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
copper
transfer chamber
integrated system
vacuum
Prior art date
Application number
PCT/US2007/018270
Other languages
French (fr)
Other versions
WO2008027216A3 (en
WO2008027216A9 (en
Inventor
Yezdi Dordi
Fritz C. Redeker
John Boyd
William Thie
Tiruchirapalli Arunagiri
Arthur M. Howald
Hyungsuk Alexander Yoon
Johan Vertommen
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/514,038 external-priority patent/US8241701B2/en
Priority claimed from US11/513,446 external-priority patent/US8747960B2/en
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020097004276A priority Critical patent/KR101506352B1/en
Priority to CN200780032409.XA priority patent/CN101558186B/en
Priority to JP2009526621A priority patent/JP5489717B2/en
Publication of WO2008027216A2 publication Critical patent/WO2008027216A2/en
Publication of WO2008027216A3 publication Critical patent/WO2008027216A3/en
Publication of WO2008027216A9 publication Critical patent/WO2008027216A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • Integrated circuits use conductive interconnects to wire together the individual devices on a semiconductor substrate, or to communicate externally to the integrated circuit.
  • Interconnect metallization for vias and trenches may include aluminum alloys and copper.
  • Electro-migration (EM) is a well-known reliability problem for metal interconnects, caused by electrons pushing and moving metal atoms in the direction of current flow at a rate determined by the current density. Electro-migration can eventually lead to the thinning of the metal line, which can result in higher resistivity or, worst case, a metal line breakage. Fortunately, not every interconnect metal line on an IC has current moving in the same direction all the time, as it mostly does in power supply and ground lines. However, as metal lines get narrower (International Technology Roadmap for Semiconductors (ITRS) calls for a ⁇ 0.7 ⁇ reduction in the line width for every technology node), electro-migration becomes more of an issue.
  • ITRS International Technology Roadmap for Semiconductors
  • EM is a bulk phenomenon and is well controlled by the addition of small amounts of a dopant, such as copper.
  • EM in copper lines is a surface phenomenon. It can occur wherever the copper is free to move, typically at an interface where there is poor adhesion between the copper and another material. In today's dual-damascene process, this happens most often on the top of the copper line where it interfaces with what is typically a SiC diffusion barrier layer, but it can also happen at the copper/barrier interface. With each migration to the next technology node, and resulting increase in current density, the problem worsens.
  • trenches and holes are etched in the dielectric, then lined with a barrier material, such as tantalum (Ta), tantalum nitride (TaN), or a combination of both films, followed by the deposition of a copper seed layer, copper electroplating, copper planarization using CMP and then deposition of a dielectric stack, such as SiC/low-k/SiC. Since an oxide readily forms on copper when copper is exposed to air, proper post-CMP cleaning and removal of the copper oxide before capping the copper with SiC is required to ensure good adhesion between copper and SiC. Removal of the copper oxide prior to the SiC deposition is essential to good EM performance and reducing resultant metal resistivity.
  • a barrier material such as tantalum (Ta), tantalum nitride (TaN), or a combination of both films
  • the cobalt-alloy layers 20, 30 can also exhibit certain copper diffusion barrier characteristics.
  • the cobalt-alloy capping layers can be selectively deposited on copper by electroless deposition. However, the electroless deposition can be inhibited by thin copper oxide layer, which can be formed when copper is exposed to air. Further, contaminants on the copper and dielectric surfaces can cause pattern-dependent plating effects include pattern- dependent thickness of the Co alloy, as well as pattern-dependent copper line thickness loss in part due to etching during the 'incubation' time required to initiate the Co plating reaction.
  • the processing environment it is important to control the processing environment to limit (or control) the growth of native copper oxide, and to remove copper oxide and organic contaminants on the copper surface and" organic and metallic contaminants on the dielectric surface immediately prior to depositing the metallic capping layer, such as a cobalt-alloy.
  • the dielectric surface must be controlled to normalize its influence across structures of different pattern densities.
  • Engineering the metal-to-metal interface between the copper layers 23, 33, between copper and barrier layers 33 and 34, 23 and 24, and the adhesion promoting layers (or metallic capping layers), such as the cobalt-alloy layers 20, 20, is very critical in ensuring good interfacial adhesion and good EM performance.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the embodiments fill the need by providing improved processes and systems that produce an improved metal-to-metal interface or a silicon-to-metal interface to enhance electro-migration performance, provide lower metal resistivity, and improve metal-to-metal or silicon-to-metal interfacial adhesion for copper interconnects.
  • the present invention can be implemented in numerous ways, including as a solution, a method, a process, an apparatus, or a system. Several inventive embodiments of the present invention are described below.
  • a method of preparing a substrate surface of a substrate to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of a copper interconnect of the substrate in an integrated system to improve electromigration performance of the copper interconnect includes removing contaminants and metal oxides from the substrate surface in the integrated system, and reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system. The method also includes selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface.
  • an integrated system for transferring and processing a substrate in controlled environment to enable selective deposition of a thin layer of a cobalt- alloy material on a copper surface of a copper interconnect to improve electromigration performance of the copper interconnect includes a lab- ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system, and a substrate cleaning reactor coupled to the lab-ambient transfer chamber, wherein the substrate cleaning reactor cleans the substrate surface to remove metal-organic complex contaminants on the substrate surface.
  • the system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber, and a vacuum process module for removing organic contaminants from the substrate surface; wherein the vacuum process module is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the system further includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber.
  • the system includes an electroless cobalt-alloy material deposition process module used to deposit the thin layer of cobalt-alloy material on the copper surface of the copper interconnect after the substrate surface has been removed of metallic contaminants and organic contaminants, and the copper surface has been removed of copper oxide, the electroless cobalt-alloy material deposition process module being one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber and is filled with an inert gas selected from a group of inert gases and having a fluid delivery system where process fluids are de-gassed.
  • a method of preparing a substrate surface of a substrate to deposit a metallic barrier layer to line a copper interconnect structure of the substrate and to deposit a thin copper seed layer on a surface of the metallic barrier layer in an integrated system to improve electromigration performance of the copper interconnect includes cleaning an exposed surface of a underlying metal to remove surface metal oxide in the integrated system.
  • the underlying metal is part of a underlying interconnect electrically connected to the copper interconnect.
  • the method also includes depositing the metallic barrier layer to line the copper interconnect structure in the integrated system. After depositing the metallic barrier layer, the substrate is transferred and processed in controlled environment to prevent the formation of metallic barrier oxide.
  • the method further includes depositing the thin copper seed layer in the integrated system and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
  • a method of preparing a metallic barrier surface of a substrate to deposit a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect structure in an integrated system to improve electromigration performance of the copper interconnect structure includes reducing a surface of the metallic barrier layer to convert metallic barrier oxide on the surface of the metallic barrier layer to make the surface of the metallic barrier layer to be metallic-rich in the integrated system.
  • the method also includes depositing the thin copper seed layer in the integrated system, and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
  • an integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system.
  • the integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr.
  • At least one vacuum process module is coupled to the vacuum transfer chamber.
  • the integrated system further includes a vacuum process module for cleaning an exposed surface of a metal oxide of a underlying metal in the integrated system ' .
  • the underlying metal is part of a underlying interconnect, the copper interconnect is electrically connected to the underlying interconnect.
  • the vacuum process module for cleaning is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the integrated system includes a vacuum process module for depositing the metallic barrier layer.
  • the vacuum process module for depositing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases. At least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber.
  • the integrated system further includes an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer.
  • the electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
  • an integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system.
  • the integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr. At least one vacuum process module is coupled to the vacuum transfer chamber.
  • the integrated system further includes a vacuum process module for reducing the metallic barrier layer.
  • the vacuum process module for reducing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases. At least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber.
  • the integrated system includes an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer.
  • the electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
  • a method of preparing a substrate surface of a substrate to selectively deposit a layer of a metal on a silicon or polysilicon surface of the substrate to form a metal suicide in an integrated system includes removing organic contaminants from the substrate surface in the integrated system, and reducing the silicon or polysilicon surface in the integrated system after removing organic contaminants to convert silicon oxide on the silicon or polysilicon surface to silicon. After reducing the silicon or polysilicon surface, the substrate is transferred and processed in controlled environment to prevent the formation of silicon oxide, the silicon or polysilicon surface is reduced to increase the selectivity of the metal on the silicon surface. The method also includes selectively depositing the layer of the metal on the silicon or polysilicon surface of substrate in the integrated system after reducing the silicon or polysilicon surface.
  • an integrated system for processing a substrate in controlled environment to enable selective deposition of a layer of a metal on a silicon surface of a substrate to form a metal silicide includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system.
  • the integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr.
  • At least one vacuum process module is coupled to the vacuum transfer chamber.
  • the integrated system further includes a vacuum process module for removing organic contaminants from the substrate surface. The vacuum process module for removing the organic contaminants is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the integrated system includes a vacuum process chamber for reducing the silicon surface.
  • the vacuum process module for reducing the silicon surface is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
  • the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled- ambient transfer chamber.
  • the integrated system further includes an electroless metal deposition process module used to selectively deposit the thin layer of the metal on the silicon surface after the silicon surface has been reduced, the electroless metal deposition process module is one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber.
  • Figure 1 shows an exemplary cross section interconnects.
  • Figures 2A-2D show cross sections of an interconnect structure at various stages of interconnect processing.
  • Figure 3 shows various forms of contaminants on substrate surface after metal CMP.
  • Figure 4A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
  • Figure 4B shows an exemplary system used to process a substrate through a process flow of Figure 4A.
  • Figures 5A-5C show cross sections of an interconnect structure at various stages of interconnect processing.
  • Figure 6A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
  • Figure 6B shows an exemplary system used to process a substrate through a process flow of Figure 6A.
  • Figures 7A-7C show cross sections of an interconnect structure at various stages of interconnect processing.
  • Figure 8A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
  • Figures 8B shows an exemplary system used to process a substrate through a process flow of Figure 8A.
  • Figures 9A-9E show cross sections of a metal line structure at various stages of processing.
  • Figure 1OA shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer.
  • Figure 1OB shows an exemplary system used to process a substrate through a process flow of Figure 1OA.
  • Figure 1OC shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer.
  • Figure 1OD shows an exemplary system used to process a substrate through a process flow of Figure 1OC.
  • Figure HA shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer and to prepare a copper surface for electrolessly depositing a cobalt-alloy.
  • Figure 1 IB shows an exemplary system used to process a substrate through a process flow of Figure HA.
  • Figures 12A-12D show cross sections of an interconnect structure at various stages of processing.
  • Figure 13A shows an exemplary process flow to prepare a barrier surface for electroless copper deposition and to prepare a copper surface for electrolessly depositing a cobalt-alloy.
  • Figure 13B shows an exemplary system used to process a substrate through a process flow of Figure 13 A.
  • Figures 14A-14D show cross section of a gate structure at various stages of forming metal suicide.
  • Figure 15 A shows an exemplary process flow to prepare exposed silicon surface to form a metal suicide.
  • Figure 15B shows an exemplary system used to process a substrate through a process flow of Figure 15 A.
  • Figure 16 shows a schematic diagram of system integration for an integrated system with ambient-controlled processing environments.
  • FIG. 2A shows an exemplary cross-section of an interconnect structure(s) after being patterned by using a dual damascene process sequence.
  • the interconnect structure(s) is on a substrate 50 and has a dielectric layer 100, which was previously fabricated to form a metallization line 101 therein.
  • the metallization line is typically fabricated by etching a trench into the dielectric 100 and then filling the trench with a conductive material, such as copper.
  • barrier layer 120 used to prevent the copper material 122, from diffusing into the dielectric 100.
  • the barrier layer 120 can be made of PVD tantalum nitride (TaN), PVD tantalum (Ta), ALD TaN, or a combination of these films. Other barrier layer materials can also be used.
  • a barrier layer 102 is deposited over the planarized copper material 122 to protect the copper material 122 from premature oxidation when via holes 114 are etched through overlying dielectric materials 104, 106 to the barrier layer 102.
  • the barrier layer 102 is also configured to function as a selective etch stop and a copper diffusion barrier.
  • Exemplary barrier layer 102 materials include silicon nitride (SiN) or silicon carbide (SiC).
  • a via dielectric layer 104 is deposited over the barrier layer 102.
  • the via dielectric layer 104 can be made of an organo-silicate glass (OSG, carbon-doped silicon oxide) or other types of dielectric materials, preferably with low dielectric constants.
  • Exemplary silicon dioxides can include, a PECVD un-doped TEOS silicon dioxide, a PECVD fluorinated silica glass (FSG), a HDP FSG, OSG, porous OSG, etc. and the like.
  • Commercially available dielectric materials including Black Diamond (T) and Black Diamond (H) by Applied Materials of Santa Clara, California, Coral by Novellus Systems of San Jose, Aurora by ASM America Inc. of Phoenix, Arizona, can also be used.
  • the trench dielectric layer 106 may be a low K dielectric- material, such as a carbon-doped oxide (C-oxide).
  • the dielectric constant of the low K dielectric material can be about 3.0 or lower.
  • both the via and trench dielectric layers are made of the same material, and deposited at the same time to form a continuous film. After the trench dielectric layer 106 is deposited, the substrate 50 that holds the structure(s) undergoes patterning and etching processes to form the vias holes 114 and trenches 116 by known art.
  • FIG. 2B shows that after the formation of vias holes 114 and trenches 116, a barrier layer 130 and a copper layer 132 are deposited to line and fill the via holes 114 and the trenches 116.
  • the barrier layer 130 can be made of tantalum nitride (TaN), tantalum (Ta), Ruthenium (Ru), or a hybrid combination of these films. While these are the commonly considered materials, other barrier layer materials can also be used.
  • a copper film 132 is then deposited to fill the via holes 114 and the trenches 116.
  • substrate 50 is planarized by chemical-mechanical polishing (CMP) to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 2C.
  • CMP chemical-mechanical polishing
  • the next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 2D.
  • a cobalt-alloy include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process.
  • the thickness of the adhesion- promoting layer can be as thin as a monolayer, which is only a few angstroms, such as 5 angstroms, to a thicker layer, such as 200 to 300 angstroms, which could also serve as a Cu diffusion barrier, eliminating the need for a dielectric cap.
  • CMP Chemical-mechanical polishing
  • BTA benzotrizole
  • Copper forms Cu-BTA complexes with BTA.
  • a substrate that has been processed through Cu CMP and post-CMP clean can contain copper residues in the form of a Cu-BTA complex, which is illustrated as open circles in Figure 3, on both the Cu lines and the adjacent dielectric.
  • Cu-BTA complexes on the dielectric need to be removed to prevent increased current leakage or metal shorting.
  • residues of Ta or other barrier material which is illustrated as open triangles in Figure 3 may be present in small amounts, in addition to various organic contaminants, which are illustrated as filled circles in Figure 3.
  • Cu-BTA complexes metal oxides, and organic contaminants are three major surface contaminants that must be removed from the substrate surface. Preparing a dielectric surface and a metal surface that are free of organics and metal-containing compound contaminants is challenging and requires multiple surface preparation steps that could include both wet and dry processes.
  • Figure 4A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over a post-CMP copper surface 140 of the dual- damascene via-trench structure shown in Figure 2C.
  • the substrate(s) used in the process flow 400 of Figure 4A have just finished metal CMP processing(s) to remove copper and barrier overburden layers, such as Ta and/or TaN. As described above in the paragraph related to Figure 3, there are various metallic and organic contaminants on the substrate surface.
  • the process starts at step 401 by removing metal-organic complex contaminants (or complexed metal-organic contaminants), such as Cu-BTA complex, and metal oxides from the substrate surface.
  • metal-organic complex contaminants or complexed metal-organic contaminants
  • Cu-BTA complex copper oxide
  • CuO x copper oxide
  • TaO y tantalum oxide
  • Metal complex and metal oxide can be removed by an Oz/ Ax sputtering process, or a wet chemical removal process in an 1- step or a 2-step wet chemical process sequence.
  • the preferred embodiment uses a wet process to remove the complexed metal and metal oxides.
  • the wet chemical removal process can use an organic acid, such as DeerClean offered by Kanto Chemical Co., Inc. of Japan or a semi-aqueous solvent, such as ESC 5800 offered by DuPont of Wilmington, Delaware, an organic base such as tetramethylammonium chloride (TMAH), complexing amines such as ethylene diamine, diethylene triamine, or proprietary chemistry such as ELD clean and Cap Clean 61, provided by Enthone, Inc. of West Haven, Connecticut.
  • TMAH tetramethylammonium chloride
  • Cu-BTA removal process can also reduce yield loss due to metal shorting or current leakage.
  • Cu-BTA complexes and other metal oxide contaminants are two key metal contaminants to be removed during this step, which can be done in either a controlled or uncontrolled ambient (or environment).
  • Cu-BTA can be removed by a wet clean process that involves a cleaning solution including tetramethylammonium hydroxide (TMAH), complexing amines such as ethylene diamine, diethylene triamine, or proprietary cleaning chemistries such as ELD clean and Cap Clean 61, provided by Enthone, Inc. of West Haven, Connecticut.
  • TMAH tetramethylammonium hydroxide
  • Metal oxides, specifically copper oxide can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. ⁇ 0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used.
  • the wet clean process can also remove other metal or metal oxide residues.
  • the organic contaminants can be removed by an oxidizing plasma such as an oxygen- containing plasma process in step 403.
  • the oxygen (O 2 ) plasma process is preferably conducted at a relatively low temperature of less than 120 0 C. High temperature O 2 plasma process tends to oxidize copper into a thicker layer, making it harder to reduce later. Therefore, a low temperature O 2 plasma process is preferred.
  • the O 2 plasma process can be a downstream plasma process.
  • organic residues (or contaminants) can also be removed by using an O 2 ZAr sputtering process to physically remove the organic contaminants.
  • O 2 plasma process and O 2 ZAI sputtering process are typically operated under less than 1 Torr.
  • the substrate surface is free of contaminants, such as Cu-BTA, metal oxide, and other organic contaminants
  • the substrate should be exposed to as little oxygen as possible to protect the copper surface from oxidation. Copper oxidation is not a self-limiting process.
  • the amount and duration of oxygen the copper surface is exposed to should be limited (or controlled) to minimize the copper oxide formation. Although copper oxide will be reduced at a later step, thicker layers of copper oxide may not be fully reduced. Therefore, it is important to limit the exposure of copper to oxygen to only that needed to remove the organic contaminants.
  • the substrate should be transferred or processes in controlled environments, such as an environment under vacuum or an environment filled with inert gas (es).
  • the substrate surface is reconditioned in a reducing environment to convert any residual copper oxide into copper at step 405.
  • the previous pre-clean steps will have removed any metals from the dielectric layer, and thus metal reduction is performed only on the copper lines.
  • the copper surface reduction can be achieved by a hydrogen-containing plasma process to convert copper oxide to copper (or substantially copper).
  • exemplary reactive gases that can be used to generate the hydrogen-containing plasma include hydrogen (H2), ammonia (NH 3 ), and carbon monoxide (CO).
  • the substrate surface is reduced by a hydrogen-containing plasma, which is generated by hydrogen (H 2 ) gas, ammonia (NH 3 ) gas, or a combination of both gases, and the substrate is at an elevated temperature of between 20 0 C and 300 0 C.
  • the hydrogen plasma process is a downstream plasma process.
  • the cobalt-alloy such as CoWP, CoWB or CoWBP
  • the cobalt-alloy is electrolessly deposited on top of the copper surface.
  • the electroless deposition of the cobalt- alloy is a wet process, and deposits on catalytic surfaces, such as copper surface, only.
  • the cobalt-alloy only deposits selectively on the copper surface.
  • Post-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania.
  • a chemical solution such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania.
  • Other substrate surface cleaning processes can also be used, such as Lam's C3TM or P3TM cleaning technology.
  • Other post clean chemicals can include a hydroxylamine-based chemistry to remove any metal-based contaminants that might remain on the dielectric surface after electroless plating.
  • FIG. 4B shows a schematic diagram of an exemplary integrated system 450 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment.
  • the substrate is transferred from one process station immediately to the next process station, which limits the duration that the prepared copper surface is exposed to oxygen.
  • the integrated system 450 can be used to process substrate(s) through the entire process sequence of flow 400 of Figure 4A.
  • the surface treatments, electroless deposition of cobalt-alloy and the optional post-cobalt-alloy deposition process involve a mixture of dry and wet processes.
  • the wet processes are typically operated near atmosphere, while the dry O 2 plasma, hydrogen plasma, and (VAr sputtering are all operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes.
  • the integrated system 450 has 3 substrate transfer modules (or chambers) 460, 470, and 480. Transfer chambers 460, 470 and 480 are equipped with robots to move substrate 455 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 460 is operated under lab ambient, which refers to the laboratory (or factory) environment that is under room temperature, atmospheric pressure and exposed to air, usually HEPA- or ULPA-filtered to control particle defects. Module 460 interfaces with substrate loaders (or substrate cassettes) 461 to bring the substrate 455 into the integrated system or to return the substrate to the cassette(s) 461 to continue processing outside the system 450.
  • lab ambient refers to the laboratory (or factory) environment that is under room temperature, atmospheric pressure and exposed to air, usually HEPA- or ULPA-filtered to control particle defects.
  • Module 460 interfaces with substrate loaders (or substrate cassettes) 461 to bring the substrate 455 into the integrated system or to return the substrate to the cassette(s) 461 to continue processing outside the system 450.
  • the substrate 455 is brought to the integrated system 450 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by metal CMP to remove excess metal from the substrate surface and leaves the metal only in the metal trenches, as shown in Figure 2C.
  • a cobalt-alloy such as CoWB, CoWP, or CoWBP
  • the substrate, surface needs to be removed of surface contaminants such as Cu-BTA complex and other metal oxide residues.
  • Cu-BTA and metal oxides can be removed by a wet clean process involving clean solution, such as a solution containing TMAH or complexing amines such as, but not limited to, ethylene diamine or diethylamine triamine.
  • metal oxides remaining on the copper and dielectric surfaces can be removed using a wet clean process involving a clean solution such as a solution containing citric acid, or other organic acid that can remove copper oxide more or less selectively to copper.
  • a clean solution such as a solution containing citric acid, or other organic acid that can remove copper oxide more or less selectively to copper.
  • Metal oxides, specifically copper oxide can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. ⁇ 0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used.
  • the wet clean process can also remove other metal or metal oxide residues.
  • a wet clean reactor 463 can be integrated with the lab-ambient transfer module 460, which is operated at lab ambient condition.
  • the wet clean reactor 463 can be used to perform the 1-step or 2-step clean described above at step 401 of Figure 4A.
  • an additional wet clean reactor 463' can be integrated with the lab-ambient transfer module 460 to allow the first step of the 2-step cleaning process to be performed in reactor 463 and the second step be performed in reactor 463'.
  • a cleaning solution containing chemical such as TMAH for cleaning Cu-BTA is in reactor 463 and a cleaning solution containing a weak organic acid such as citric acid for cleaning metal oxide is in reactor 463'.
  • a lab ambient condition is under atmosphere and open to air.
  • the wet clean reactor 463 can be integrated with the lab-ambient transfer module 460 in the process flow 400, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
  • the wet cleaning process can be performed in a controlled ambient process environment, where the controlled ambient is maintained during and after the wet cleaning step.
  • Organic residues (or contaminants) not removed by the previous wet cleans can be removed by a dry oxidizing plasma process, such as oxygen-containing plasma, OzIAi sputter, or Ar sputter following the removal of Cu-BTA and metal oxides.
  • a dry oxidizing plasma process such as oxygen-containing plasma, OzIAi sputter, or Ar sputter following the removal of Cu-BTA and metal oxides.
  • a dry oxidizing plasma process such as oxygen-containing plasma, OzIAi sputter, or Ar sputter following the removal of Cu-BTA and metal oxides.
  • a dry oxidizing plasma process such as oxygen-containing plasma, OzIAi sputter, or Ar sputter following the removal of Cu-BTA and metal oxides.
  • a transfer module that is operated under vacuum at pressure, such as under 1 Torr. If the transfer module integrated with the plasma process is under vacuum, substrate transfer is more time efficient and the process module is maintained under vacuum, since it does not require extended
  • the transfer module is under vacuum, the substrate after cleaning by the plasma process is exposed to only very low levels of oxygen. Assuming the O 2 plasma process is selected to clean the organic residues, the O 2 plasma process reactor 471 is coupled to a vacuum transfer module 470.
  • a loadlock 465 is placed between these two transfer modules to allow substrate 455 to be transferred between the two modules, 460 and 470, operated under different pressures.
  • Loadlock 465 is configured to be operated under vacuum at pressure less than 1 Torr, or at lab ambient, or to be filled with an inert gas selected form a group of inert gases.
  • substrate 455 finishes the oxidizing plasma processing using O 2 , for example, substrate 455 is moved into the hydrogen-containing reducing plasma reduction chamber (or module) 473. Hydrogen-containing plasma reduction is typically processed at a low pressure, which is less than 1 Torr; therefore, it is coupled to the vacuum transfer module 470. Once the substrate 455 is reduced with hydrogen-containing plasma, the copper surface is clean and free of copper oxide.
  • a H 2 or H 2 /NH 3 plasma reduction step can be performed in-situ, without removing the wafer from the chamber. In either case, the substrate is ready for cobalt-alloy deposition after completion of the reduction process.
  • the substrate 455 should be process under a controlled environment, where the environment is either under vacuum or filled with one or more inert gas to limit the exposure of substrate 455 to oxygen.
  • Dotted line 490 outlines the boundary of a part of the integrated system 450 of Figure 4B that show the processing systems and transfer modules whose environment is controlled. Transferring and processing under controlled environment 490 limits the exposure of the substrate to oxygen.
  • Cobalt-alloy electroless deposition is a wet process that involves cobalt species in a solution that is reduced by a reducing agent, which can be phosphorous-based (e.g. hypophosphite), boron-based (e.g. dimethylamine borane), or a combination of both phosphorous-based and boron-based.
  • a reducing agent which can be phosphorous-based (e.g. hypophosphite), boron-based (e.g. dimethylamine borane), or a combination of both phosphorous-based and boron-based.
  • the solution that uses phosphorous-based reducing agent deposits CoWP.
  • the solution that uses boron-based reducing agent deposit CoWB.
  • the solution that uses both phosphorous-based and boron-based reducing agents deposits CoWBP.
  • the cobalt-alloy electroless deposition solution is alkaline- based.
  • cobalt-alloy electroless deposition solution can also be acidic. Since wet process is typically conducted under atmospheric pressure, the transfer module 480 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to be free of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 480. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems. Exemplary inert gas includes nitrogen (N 2 ), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).
  • exemplary inert gas includes nitrogen (N 2 ), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).
  • the wet cobalt-alloy electroless deposition reactor (or apparatus, or system, or module) is coupled with a rinse and dry system (or apparatus, or module) to allow the substrate to be transferred into the electroless deposition system 481 under dry condition and to come out of the system 481 in dry condition (dry-in/dry-out).
  • the dry- in/dry-out requirement allows the electroless deposition system 481 to be integrated with the controlled-ambient transfer module 480, and avoids the need of a wet robotic transfer step to a separate rinse-dry module.
  • the environment of the electroless deposition system 481 also needs to be controlled to provide low (or limited) levels of oxygen and moisture (water vapor). Inert gas can also be used to fill the system to ensure low levels of oxygen are in the processing environment.
  • cobalt-alloy electroless deposition can also be conducted in a dry- in/dry-out manner similar to electroless copper disclosed recently.
  • a dry-in/dry-out electroless copper process has been developed for copper electroless deposition. The process uses a proximity process head to limit the electroless process liquid in contacting with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. Application No. 10/607, 611, titled "Apparatus And Method For Depositing And Planarizing Thin Films On Semiconductor Wafers," filed on Jun 27, 2003, and U.S. Application No.
  • the substrate 455 can be sent through an optional post-deposition cleaning reactor. This can be performed using mechanical assists, such as a brush scrub using chemistry such as CP72B or hydroxylamine-based cleaning chemistries or by using other methods, such as immersion cleaning, spin-rinse cleaning, or C3TM proximity technology.
  • a rinse and dry system must also be integrated with the brush scrub system to allow substrate 455 to be dry-in/dry-out of the wet cleaning system 483.
  • Inert gas (es) is used to fill system 483 to ensure limited (or low) oxygen is present in the system.
  • the system 483 is dotted to illustrate that this system is optional, since the post- deposition cleaning is optional, as described above in Figure 4A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 450, the substrate 455 needs to be brought back into cassette 461 after processing. Therefore, the cleaning system 483 can alternatively be coupled to the lab-ambient transfer module 460, as shown in Figure 4B. If the cleaning system 483 is coupled to the lab-ambient transfer module 460, the cleaning system 483 is not operated under controlled environment and inert gas (es) does not need to fill the system.
  • inert gas es
  • the Cu-BTA and metal oxide removal process step(s) can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
  • FIGS 5A-5C show the cross sections of an interconnect structure at various stages of processing.
  • the copper layer on the substrate of Figure 5A has been planarized by CMP.
  • the barrier layer 130 has not been removed, and remains on the substrate surface.
  • Figure 6A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over copper in the dual-damascene metal trench.
  • the substrate(s) used in the process flow 600 of Figure 6A have just finished copper CMP processing(s) to remove copper.
  • Barrier layer still remains on the substrate surface, as shown in Figure 5A.
  • the difference between Case II and Case I is that in Case II the surface of dielectric 106 is not exposed to Cu-BTA complex or other copper compound residues.
  • the dielectric surface has higher quality (or less metal contaminants) in Case II than in Case I. Therefore, process step(s) aiming at removing copper oxide on the dielectric layer, which is formed after O 2 plasma used to remove organic contaminants, can be eliminated.
  • the process starts at step 601 of removing metallic contaminants, such as Cu-BTA or metal oxides, from the substrate surface.
  • metallic contaminants such as Cu-BTA or metal oxides
  • Cu-BTA complexes and metallic oxides are two key surface metallic contaminants to be removed.
  • the processes used to remove metallic contaminants, such as Cu-BTA and metal oxides, from the substrate surface have been described above.
  • Cu-BTA and metal oxides, including copper oxides can be removed by a wet clean process that involves a cleaning solution that includes, for example, tetramethylammonium hydroxide (TMAH) or complexing amines such as ethylenediamine or diethylenetriamine.
  • TMAH tetramethylammonium hydroxide
  • Removing Cu-BTA eliminates pattern- dependent deposition effect of cobalt-alloy (to be deposited at a later step) and hence allows uniform cobalt-alloy deposition in the dense and isolated features.
  • Metal oxides specifically copper oxide, can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. ⁇ 0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used. The wet clean process can also remove other metal or metal oxide residues.
  • Organic contaminants are removed at step 602.
  • Organic contaminants can be removed by a process such as a dry oxygen (O 2 ) plasma process or other oxidizing plasma processes, such as plasma process with H 2 O, ozone, or hydrogen peroxide vapor.
  • the oxygen-containing plasma process is preferably conducted at a relatively low temperature, below 5O 0 C and preferably below 120 0 C.
  • the oxygen-containing plasma process can be a downstream plasma process.
  • organic residues (or contaminants) can also be removed by using an O 2 / Ar sputtering process to physically remove the organic contaminants.
  • O 2 plasma process and O 2 ZAr sputtering process are typically operated under less than 1 Torr.
  • barrier layer such as Ta, TaN, Ru, or a combination of the materials, is removed from substrate surface, as shown in Figure 5B.
  • Barrier layer can be removed by processes, such as CF 4 plasma, O 2 / Ar sputtering, CMP, or by a wet chemical etch. Both CF 4 plasma etch and O 2 / Ar sputtering processes are operated at less than 1 Torr.
  • the cobalt-alloy such as CoWP, CoWB or CoWBP
  • CoWP cobalt-alloy
  • CoWB cobalt-alloy
  • Cobalt-alloy is shown as layer 135 in Figure 5C.
  • the electroless deposition of the cobalt-alloy is a selective deposition and is a wet process.
  • the cobalt-alloy only deposits on the copper surface.
  • Post- deposition clean can be performed by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania, or by hydroxylamine-base chemicals, in order to removal any metal contaminants on the dielectric surface introduced by the electroless deposition process.
  • a chemical solution such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania, or by hydroxylamine-base chemicals, in order to removal any metal contaminants on the dielectric surface introduced by the electroless deposition process.
  • Other substrate surface cleaning processes can also be used.
  • FIG. 6B shows a schematic diagram of an exemplary integrated system 650 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment.
  • the integrated system 650 can be used to process substrate(s) through the entire process sequence of flow 600 of Figure 6 A.
  • the integrated system 650 has 3 substrate transfer modules 660, 670, and 680. Transfer modules 660, 670 and 680 are equipped with robots to move substrate 655 from one process area to another process area. Substrate transfer module 660 is operated under lab ambient. Module 660 interfaces with substrate loaders (or substrate cassettes) 661 to bring the substrate 655 into the integrated system or to return the substrate to the cassette(s) 661 to continue processing outside the system 650.
  • substrate loaders or substrate cassettes
  • the substrate 655 is brought to the integrated system 650 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by copper CMP to remove excess copper from the substrate surface and leaves barrier layer on the dielectric surface and copper in the metal trenches, as shown in Figure 5 A.
  • a cobalt-alloy such as CoWB, CoWP, or CoWBP
  • the substrate surface needs to be removed of surface contaminants such as Cu-BTA, metal oxides and organic residues.
  • Cu-BTA and metal oxides can be removed by a wet clean process involving clean solution, such as a solution containing TMAH.
  • a wet clean reactor 663 can be integrated with the lab-ambient transfer module 660.
  • wet clean reactor 663 can be integrated with the lab-ambient transfer module 660 in the process flow 600, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
  • the wet cleaning process can be performed in a controlled ambient process environment, where the controlled ambient is maintained during and after the wet cleaning step.
  • Organic residues (or contaminants) not removed by the wet clean process 601 performed in reactor 683 can be removed by a dry plasma process, such as O 2 plasma or O 2 / Ar sputter, at step 602.
  • a dry plasma process such as O 2 plasma or O 2 / Ar sputter
  • O2 plasma process is selected to clean the organic residue
  • the O2 plasma process reactor 671 is coupled to a vacuum transfer module 670.
  • the O 2 plasma process can be a downstream plasma process.
  • the O2 plasma reactor 671 can be integrated with the vacuum transfer module 670 in the process flow 600, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
  • lab-ambient transfer module 660 is operated under atmosphere and vacuum transfer module 670 is operated under vacuum ( ⁇ 1 Torr), a loadlock 665 is placed between these two transfer modules to allow substrate 655 to be transferred between the two modules, 660 and 670.
  • substrate 655 After substrate 655 finishes the O 2 plasma processing, substrate 655 is moved into a processing system for barrier layer etch, as shown in step 603.
  • the barrier layer etch chamber (or module) 673 if a dry barrier plasma etch process is selected, can be coupled to the vacuum transfer module 670.
  • the dry barrier plasma process can be a CF 4 plasma process or an CVAr sputtering process.
  • the process following barrier layer etch is an optional H-containing plasma reduction to ensure no copper oxide remains on the copper surface.
  • the H 2 plasma reduction can be performed in a plasma chamber (or module) 674, which is coupled to the vacuum transfer module 670.
  • hydrogen plasma reduction can also be performed sequentially in the O 2 plasma reactor 671 used to remove organic residues after purging the chamber of residual oxygen species.
  • cobalt-alloy electroless deposition is a wet chemical process. Since a wet process is typically conducted at atmospheric pressure, the transfer module 680 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to be free of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 680. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • the wet cobalt-alloy electroless deposition reactor needs to be coupled with a rinse and dry system to allow the substrate to be transferred to the electroless deposition system 681 under dry conditions and to come out of the system 681 in dry condition (dry-in/dry-out).
  • dry-in/dry-out requirement allows the electroless deposition system 681 to be integrated with the controlled-ambient transfer module 680.
  • Inert gas (es) is used to fill system 681 to ensure low (or limited, or controlled) oxygen levels are maintained in the system.
  • the substrate 655 can be sent through a post-deposition cleaning reactor 683.
  • a rinse and dry system also needs to be integrated with the brush scrub system to allow substrate 655 to be dry-in/dry-out of the wet cleaning system 683.
  • Inert gas (es) is used to fill system 683 to ensure no oxygen is present.
  • the system 683 is dotted to illustrate that this system is optional, since the post-deposition cleaning is optional, as described above in Figure 6A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 650, the substrate 655 needs to be brought back into cassette 661 after processing.
  • the cleaning system 683 can alternatively be coupled to the lab-ambient transfer module 660.
  • Figures 7A-7C show cross sections of an interconnect structure at various stages of interconnect processing.
  • the substrate in Figure 7 A has just finished copper planarization, but has not fully cleared the copper from the substrate.
  • a thin copper layer 132 remains on the substrate surface.
  • Figure 8A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over copper in the dual-damascene metal trench.
  • the substrate(s) used in the process flow 800 of Figure 8 A have just finished copper CMP processing(s) to remove most of the copper above the barrier layer over dielectric layer.
  • a thin layer of copper in the range between about 100 angstrom to about 1000 angstrom is left on the barrier surface, as shown in Figure 7 A.
  • Case DI The difference between Case DI and both Case I and Case ⁇ is that in Case III a thin layer of copper cover the entire substrate surface; therefore there is no concern of galvanic corrosion of copper due to exposed dissimilar materials in the copper CMP solution. Since the thin copper layer and other surface contaminants present will be removed in an oxygen-free environment, there is no concern of copper oxidation. Therefore, no H 2 plasma reduction is needed. Both Case II and Case JH do not have barrier CMP; therefore, metal CMP processing cost is reduced.
  • the copper surface prepared by this process allows excellent selectivity of cobalt-alloy on the copper versus on dielectric layer.
  • the process starts at step 801 of removing contaminants, including organic residues and inorganic metal oxides, from the substrate surface.
  • the organic contaminants can be removed by an oxidizing plasma such as a dry oxygen (O 2 ) plasma process, H 2 O plasma process, H 2 O 2 plasma process, or a plasma with ozone vapor.
  • O 2 plasma process is preferably conducted at a relatively low temperature less than 120 0 C.
  • the O 2 plasma process can be a downstream plasma process.
  • organic residues (or contaminants) can also be removed by using an O 2 ZAi sputtering process to physically remove the organic contaminants.
  • O 2 plasma process and O 2 /Ar sputtering process are typically operated under less than 1 Torr.
  • the substrate surface is free of contaminants, the substrate should be exposed to as little oxygen as possible to protect the copper surface from oxidation.
  • the thin copper layer over the barrier layer and dielectric layer is removed.
  • the thin copper layer can be removed by O 2 / Ar sputtering, by O 2 / hexafluoroacetylacetone (HFAC) plasma etch, by a wet chemical etch using chemicals, such as sulfuric acid and hydrogen peroxide, or by using complexing chemistries.
  • HFAC hexafluoroacetylacetone
  • the barrier layer such as Ta, TaN, or a combination of both films, is removed from substrate surface at step 805.
  • the cross-section of the interconnect structure after the removal of the thin copper and barrier is shown in Figure 7B.
  • Barrier layer can be removed by CF 4 plasma, 0 2 /Ar sputtering, CMP, or by a wet chemical etch. Both CF4 plasma etch and O 2 /Ar sputtering processes are operated at below 1 Torr.
  • the step of using H-containing plasma to reduce the copper surface is mostly not needed.
  • the substrate surface can optionally be reduced to convert any residual copper oxide into copper at step 807.
  • the copper surface reduction process has been described above. Once the substrate went through a hydrogen-containing reduction process, the substrate is ready for the cobalt- alloy deposition. The copper surface needs to be carefully protected to prevent copper oxide formation.
  • the cobalt-alloy such as CoWP, CoWB or CoWBP, is electrolessly deposited on the top of the copper surface. Cobalt-alloy is shown as layer 135 in Figure 7C.
  • the electroless deposition of the cobalt-alloy is a selective deposition and is a wet process. The cobalt-alloy only deposits on the copper surface.
  • FIG. 8B shows a schematic diagram of an exemplary integrated system 850 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment.
  • the integrated system 850 can be used to process substrate(s) through the entire process sequence of flow 800 of Figure 8 A.
  • the integrated system 850 has 3 substrate transfer modules 860, 870, and 880. Transfer modules 860, 870 and 880 are equipped with robots to move substrate 855 from one process area to another process area. Substrate transfer module 860 is operated under lab ambient. Module 860 interfaces with substrate loaders (or substrate cassettes) 861 to bring the substrate 855 into the integrated system or to return the substrate to the cassette(s) 861 to continue processing outside the system 850.
  • substrate loaders or substrate cassettes
  • the substrate 855 is brought to the integrated system 850 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by copper CMP to remove excess copper from the substrate surface and leaves a thin copper layer on the barrier layer that is over the dielectric surface, as shown in Figure 7A.
  • a cobalt-alloy such as CoWB, CoWP, or CoWBP
  • the substrate surface needs to be removed of surface contaminants such organic residues and non-copper metal oxides. Due to the elimination of the need to perform a wet Cu-BTA clean, in contrast to Case I and Case ⁇ , the lab-ambient transfer module 860 can possibly be eliminated to allow cassette holders 861 to be directly coupled to loadlock 865.
  • the O 2 plasma process can be a downstream plasma process.
  • the O2 plasma reactor 871 can be integrated with the vacuum transfer module 870 in the process flow 800, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
  • lab-ambient transfer module 860 is operated under atmosphere and vacuum transfer module 870 is operated under vacuum ( ⁇ 1 Torr), a loadlock 865 is placed between these two transfer modules to allow substrate 855 to be transferred between the two modules, 860 and 870.
  • substrate 855 is moved into a processing system for copper etch, as shown in step 803.
  • the copper etch chamber (or module) 873 if a dry copper plasma etch process is selected, is coupled to the vacuum transfer module 870. If a wet process is selected, the wet copper etch reactor can be integrated with a rinse/dry system to become a wet copper etch system 873' that can be coupled to the controlled-ambient transfer module 880. To enable the wet copper etch system 873' to be integrated with the controlled-ambient transfer module 880, dry-in and dry- out of substrate to the system 873' are required.
  • a rinse and dry system can be integrated in the wet copper etch system 873' to meet the dry-in/dry-out requirement.
  • the environment of system 873' also needs to be controlled to be free of oxygen.
  • Inert gas can also be used to fill the system to ensure no oxygen is in the processing environment.
  • Barrier layer etch follows copper etch, as shown in step 805.
  • the barrier layer etch chamber 874 if a dry barrier plasma etch process is selected, can be coupled to the vacuum transfer module 870. If a wet barrier etch process is selected, the wet barrier etch reactor can be integrated with a rinse/dry system to become a wet barrier etch system 874' that can be coupled to the controlled-ambient transfer module 880. To enable the wet barrier etch system 874' to be integrated with the controlled-ambient transfer module 880, dry-in and dry- out of substrate to the system 874' are required.
  • the environment of system 874' also needs to be controlled to provide low (or limited, or controlled) levels of oxygen. Inert gas can also be used to fill the system to ensure low oxygen levels are achieved in the processing environment.
  • the process following barrier layer etch is an optional H-containing plasma reduction as discussed above.
  • the H 2 plasma reduction can be performed in a plasma chamber 877, which is coupled to the vacuum transfer module 870.
  • cobalt-alloy electroless deposition is a wet process. Since wet process is typically conducted under atmospheric pressure, the transfer module 880 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to provide low levels of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 880. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • Exemplary inert gas includes nitrogen (N 2 ), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).
  • the wet cobalt-alloy electroless deposition reactor needs to be coupled with a rinse and dry system to allow the substrate to go into the electroless deposition system 881 under dry condition and to come out of the system 881 in dry condition (dry-in/dry-out).
  • dry- in/dry-out requirement allows the electroless deposition system 881 to be integrated with the controlled-ambient transfer module 880.
  • Inert gas (es) is used to fill system 881 to ensure a low oxygen level is present in the system.
  • the substrate 855 can be sent through a post-deposition cleaning reactor.
  • a rinse and dry system also need to be integrated with the brush scrub system to allow substrate 855 to be dry-in/dry-out of the wet cleaning system 883.
  • Inert gas (es) is used to fill system 883 to ensure no oxygen is present.
  • the system 883 is dotted to illustrate that this system is optional, since the post-deposition cleaning is optional, as described above in Figure 8A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 850, the substrate 855 needs to be brought back into cassette 861 after processing.
  • the cleaning system 883 can alternatively be coupled to the lab-ambient transfer module 860.
  • barrier layer such as Ta, TaN or Ru
  • Barrier layer can form Ta x Oy (Tantalum oxide), TaO x Ny (Tantalum oxynitride), or RuO 2 (Ruthenium oxide).
  • Electroless deposition of a metal layer on a substrate is highly dependent upon the surface characteristics and composition of the substrate. Electroless plating of copper on a Ta, TaN, or Ru surface is of interest for both seed layer formation prior to electroplating, and selective deposition of Cu lines within lithographically defined pattern(s).
  • One concern is the inhibition of the electroless deposition process by atomically thin native metal oxide layer formed in the presence of oxygen (O2).
  • barrier oxide layer such as tantalum oxide, tantalum oxynitride, or ruthenium oxide
  • pure barrier metal or barrier-layer-rich film such as Ta, Ru, or Ta-rich TaN film.
  • Ta and/or TaN barrier layers are only used as examples. The description and concept apply to other types of barrier metals, such as Ta or TaN capped with a thin layer of Ru. As described above, poor adhesion can negatively affect the EM performance.
  • the formation tantalum oxide or tantalum oxynitride on the barrier layer surface can increase the resistivity of the barrier layer. Due to these issues, it is desirable to use the integrated system to prepare the barrier/copper interface to ensure good adhesion between the barrier layer and copper and to ensure low resistivity of the barrier layer.
  • Figure 9A shows an exemplary cross-section of a metal line structure after being patterned by a dielectric etch and being removed of photoresist.
  • the metal line structure(s) is on a substrate 900 and has a silicon layer 110, which was previously fabricated to form a gate structure 105 with a gate oxide 121, spacers 107 and a contact 125 therein.
  • the contact 125 is typically fabricated by etching a contact hole into the oxide 103 and then filling the contact hole with a conductive material, such as tungsten. Alternative materials may include copper, aluminum or other conductive materials.
  • the barrier layer 102 is also configured to function as a selective trench etch stop.
  • the barrier layer 102 can be made of materials such as silicon nitride (SiN) or silicon carbide (SiC).
  • a metal line dielectric layer 106 is deposited over the barrier layer 102.
  • the dielectric materials that can be used to deposit 106 have been described above.
  • the substrate is patterned and etched to create metal trenches 106.
  • Figure 9B shows that after the formation of metal trenches 116, a metallic barrier layer 130 is deposited to line metal trench 116.
  • Figure 9C shows that after the barrier layer 130 is deposited, a copper layer 132 is deposited over the barrier layer 130.
  • the barrier layer 130 can be made of tantalum nitride (TaN), tantalum (Ta), Ru, or a combination of these films.
  • a copper film 132 is then deposited to fill the metal trench 116.
  • the copper film 132 includes a thin copper seed layer 131 underneath.
  • substrate 900 is chemically and mechanically polished (CMP) or wet etched to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 9D.
  • CMP chemically and mechanically polished
  • the thickness of the thin copper seed layer is between about 5 angstroms to about 300 angstroms.
  • the next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 9E.
  • cobalt-alloy examples include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process.
  • the thickness of the adhesion promoter layer can be as thin as a monolayer, which is only a few angstroms, such as 5 angstroms, to a thicker layer, such as 200 angstroms.
  • Figure 1OA shows an embodiment of a process flow 1000 of preparing the barrier (or liner) layer surface for electroless copper deposition after the trenches have been formed.
  • the barrier (or liner) layer may be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor.
  • the surface preparation for depositing a thin copper seed layer would not include the metal plug preclean and barrier deposition process steps.
  • the top surface 124a of the contact plug is cleaned to remove native metal oxide.
  • Metal oxide can be removed by an Ar sputtering process, a plasma process using a fluorine-containing gas, such as NF 3 , CF 4 , or a combination of both, a wet chemical etch process, or a reduction process, for example using a hydrogen-containing plasma.
  • a barrier layer is deposited. Due to the shrinking metal line and via critical dimension, the barrier layer may be deposited by atomic layer deposition (ALD), depending on the technology node. The thickness of the barrier layer 130 is between about 20 angstroms to about 200 angstroms. As described above, preventing the barrier layer from exposure to oxygen is critical in ensuring that electroless copper is being deposited on the barrier layer with good adhesion between copper and the barrier layer.
  • the substrate should be transferred or processed in a controlled-ambient environment to limit exposure to oxygen.
  • the barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer at optional step 1005 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
  • conformal copper seed is deposited on the barrier surface at step 1007, followed by a thick copper gap fill (or bulk fill) process, 1008.
  • the conformal copper seed layer can be deposited by an electroless process.
  • the thick copper bulk fill process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process.
  • Electroless copper deposition and ECP are well-known wet process.
  • ECP electrochemical plating
  • the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability.
  • the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen.
  • a dry- in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • the electroless deposition process can be carried out in a number of ways, such as puddle-plating, where fluid is dispensed onto a substrate and allowed to react in a static mode, after which the reactants are removed and discarded, or reclaimed.
  • the process uses a proximity process head to limit the electroless process liquid is only in contact with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. Application No. 10/607, 611, titled "Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers," filed on Jun 27, 2003, and U.S. Application No.
  • the substrate can undergo an optional substrate cleaning at step 1009.
  • Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania.
  • a chemical solution such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania.
  • Other substrate surface cleaning processes can also be used, such as Lam's C3TM or P3TM cleaning technology.
  • Figure 1OB shows an embodiment of a schematic diagram of an integrated system 1050 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier surface preparation.
  • the substrate is transferred from one process station immediately to the next process station, limiting the duration that clean copper surface is exposed to low levels of oxygen.
  • the integrated system 1050 can be used to process substrate(s) through the entire process sequence of flow 1000 of Figure 1OA.
  • the surface preparation for electroless deposition of copper and the optional post-cobalt-alloy deposition processes involves a mixture of dry and wet processes.
  • the wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than I Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes.
  • the integrated system 1050 has 3 substrate transfer modules 1060, 1070, and 1080. Transfer modules 1060, 1070 and 1080 are equipped with robots to move substrate 1055 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 1060 is operated under lab ambient. Module 1060 interfaces with substrate loaders (or substrate cassettes) 1061 to bring the substrate 1555 into the integrated system or to return the substrate to one of the cassettes 1061.
  • the substrate 1055 is brought to the integrated system 1050 to deposit barrier layer and copper layer.
  • top tungsten surface 124a of contact 125 is etched to remove native tungsten oxide. Once the tungsten oxide is removed, the exposed tungsten surface 124a of Figure 9A needs to be protected from exposure to oxygen.
  • the removal process is an Ar sputtering process
  • the reactor 1071 is coupled to the vacuum transfer module 1070. If a wet chemical etching process is selected, the reactor should be coupled to the controlled-ambient transfer module 1080, not the lab-ambient transfer module 1060, to limit exposure of the tungsten surface to oxygen.
  • the substrate is deposited with a metallic barrier layer, such as Ta, TaN, Ru, or a combination of these films, as described in step 1003 of Figure 1OA.
  • the barrier layer 130 of Figure 9B can be deposited by an ALD process or a PVD process.
  • the ALD process is operated at less than 1 Torr.
  • the ALD reactor 1073 is coupled to the vacuum transfer module 1070.
  • the deposition process is a high pressure process using supercritical CO 2 and organometallic precursors to form the metal barrier.
  • the deposition process is a physical vapor deposition (PVD) process operating at pressures less than 1 Torr.
  • PVD physical vapor deposition
  • the substrate can undergo an optional reduction process, for example using a hydrogen-containing plasma, as described in step 1005 of Figure 1OA.
  • the hydrogen reduction reactor 1074 can be coupled to the vacuum transfer module 1070.
  • the substrate is ready for electroless copper deposition.
  • the electroless copper plating can be performed in an electroless copper plating reactor 1081 to deposit a conformal seed layer.
  • copper bulk fill can be performed in the same electroless copper deposition reactor 1081 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill. Alternatively, copper bulk fill can be performed in a separate ECP reactor 1081 '.
  • the substrate Before the substrate leaves the integrated system 1050, the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper deposition process.
  • the substrate cleaning process can be brush clean process.
  • Substrate cleaning reactor 1083 can be integrated with the controlled-ambient transfer module 1080. Alternatively, substrate cleaning reactor 1083 can also be integrated with the lab-ambient transfer module 1060.
  • the barrier layer 130 of Figure 9B can be deposited in a process chamber before the substrate 900 is brought into a system for surface treatment and depositing copper.
  • Figure 1OC shows an embodiment of a process flow 1090 of preparing the barrier (or liner) layer surface for electroless copper deposition.
  • the barrier surface is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer at optional step 1095 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
  • conformal copper seed is deposited on the barrier surface at step 1097, followed by a thick copper gap fill (or bulk fill) process, 1098.
  • the conformal copper seed layer can be deposited by an electroless process.
  • the thick copper bulk fill process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process.
  • ELD electroless deposition
  • ECP electrochemical plating
  • the substrate can undergo an optional substrate cleaning at step 1099.
  • Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania.
  • Other substrate surface cleaning processes can also be used, such as Lam's C3TM or P3TM cleaning technology.
  • Figure 1OD shows an embodiment of a schematic diagram of an integrated system 1092 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier surface preparation.
  • the substrate is transferred from one process station immediately to the next process station, limiting the duration that clean copper surface is exposed to low levels of oxygen.
  • the integrated system 1092 can be used to process substrate(s) through the entire process sequence of flow 1090 of Figure 1OC.
  • the surface preparation for electroless deposition of copper and the optional post-cobalt-alloy deposition processes involves a mixture of dry and wet processes.
  • the wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes.
  • the integrated system 1092 has 3 substrate transfer modules 1060, 1070, and 1080. Transfer modules 1060, 1070 and 1080 are equipped with robots to move substrate 1055 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 1060 is operated under lab ambient.
  • Module 1060 interfaces with substrate loaders (or substrate cassettes) 1061 to bring the substrate 1555 into the integrated system or to return the substrate to one of the cassettes 1061.
  • the substrate 1055 is brought to the integrated system 1092 after the barrier layer is deposited to prepare the barrier surface for electroless copper deposition.
  • the substrate first undergoes a reduction process, for example using a hydrogen-containing plasma, as described in step 1095 of Figure 1OC.
  • the hydrogen reduction reactor 1074 can be coupled to the vacuum transfer module 1070.
  • the electroless copper plating can be performed in an electroless copper plating reactor 1081 to deposit a conformal seed layer.
  • copper bulk fill can be performed in the same electroless copper deposition reactor 1081 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill.
  • copper bulk fill can be performed in a separate ECP reactor 1081'.
  • the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper deposition process.
  • the substrate cleaning process can be brush clean process.
  • Substrate cleaning reactor 1083 can be integrated with the controlled-ambient transfer module 1080. Alternatively, substrate cleaning reactor 1083 can also be integrated with the lab-ambient transfer module 1060.
  • Figure HA shows an embodiment of a process flow of preparing the barrier (or liner) layer surface for electroless copper deposition and preparing the post-CMP copper surface for electroless cobalt-alloy deposition.
  • the top surface 124a of the contact plug is cleaned to remove native tungsten oxide.
  • Metal oxide can be removed by an Ar sputtering process, a plasma reduction process, a reactive ion etch process, or a wet chemical etch process.
  • a barrier layer is deposited.
  • the barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN or Ru layer at optional step 1005 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
  • the barrier (or liner) layer may be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor.
  • the surface preparation for depositing a thin copper seed layer would not include the metal plug preclean and barrier deposition process steps as described in Fig.1OA, step 1001 and 1003, and Fig.llA, steps 1101, 1103. In these cases, the process described would commence at step 1005 or 1105.
  • conformal copper seed is deposited on the barrier surface at step 1107, followed by a thick copper gap fill (or bulk fill) process, 1108.
  • the conformal copper seed layer can be deposited by an electroless process.
  • the thick copper bulk fill process can be an electroless deposition process (ELD) or an electrochemical plating (ECP) process.
  • ECP electrochemical plating
  • Electroless copper deposition and ECP are well-known wet processes.
  • the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability.
  • the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen.
  • a dry- in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • the copper layer 132 is removed from the substrate surface above barrier layer 130 over dielectric 106 at step 1109, as shown in Figure 9D. Barrier layer is removed afterwards. Both removal processes are performed in process step 1109 of Figure 11A. Removing copper from the surface above barrier layer can be accomplished by CMP, which is a wet process. Barrier layer can be removed by using a reactive ion etch, such as CF 4 -plasma, 02/Ar sputtering, CMP, or a wet chemical etch. These barrier etch processes have been described previously.
  • a cleaning process to remove Cu-BTA complexes and metal oxides (step 1110) and an organic contaminants removal process (step 1111) are performed to remove contaminants from the substrate surface. Details of substrate surface cleaning using these two steps after metal CMP have been described above.
  • the substrate is treated with a reducing plasma (hydrogen-containing) to reduce all residual metal oxide into metal at step 1112.
  • a reducing plasma hydrogen-containing
  • the copper surface is very clean and catalytic, and is ready for electroless deposition of the cobalt-alloy.
  • the substrate undergoes electroless deposition of cobalt-alloy with a rinse and dry of substrate.
  • the last process step 1115 is an optional substrate-cleaning step to clean any residual contaminants from the previous electroless cobalt-alloy deposition.
  • Figure HB shows an embodiment of a schematic diagram of an integrated system 1150 that allows minimal exposure of substrate • surface to oxygen at critical steps after barrier and copper surface preparation.
  • the substrate is transferred from one process station immediately to the next process station, which limits the duration that clean copper surface is exposed to low levels of oxygen.
  • the integrated system 1150 can be used to process substrate(s) through the entire process sequence of flow 1100 of Figure 11 A.
  • the integrated system 1150 has 3 substrate transfer modules 1160, 1170, and 1180. Transfer modules 1160, 1170 and 1180 are equipped with robots to move substrate 1155 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 1160 is operated under lab ambient. Module 1160 interfaces with substrate loaders (or substrate cassettes) 1161 to bring the substrate 1155 into the integrated system or to return the substrate to one of the cassettes 1161.
  • the substrate 1155 is brought to the integrated system 1150 to deposit barrier layer, to prepare barrier surface for copper layer deposition, and to prepare post-CMP copper surface for electroless cobalt-alloy deposition.
  • top metal plug surface 124a of contact 125 is etched to remove native metal oxide.
  • the metal plug surface oxide can be removed using a reducing plasma, such as a hydrogen-containing plasma. Once the metal plug surface oxide is removed, the exposed metal surface 124a of Figure 9 A needs to be protected from exposure to oxygen. If the removal process is an Ar sputtering process, the Ar sputtering reactor 1171 is coupled to the vacuum transfer module 1170.
  • the reactor should be coupled to the controlled-ambient transfer module 1180, not the lab-ambient transfer module 1160, to limit the exposure of the clean metal plug surface to oxygen.
  • the substrate is deposited with a metallic barrier layer, such as Ta, Ru, TaN, or a combination of these films, as described in a step 1103 of Figure HA.
  • the barrier layer 130 of Figure 9B can be deposited by an ALD process or a PVD process. In one embodiment, the ALD process is operated at less than 1 Torr.
  • the ALD reactor 1173 is coupled to the vacuum transfer module 1170.
  • the deposition process is a high pressure process using supercritical CO 2 and organometallic precursors to form the metal barrier.
  • the deposition process is a physical vapor deposition (PVD) process operating at pressures less than 1 Torr.
  • the substrate can undergo an optional reduction process, for example using a hydrogen-containing plasma, as described in step 1105 of Figure HA.
  • the hydrogen reduction reactor 1174 can be coupled to the vacuum transfer module 1170.
  • the substrate is ready for electroless copper deposition.
  • the electroless copper plating can be performed in an electroless copper plating reactor 1181 to deposit a conformal seed layer.
  • copper bulk fill can be performed in the same electroless copper deposition reactor 1181 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill. Alternatively, copper bulk fill can be performed in a separate ECP reactor 1181'.
  • the substrate is removed of copper overburden and barrier overburden, as described in step 1109 of Figure 1 IA.
  • the removal of copper overburden and barrier over burden can be accomplished in one CMP system 1183, or in two CMP systems. In the embodiment shown in Figure 1 IA, only one CMP system 1183 is used.
  • Wet clean system 1185 is used to remove copper BTA complexes and metal oxides.
  • O 2 plasma system 1177 is used to remove organic contaminants. In one embodiment, O 2 plasma process to remove organic contaminants can be performed in the hydrogen reduction chamber 1174.
  • the substrate undergoes a reduction process, as described in step 1112 of Figure 1 IA.
  • the hydrogen reduction process can occur in the same reduction reactor 1174 used to reduce the barrier surface to be Ta-rich.
  • the copper surface is ready for electroless cobalt-alloy deposition, which can be performed in reactor 1187.
  • the substrate Before the substrate leaves the integrated system 1150, the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper plating process.
  • the substrate cleaning process can be brush clean process, whose reactor 1163 can be integrated with the lab-ambient transfer module 1160.
  • Figure 12A shows an exemplary cross-section of an interconnect structure after being patterned by a dual damascene process.
  • the interconnect structure(s) is on a substrate 1200 and has an oxide layer 100, which was previously fabricated to form a metallization line 101 therein.
  • the metallization line is typically fabricated by etching a trench into the oxide 100 and then filling the trench with conductive material, such as copper.
  • barrier layer 120 used to prevent the copper material 122 from diffusing into the oxide 100.
  • the barrier layer 120 can be made of tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru), or a combination of these films. Other barrier layer materials can also be used.
  • a barrier layer 102 is deposited over the copper material 122 to provide an etch stop during the via etch process, and to act as a diffusion barrier between dielectric layers for the copper.
  • the barrier layer 102 can be made of materials such as silicon nitride (SiN) or silicon carbide (SiC) or other materials suitable for integration into the dual Damascene process flow.
  • a via dielectric layer 104 is deposited over the barrier layer 102.
  • the via dielectric layer 104 can be made of inorganic dielectric materials such as silicon dioxide, or preferably low-K dielectric materials. Exemplary dielectrics can include an un-doped TEOS silicon dioxide, fluorinated silica glass (FSG), organo-silicate glass (OSG), porous OSG, commercially available dielectric materials include Black Diamond (I) and Black Diamond (H), Coral, Aurora.
  • FSG fluorinated silica glass
  • OSG organo-silicate glass
  • porous OSG commercially available dielectric materials include Black Diamond (I) and Black Diamond (H), Coral, Aurora.
  • a patterning and etching process is used to form the via holes 114.
  • the copper surface 122a is protected by the dielectric barrier layer, such as SiC or Si3N 4 .
  • Figure 12A shows the dual Damascene structure after the formation of via holes 114, and trenches 116.
  • Figure 12B shows that after the formation of via holes 114 and trenches 116, a first barrier layer 130i, a second barrier layer 130n, and a copper layer 132 are deposited to line the via holes 114' and the trenches 116.
  • Both barrier layers 130i, 130» can be made of tantalum nitride (TaN), tantalum (Ta), or ruthenium (Ru). Other barrier layer materials can also be used.
  • the first barrier layer 130i is a thin TaN layer deposited by ALD and the second barrier layer 130n is a very thin Ta layer deposited by flash PVD or Ru layer deposited by ALD or PVD.
  • the thickness of the first barrier layer 130 ⁇ is between about 10 angstroms to about 150 angstroms and the thickness of the second barrier layer 13O H is between about 10 angstroms to about 50 angstroms.
  • the thin ALD TaN layer provid . es conformal coverage of a barrier layer over the via 114 * and trench 116.
  • the thin PVD Ta or Ru layer provides good adhesion to the copper film 132 to be deposited over the barrier layers 130[ and 130 H .
  • barrier layer deposited by PVD process does not have good step coverage (or the film is not conformal). Therefore, an ALD barrier is needed to ensure good barrier coverage inside via and trench.
  • first barrier layer 13Oi and the second barrier layer 130 ⁇ is combined into one single layer, which can be deposited by ALD or by PVD.
  • the material of this single layer barrier can be tantalum, tantalum nitride, ruthenium, or a combination of these films.
  • the substrate undergoes previously described requite surface treatment steps to ensure the barrier surface is Ta-rich.
  • a copper film 132 is then deposited, either using a PVD seed 131 or electroless seed 131 followed by a thick gap-fill copper layer to fill the via holes 114 and the trenches 116.
  • substrate 1200 is planarized to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 12C.
  • the substrate then undergoes previously described requite surface treatment steps to ensure the substrate surface is clean and the copper surface is removed of copper oxide.
  • the next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 16D.
  • the cobalt-alloy include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process.
  • the thickness of the adhesion promoter layer can be as thin as a monolayer, which is only a few angstroms, to a thicker layer, such as 200 angstroms.
  • Figure 13A shows an embodiment of a process flow of preparing the barrier (or liner) layer surface for electroless copper deposition and preparing the post-CMP copper surface for electroless cobalt-alloy deposition.
  • the top surface 122a of the metal lines 101 is cleaned to remove native copper oxide. Copper oxide can be removed by an Ar sputtering process or a wet chemical etch process.
  • a first barrier layer (130i of Figure 12B) is deposited in an ALD system.
  • a second barrier layer (130II of Figure 12B) is deposited in a PVD system.
  • the barrier layer is critical in ensuring that electroless copper is being deposited on the barrier layer with good adhesion between copper and the barrier layer.
  • the substrate should be transferred or processed in a controlled-ambient environment to limit exposure to oxygen.
  • the barrier layer is treated by a reducing plasma (i.e. hydrogen-containing) at step 1305 to produce a metal-rich layer that will provide a catalytic surface for the subsequent copper seed deposition step.
  • the reducing plasma treatment is optional, depending on the composition of the surface.
  • a conformal copper seed is deposited on the barrier surface at step 1307, followed by a thick copper bulk fill (or gap fill) process, 1308.
  • the conformal copper seed layer can be deposited by an electroless process.
  • the thick copper bulk fill (also gap fill) layer can be deposited by an ECP process.
  • the thick bulk fill (also gap fill) layer can 1 be deposited by an electroless process in the same electroless system for conformal copper seed, but with a different chemistry.
  • the copper layer 132 is removed from the substrate surface above barrier layer 130 over dielectric 106 at step 1309, as shown in Figure 11C. Barrier layer is removed afterwards. Both removal processes are performed in process step 1309 of Figure 13 A. Removing copper from the surface above barrier layer can be accomplished by CMP, which is a wet process. Barrier layer can be removed by using CF 4 plasma, O2/Ar sputtering, CMP, or a wet chemical etch. These barrier etch processes have been described previously.
  • a cleaning process to remove Cu-BTA complexes and metal oxides (step 1310) and an organic contaminants removal process (step 1311) are performed to remove contaminants from the substrate surface. Details of substrate surface cleaning using these two steps after metal CMP have been described above.
  • the substrate is treated with a reducing plasma, for example a hydrogen-containing plasma, to reduce all residual metal oxide into metal at step 1312.
  • a reducing plasma for example a hydrogen-containing plasma
  • the copper surface is very clean and catalytic, and is ready for electroless deposition of the cobalt-alloy.
  • the substrate undergoes electroless deposition of cobalt-alloy with a rinse and dry of substrate.
  • the last process step 1315 is an optional substrate-cleaning step to clean any residual contaminants from the previous electroless cobalt-alloy deposition.
  • Figure 13B shows an embodiment of a schematic diagram of an integrated system 1350 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier and copper surface preparation.
  • the substrate is transferred from one process station immediately to the next process station, which limits the duration that clean copper surface is exposed to low levels of oxygen.
  • the integrated system 1350 can be used to process substrate(s) through the entire process sequence of flow 1300 of Figure 13A.
  • the integrated system 1350 has 3 substrate transfer modules 1360, 1370, and 1380. Transfer modules 1360, 1370 and 1380 are equipped with robots to move substrate 1355 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 1360 is operated under lab ambient. Module 1360 interfaces with substrate loaders (or substrate cassettes) 1361 to bring the substrate 1355 into the integrated system or to return the substrate to one of the cassettes 1361.
  • the substrate 1355 is brought to the integrated system 1350 to deposit barrier layer, to prepare barrier surface for copper layer deposition, and to prepare post-CMP copper surface for electroless cobalt-alloy deposition.
  • top copper surface 122a of metal lines 101 is etched to remove native copper oxide. Once the copper oxide is removed, the exposed tungsten surface 122a of Figure 12A needs to be protected from exposure to oxygen.
  • the removal process is an Ar sputtering process
  • the Ar sputtering reactor 1371 is coupled to the vacuum transfer module 1370. If a wet chemical etching process is selected, the reactor should be coupled to the controlled-ambient transfer module 1380, not the lab- ambient transfer module 1360, to limit the exposure of the clean tungsten surface to oxygen.
  • the substrate is deposited with first and second barrier layers.
  • the first barrier layer 13Oi of Figure 12B is deposited by an ALD process, which is a dry process and is operated at less than 1 Torr.
  • the ALD reactor 1372 is coupled to the vacuum transfer module 1370.
  • the second barrier layer 130 ⁇ of Figure 12B is deposited by a PVD or ALD process, which is a dry process and is operated at less than 1 Torr.
  • the PVD reactor 1373 is coupled to the vacuum transfer module 1370.
  • the substrate can undergo an optional hydrogen reduction process to ensure the barrier layer surface is metal-rich for electroless copper deposition.
  • the hydrogen reduction reactor 1374 can be coupled to the vacuum transfer module 1370. At this stage, the substrate is ready for electroless copper deposition.
  • the electroless copper plating can be performed in an electroless copper plating reactor 1381 to deposit a conformal copper seed layer, as described in step 1307 of Figure 13 A.
  • the deposition of the gap fill copper layer at step 1308 of Figure 13A can be deposited in the same electroless plating reactor 1381 with different chemistry, or in a separate ECP reactor 1381'.
  • the substrate is removed of copper overburden and barrier overburden, as described in step 1309 of Figure 13 A.
  • the removal of copper overburden and barrier over burden can be accomplished in one CMP system 1383, or in two CMP systems. In the embodiment shown in Figure 13 A, only one CMP system 1383 is used.
  • Wet clean system 1385 is used to remove copper BTA complexes and metal oxides.
  • C» 2 plasma system 1377 is used to remove organic contaminants. In one embodiment, O 2 plasma process to remove organic contaminants can be performed in the hydrogen reduction chamber 1374.
  • the substrate undergoes a reduction process, as described in step 1312 of Figure 13 A.
  • the hydrogen reduction process is used to reduce copper oxide to copper and can occur in the same reduction reactor 1374 used to reduce the barrier surface to be Ta-rich.
  • the copper surface is ready for electroless cobalt-alloy deposition, which can be performed in reactor 1387.
  • the substrate Before the substrate leaves the integrated system 1350, the substrate can optionally undergoes a surface cleaning process, which can clean residues from the previous copper plating process.
  • the substrate cleaning process can be brush clean process, whose reactor 1163 can be integrated with the lab-ambient transfer module 1360.
  • FIG. 14A is a cross section of a gate structure 127 that includes a thin gate oxide 121, a polysilicon layer 105 and a nitride spacer 107 on a silicon substrate 110. Shallow trench isolation (STI) 65 is used to separate active devices.
  • STI Shallow trench isolation
  • Source area 61 and drain area 63 On two sides of the gate structure are source area 61 and drain area 63. On the source area 61, there is an exposed silicon surface 62. On the drain area 63, there is an exposed silicon surface 64. On the polysilicon layer 105, there is an exposed polysilicon 109. Metal suicide is formed to reduce sheet resistance.
  • metal 111 such as nickel (Ni), titanium (Ti), or cobalt (Co) is first deposited on the silicon surface, as shown in Figure 14B.
  • metal 111 is deposited on the substrate surface by a PVD process, and is not selective to the silicon or dielectric regions.
  • the metal is then annealed to form the metal-silicon alloy (suicide) in regions where the metal is in contact with the silicon or polysilicon substrate. No suicide is formed in the dielectric regions. Un-reacted metal is removed selectively to the suicide, including metal in the dielectric regions as well as remaining un-reacted metal above the suicided regions.
  • Electroless metal deposition can be an alternative process to replace the current Co or Ni deposition process.
  • the metal-silicide layer can be made thicker and provide improved etch stop characteristics as well as allow metal-metal contact formation.
  • the silicon surface needs to be very clean and free of native silicon oxide.
  • the substrate is thermally treated at high temperature, such as between about 800 0 C to about 900 0 C, to form metal silicide 113, as shown in Figure 14C.
  • the metal suicide 113 formed can allow contact 125 to electrically communicate with the drain area 61, as shown in Figure 14D.
  • FIG. 15A show an embodiment for a process flow 1500 used to form metal silicide.
  • metal contaminants are removed from all dielectric surfaces; this can be performed using known methods and chemistries.
  • Step 1501 is an optional step, which is needed only when there is concern of surface metal contamination.
  • Organic contaminants are next removed from substrate surface, step 1502. As mentioned above, organic contaminants can be removed by either a variety of dry or wet processes.
  • the silicon surface is reduced to convert native silicon oxide to silicon. Native silicon oxide is a self-limiting process; therefore, the oxide layer is quite thin and does not need an oxide removal step prior to the reduction process.
  • the reduction process can be a hydrogen plasma process.
  • the silicon surface is ready for electroless metal deposition.
  • a metal such as Ni, Ti, or Co, is selectively deposited over the exposed silicon (including polysilicon) surface at step 1505.
  • the selective metal deposition can be accomplished by an electroless process.
  • the substrate undergoes an optional substrate cleaning using known methods and chemistries at step 1507.
  • the substrate then undergoes a high temperature process (or annealing) to form metal suicide at step 1509.
  • Figure 15B shows an embodiment of an integrated system 1550 that includes a lab- ambient transfer module 1560, a vacuum transfer module 1950, and a controlled-ambient transfer module 1580.
  • the lab-ambient transfer module 1560 is coupled to cassettes 1561 that hold substrate(s) 1555.
  • the metal contaminants are removed by a wet clean process, such as one of the wet clean processes used to remove metal contaminants described above.
  • the wet clean can be performed in chamber 1565 coupled to the lab- ambient transfer module 1560. Since this process step is optional, chamber 1565 in Figure 15B is dotted. Following removal of metal contaminants, organic contaminants are removed.
  • the organic contaminants are removed in an oxidizing plasma, such as O 2 , H2O, or ozone plasma, in reactor 1571, coupled to the vacuum transfer module 1570, since the O 2 plasma process is a low pressure dry process, operated at pressure less than 1 Torr.
  • an oxidizing plasma such as O 2 , H2O, or ozone plasma
  • silicon surface reduction at step 1503 of flow 1500 can be performed in reactor 1573.
  • the substrate is then transferred to the next system to be deposited with the metal used to form metal suicide (or suicide metal) in an electroless process reactor 1581.
  • the substrate is transferred from reactor 1573 through vacuum transfer module 1570, loadlock 1575, and controlled-ambient transfer module 1580, and finally arrives in reactor 1581 for processing.
  • the electroless metal deposition reactor 1581 is equipped with a rinse/dry system.
  • the substrate can undergo an optional substrate cleaning after metal deposition in a wet clean chamber 1583, as described in process step 1507 of Figure 15A.
  • the substrate is sent to a thermal reactor 1576, such as a rapid thermal processing (RTP) reactor, to form metal suicide.
  • RTP rapid thermal processing
  • FIG. 16 shows a schematic diagram that shows how different processes are integrated.
  • the lab-ambient transfer module can integrate with cassettes, wet process (es), and dry process that do not need to limit exposure to oxygen (or not-controlled process).
  • the vacuum transfer module can integrate low- pressure dry processes.
  • the vacuum transfer module is operated under vacuum, such as less than 1 Torr; therefore, the exposure to oxygen is limited and controlled, Loadlock I enables substrate transport between the lab-ambient transfer module and the vacuum transfer module.
  • Controlled-ambient transfer module can integrate wet processes, near atmospheric pressure processes, and high-pressure processes.
  • high pressure is used to differentiate from low-pressure process.
  • the pressure of the high-pressure process refers to pressure greater than atmospheric pressure process, such as the supercritical CO 2 process described previously.
  • there is a loadlock (not shown) between the high-pressure process chamber and the controlled-ambient transfer module to enable substrate being efficiently transferred between the transfer module and the process chamber.
  • Loadlock II enables substrates to be transferred between the vacuum transfer module and the controlled- ambient transfer module.
  • the controlled-ambient transfer module and the reactors coupled to the controlled-ambient transfer module are filled with inert gas to limit exposure to oxygen.
  • Loadlock EL can be pump down to receive substrate from the vacuum transfer module.
  • Loadlock II can also be filled with inert gas to exchange substrate with the controlled-ambient transfer module.

Abstract

The embodiments provide processes and integrated systems that produce a metal-to-metal or a silicon-to-metal interface to enhance electro-migration performance, to provide lower metal resistivity, and to improve metal-to-metal or silicon-to-metal interfacial adhesion for copper interconnects. An exemplary method of preparing a substrate surface to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of in an integrated system to improve electromigration performance of a copper interconnect is provided. The method includes removing contaminants and metal oxides from the substrate surface in the integrated system, and reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system. The method also includes selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface. System to practice the exemplary method described above are also provided.

Description

PROCESSES AND INTEGRATED SYSTEMS FOR ENGINEERING A SUBSTRATE SURFACE FOR METAL DEPOSITION
By Inventors:
Yezdi Dordi, Fritz C. Redeker, John Boyd, William Thie, Tiruchirapalli Arunagiri, Art Howald, Hyungsuk Alexander Yoon, and Johan Vertommen
BACKGROUND
[1] Integrated circuits use conductive interconnects to wire together the individual devices on a semiconductor substrate, or to communicate externally to the integrated circuit. Interconnect metallization for vias and trenches may include aluminum alloys and copper. Electro-migration (EM) is a well-known reliability problem for metal interconnects, caused by electrons pushing and moving metal atoms in the direction of current flow at a rate determined by the current density. Electro-migration can eventually lead to the thinning of the metal line, which can result in higher resistivity or, worst case, a metal line breakage. Fortunately, not every interconnect metal line on an IC has current moving in the same direction all the time, as it mostly does in power supply and ground lines. However, as metal lines get narrower (International Technology Roadmap for Semiconductors (ITRS) calls for a ~0.7κ reduction in the line width for every technology node), electro-migration becomes more of an issue.
[21 In aluminum lines, EM is a bulk phenomenon and is well controlled by the addition of small amounts of a dopant, such as copper. EM in copper lines, on the other hand, is a surface phenomenon. It can occur wherever the copper is free to move, typically at an interface where there is poor adhesion between the copper and another material. In today's dual-damascene process, this happens most often on the top of the copper line where it interfaces with what is typically a SiC diffusion barrier layer, but it can also happen at the copper/barrier interface. With each migration to the next technology node, and resulting increase in current density, the problem worsens.
[3] The solution to EM problems, as well as related stress voids, another common reliability problem, has been a story of process integration: optimized depositions (i.e. reducing thickness of barrier and seed layers), pre- and post-deposition wafer cleanings, surface treatments, etc., all aimed at providing homogeneous surfaces and good adhesion between layers to minimize metal atom migration and void propagation. In the dual- damascene process, trenches and holes (for contacts and vias) are etched in the dielectric, then lined with a barrier material, such as tantalum (Ta), tantalum nitride (TaN), or a combination of both films, followed by the deposition of a copper seed layer, copper electroplating, copper planarization using CMP and then deposition of a dielectric stack, such as SiC/low-k/SiC. Since an oxide readily forms on copper when copper is exposed to air, proper post-CMP cleaning and removal of the copper oxide before capping the copper with SiC is required to ensure good adhesion between copper and SiC. Removal of the copper oxide prior to the SiC deposition is essential to good EM performance and reducing resultant metal resistivity.
[4] Recently, capping Copper with a cobalt-alloy capping layer, such as CoWP (cobalt tungsten phosphide), CoWB (cobalt tungsten boride), or CoWBP (cobalt tungsten boro- phosphide), before the SiC dielectric barrier layer, has been shown to significantly improve electro-migration, compared to SiC over copper. Figure 1 shows that the cobalt-alloy capping layers 20, 30 are deposited over copper layers 23, 33 and under dielectric capping SiC layers 25, 35, respectively. Ta and/or TaN barrier layers are illustrated as layers 24, 34. The cobalt-alloy layers 20, 30 improve the adhesion between copper 23, 33 and SiC cap layers 25, 35. The cobalt-alloy layers 20, 30 can also exhibit certain copper diffusion barrier characteristics. The cobalt-alloy capping layers can be selectively deposited on copper by electroless deposition. However, the electroless deposition can be inhibited by thin copper oxide layer, which can be formed when copper is exposed to air. Further, contaminants on the copper and dielectric surfaces can cause pattern-dependent plating effects include pattern- dependent thickness of the Co alloy, as well as pattern-dependent copper line thickness loss in part due to etching during the 'incubation' time required to initiate the Co plating reaction. Therefore, it is important to control the processing environment to limit (or control) the growth of native copper oxide, and to remove copper oxide and organic contaminants on the copper surface and" organic and metallic contaminants on the dielectric surface immediately prior to depositing the metallic capping layer, such as a cobalt-alloy. Further, to reduce pattern-dependent deposition variability, the dielectric surface must be controlled to normalize its influence across structures of different pattern densities. Engineering the metal-to-metal interface between the copper layers 23, 33, between copper and barrier layers 33 and 34, 23 and 24, and the adhesion promoting layers (or metallic capping layers), such as the cobalt-alloy layers 20, 20, is very critical in ensuring good interfacial adhesion and good EM performance. Further, as metal lines become narrower, physical vapor deposition (PVD) barrier and seed films form a larger part of the metal line, increase the effective resistivity, and hence current density. Thin and conformal barrier and seed layers can mitigate this trend, with atomic layer deposition (ALD) barriers (TaN, Ru or hybrid combinations) providing conformal step coverage and acceptable barrier properties, and electroless Cu process providing a conformal seed layer. Until now, however, there is no electroless Cu seed layer that can adhere to the ALD TaN barrier films produced.
[5] In view of the foregoing, there is a need for systems and processes that produce a metal-to-metal interface with improved electro-migration performance, low sheet resistance, and improved interfacial adhesion for copper interconnects.
SUMMARY
[6] Broadly speaking, the embodiments fill the need by providing improved processes and systems that produce an improved metal-to-metal interface or a silicon-to-metal interface to enhance electro-migration performance, provide lower metal resistivity, and improve metal-to-metal or silicon-to-metal interfacial adhesion for copper interconnects. It should be appreciated that the present invention can be implemented in numerous ways, including as a solution, a method, a process, an apparatus, or a system. Several inventive embodiments of the present invention are described below.
[7] In one embodiment, a method of preparing a substrate surface of a substrate to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of a copper interconnect of the substrate in an integrated system to improve electromigration performance of the copper interconnect is provided. The method includes removing contaminants and metal oxides from the substrate surface in the integrated system, and reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system. The method also includes selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface. 18] In another embodiment, an integrated system for transferring and processing a substrate in controlled environment to enable selective deposition of a thin layer of a cobalt- alloy material on a copper surface of a copper interconnect to improve electromigration performance of the copper interconnect is provided. The integrated system includes a lab- ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system, and a substrate cleaning reactor coupled to the lab-ambient transfer chamber, wherein the substrate cleaning reactor cleans the substrate surface to remove metal-organic complex contaminants on the substrate surface.
[9] The system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber, and a vacuum process module for removing organic contaminants from the substrate surface; wherein the vacuum process module is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr. The system further includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber. In addition, the system includes an electroless cobalt-alloy material deposition process module used to deposit the thin layer of cobalt-alloy material on the copper surface of the copper interconnect after the substrate surface has been removed of metallic contaminants and organic contaminants, and the copper surface has been removed of copper oxide, the electroless cobalt-alloy material deposition process module being one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber and is filled with an inert gas selected from a group of inert gases and having a fluid delivery system where process fluids are de-gassed.
[10] In another embodiment, a method of preparing a substrate surface of a substrate to deposit a metallic barrier layer to line a copper interconnect structure of the substrate and to deposit a thin copper seed layer on a surface of the metallic barrier layer in an integrated system to improve electromigration performance of the copper interconnect is provided. The method includes cleaning an exposed surface of a underlying metal to remove surface metal oxide in the integrated system. The underlying metal is part of a underlying interconnect electrically connected to the copper interconnect. The method also includes depositing the metallic barrier layer to line the copper interconnect structure in the integrated system. After depositing the metallic barrier layer, the substrate is transferred and processed in controlled environment to prevent the formation of metallic barrier oxide. The method further includes depositing the thin copper seed layer in the integrated system and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
[11] In another embodiment, A method of preparing a metallic barrier surface of a substrate to deposit a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect structure in an integrated system to improve electromigration performance of the copper interconnect structure is provided. The method includes reducing a surface of the metallic barrier layer to convert metallic barrier oxide on the surface of the metallic barrier layer to make the surface of the metallic barrier layer to be metallic-rich in the integrated system. The method also includes depositing the thin copper seed layer in the integrated system, and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
[12] In another embodiment, an integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect is provided. The integrated system includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system. The integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr. At least one vacuum process module is coupled to the vacuum transfer chamber. The integrated system further includes a vacuum process module for cleaning an exposed surface of a metal oxide of a underlying metal in the integrated system'. The underlying metal is part of a underlying interconnect, the copper interconnect is electrically connected to the underlying interconnect. The vacuum process module for cleaning is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
[13] In addition, the integrated system includes a vacuum process module for depositing the metallic barrier layer. The vacuum process module for depositing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr. Additionally, the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases. At least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber. The integrated system further includes an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer. The electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
[14J In another embodiment, an integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect is provided. The integrated system includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system. The integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr. At least one vacuum process module is coupled to the vacuum transfer chamber.
115] The integrated system further includes a vacuum process module for reducing the metallic barrier layer. The vacuum process module for reducing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr. In addition, the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases. At least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber. Additionally, the integrated system includes an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer. The electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
[16] In another embodiment, a method of preparing a substrate surface of a substrate to selectively deposit a layer of a metal on a silicon or polysilicon surface of the substrate to form a metal suicide in an integrated system is provided. The method includes removing organic contaminants from the substrate surface in the integrated system, and reducing the silicon or polysilicon surface in the integrated system after removing organic contaminants to convert silicon oxide on the silicon or polysilicon surface to silicon. After reducing the silicon or polysilicon surface, the substrate is transferred and processed in controlled environment to prevent the formation of silicon oxide, the silicon or polysilicon surface is reduced to increase the selectivity of the metal on the silicon surface. The method also includes selectively depositing the layer of the metal on the silicon or polysilicon surface of substrate in the integrated system after reducing the silicon or polysilicon surface.
[17] In another embodiment, an integrated system for processing a substrate in controlled environment to enable selective deposition of a layer of a metal on a silicon surface of a substrate to form a metal silicide is provided. The integrated system includes a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system. The integrated system also includes a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr. At least one vacuum process module is coupled to the vacuum transfer chamber. The integrated system further includes a vacuum process module for removing organic contaminants from the substrate surface. The vacuum process module for removing the organic contaminants is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr.
[18] In addition, the integrated system includes a vacuum process chamber for reducing the silicon surface. The vacuum process module for reducing the silicon surface is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr. Additionally, the integrated system includes a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled- ambient transfer chamber. The integrated system further includes an electroless metal deposition process module used to selectively deposit the thin layer of the metal on the silicon surface after the silicon surface has been reduced, the electroless metal deposition process module is one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber.
[19] Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention. BRIEF DESCRIPTION OF THE DRAWINGS
[20] The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, and like reference numerals designate like structural elements.
[21] Figure 1 shows an exemplary cross section interconnects.
[22] Figures 2A-2D show cross sections of an interconnect structure at various stages of interconnect processing.
[23] Figure 3 shows various forms of contaminants on substrate surface after metal CMP.
[24] Figure 4A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
[25] Figure 4B shows an exemplary system used to process a substrate through a process flow of Figure 4A.
[26] Figures 5A-5C show cross sections of an interconnect structure at various stages of interconnect processing.
[27] Figure 6A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
[28] Figure 6B shows an exemplary system used to process a substrate through a process flow of Figure 6A.
[29] Figures 7A-7C show cross sections of an interconnect structure at various stages of interconnect processing.
[30] Figure 8A shows an exemplary process flow to prepare a copper surface for electrolessly depositing a cobalt-alloy.
[31] Figures 8B shows an exemplary system used to process a substrate through a process flow of Figure 8A.
[32] Figures 9A-9E show cross sections of a metal line structure at various stages of processing. [33]- Figure 1OA shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer.
[341 Figure 1OB shows an exemplary system used to process a substrate through a process flow of Figure 1OA.
[35] Figure 1OC shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer.
[36] Figure 1OD shows an exemplary system used to process a substrate through a process flow of Figure 1OC.
[37] Figure HA shows an exemplary process flow to prepare a barrier layer surface for electrolessly depositing a copper layer and to prepare a copper surface for electrolessly depositing a cobalt-alloy.
[38] Figure 1 IB shows an exemplary system used to process a substrate through a process flow of Figure HA.
[39] Figures 12A-12D show cross sections of an interconnect structure at various stages of processing.
[40] Figure 13A shows an exemplary process flow to prepare a barrier surface for electroless copper deposition and to prepare a copper surface for electrolessly depositing a cobalt-alloy.
[41] Figure 13B shows an exemplary system used to process a substrate through a process flow of Figure 13 A.
[42] Figures 14A-14D show cross section of a gate structure at various stages of forming metal suicide.
[43] Figure 15 A shows an exemplary process flow to prepare exposed silicon surface to form a metal suicide.
[44] Figure 15B shows an exemplary system used to process a substrate through a process flow of Figure 15 A.
[45] Figure 16 shows a schematic diagram of system integration for an integrated system with ambient-controlled processing environments. DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
[46] Several exemplary embodiments for improved metal integration techniques that modify metal interfaces by removing interfacial metal oxide by reduction to improve electro- migration metal resistivity and interface adhesion are provided. It should be appreciated that the present invention can be implemented in numerous ways, including a process, a method, an apparatus, or a system. Several inventive embodiments of the present invention are described below. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
[47] Figure 2A shows an exemplary cross-section of an interconnect structure(s) after being patterned by using a dual damascene process sequence. The interconnect structure(s) is on a substrate 50 and has a dielectric layer 100, which was previously fabricated to form a metallization line 101 therein. The metallization line is typically fabricated by etching a trench into the dielectric 100 and then filling the trench with a conductive material, such as copper.
[48] In the trench, there is a barrier layer 120, used to prevent the copper material 122, from diffusing into the dielectric 100. The barrier layer 120 can be made of PVD tantalum nitride (TaN), PVD tantalum (Ta), ALD TaN, or a combination of these films. Other barrier layer materials can also be used. A barrier layer 102 is deposited over the planarized copper material 122 to protect the copper material 122 from premature oxidation when via holes 114 are etched through overlying dielectric materials 104, 106 to the barrier layer 102. The barrier layer 102 is also configured to function as a selective etch stop and a copper diffusion barrier. Exemplary barrier layer 102 materials include silicon nitride (SiN) or silicon carbide (SiC).
[49] A via dielectric layer 104 is deposited over the barrier layer 102. The via dielectric layer 104 can be made of an organo-silicate glass (OSG, carbon-doped silicon oxide) or other types of dielectric materials, preferably with low dielectric constants. Exemplary silicon dioxides can include, a PECVD un-doped TEOS silicon dioxide, a PECVD fluorinated silica glass (FSG), a HDP FSG, OSG, porous OSG, etc. and the like. Commercially available dielectric materials including Black Diamond (T) and Black Diamond (H) by Applied Materials of Santa Clara, California, Coral by Novellus Systems of San Jose, Aurora by ASM America Inc. of Phoenix, Arizona, can also be used. Over the via dielectric layer 104 is a trench dielectric layer 106. The trench dielectric layer 106 may be a low K dielectric- material, such as a carbon-doped oxide (C-oxide). The dielectric constant of the low K dielectric material can be about 3.0 or lower. In one embodiment, both the via and trench dielectric layers are made of the same material, and deposited at the same time to form a continuous film. After the trench dielectric layer 106 is deposited, the substrate 50 that holds the structure(s) undergoes patterning and etching processes to form the vias holes 114 and trenches 116 by known art.
[50] Figure 2B shows that after the formation of vias holes 114 and trenches 116, a barrier layer 130 and a copper layer 132 are deposited to line and fill the via holes 114 and the trenches 116. The barrier layer 130 can be made of tantalum nitride (TaN), tantalum (Ta), Ruthenium (Ru), or a hybrid combination of these films. While these are the commonly considered materials, other barrier layer materials can also be used. A copper film 132 is then deposited to fill the via holes 114 and the trenches 116.
[51] After copper film 132 fills the via holes 114 and trenches 116, substrate 50 is planarized by chemical-mechanical polishing (CMP) to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 2C. The next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 2D. Examples of the cobalt-alloy include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process. The thickness of the adhesion- promoting layer can be as thin as a monolayer, which is only a few angstroms, such as 5 angstroms, to a thicker layer, such as 200 to 300 angstroms, which could also serve as a Cu diffusion barrier, eliminating the need for a dielectric cap.
[52] Chemical-mechanical polishing (CMP) of copper often uses benzotrizole (BTA) as a copper corrosion inhibitor. Copper forms Cu-BTA complexes with BTA. A substrate that has been processed through Cu CMP and post-CMP clean can contain copper residues in the form of a Cu-BTA complex, which is illustrated as open circles in Figure 3, on both the Cu lines and the adjacent dielectric. Cu-BTA complexes on the dielectric need to be removed to prevent increased current leakage or metal shorting. Further, residues of Ta or other barrier material, which is illustrated as open triangles in Figure 3, may be present in small amounts, in addition to various organic contaminants, which are illustrated as filled circles in Figure 3. In addition to these contaminants, there are various oxides of the metals present, primarily CuO and CuO2, which are illustrated as filled triangles in Figure 3. Cu-BTA complexes metal oxides, and organic contaminants are three major surface contaminants that must be removed from the substrate surface. Preparing a dielectric surface and a metal surface that are free of organics and metal-containing compound contaminants is challenging and requires multiple surface preparation steps that could include both wet and dry processes.
[53] Following are several exemplary process flows and systems that provide surface preparation of an underlying metal to allow an over-laying metal layer to be deposited on top with good adhesion properties between the two metal layers. The metal layers deposited by the exemplary process flows and systems would exhibit improved EM performance and thus an overall lower metal resistivity.
1. Engineering copper surface for cobalt-alloy deposition CASE I: Metal CMP stops on dielectric layer
[54] Figure 4A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over a post-CMP copper surface 140 of the dual- damascene via-trench structure shown in Figure 2C. The substrate(s) used in the process flow 400 of Figure 4A have just finished metal CMP processing(s) to remove copper and barrier overburden layers, such as Ta and/or TaN. As described above in the paragraph related to Figure 3, there are various metallic and organic contaminants on the substrate surface.
[55] The process starts at step 401 by removing metal-organic complex contaminants (or complexed metal-organic contaminants), such as Cu-BTA complex, and metal oxides from the substrate surface. Although metal contaminants will be removed from both the copper and dielectric surfaces, the purpose of this step is to enhance selectivity and improve the Co film morphology by eliminating potential sources of metal that could later serve as nucleation sites for the subsequent Co-alloy deposition. Copper-BTA complex, copper oxide (CuOx) and other metal oxides, such as tantalum oxide (TaOy), are removed from the substrate surface during this step. The amount of copper oxide to be removed depends on the contaminant level and depth of metal oxides on the surface. Metal complex and metal oxide can be removed by an Oz/ Ax sputtering process, or a wet chemical removal process in an 1- step or a 2-step wet chemical process sequence. The preferred embodiment uses a wet process to remove the complexed metal and metal oxides. The wet chemical removal process can use an organic acid, such as DeerClean offered by Kanto Chemical Co., Inc. of Japan or a semi-aqueous solvent, such as ESC 5800 offered by DuPont of Wilmington, Delaware, an organic base such as tetramethylammonium chloride (TMAH), complexing amines such as ethylene diamine, diethylene triamine, or proprietary chemistry such as ELD clean and Cap Clean 61, provided by Enthone, Inc. of West Haven, Connecticut. Removing Cu-BTA from the dielectric surface ensures that copper from the Cu-BTA complex will not be oxidized to copper oxide and subsequently reduced to copper during other surface preparation steps, reducing selectivity and providing nucleation points on the dielectric surface on which to grow the Co alloy, causing shorts and increasing the leakage current. Therefore, Cu-BTA removal process can also reduce yield loss due to metal shorting or current leakage.
[56] Cu-BTA complexes and other metal oxide contaminants are two key metal contaminants to be removed during this step, which can be done in either a controlled or uncontrolled ambient (or environment). For example, Cu-BTA can be removed by a wet clean process that involves a cleaning solution including tetramethylammonium hydroxide (TMAH), complexing amines such as ethylene diamine, diethylene triamine, or proprietary cleaning chemistries such as ELD clean and Cap Clean 61, provided by Enthone, Inc. of West Haven, Connecticut. Metal oxides, specifically copper oxide, can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. <0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used. The wet clean process can also remove other metal or metal oxide residues.
[57] The presence of BTA on the copper lines of different pattern or feature types, such as small dense, small isolated or wide copper lines, is a result of passivation of the lines, the amount of which is related, in part, to the degree of the galvanic effect occurring on these features. This can result in the formation of pattern-dependent passivation layers. This dependence can further influence the Co-alloy deposition characteristics, resulting in pattern- dependent deposition characteristics, sometimes referred to as incubation or initiation effects. Removing BTA from the Cu lines can help eliminate this pattern-dependent deposition effect of cobalt-alloy (to be deposited at a subsequent step) and allow uniform cobalt-alloy deposition in the dense and isolated features.
[58] The organic contaminants can be removed by an oxidizing plasma such as an oxygen- containing plasma process in step 403. The oxygen (O2) plasma process is preferably conducted at a relatively low temperature of less than 1200C. High temperature O2 plasma process tends to oxidize copper into a thicker layer, making it harder to reduce later. Therefore, a low temperature O2 plasma process is preferred. In one embodiment, the O2 plasma process can be a downstream plasma process. Alternatively, organic residues (or contaminants) can also be removed by using an O2ZAr sputtering process to physically remove the organic contaminants. O2 plasma process and O2ZAI sputtering process are typically operated under less than 1 Torr.
[59] Once the substrate surface is free of contaminants, such as Cu-BTA, metal oxide, and other organic contaminants, the substrate should be exposed to as little oxygen as possible to protect the copper surface from oxidation. Copper oxidation is not a self-limiting process. The amount and duration of oxygen the copper surface is exposed to should be limited (or controlled) to minimize the copper oxide formation. Although copper oxide will be reduced at a later step, thicker layers of copper oxide may not be fully reduced. Therefore, it is important to limit the exposure of copper to oxygen to only that needed to remove the organic contaminants. To achieve controlled and limited exposure to oxygen, the substrate should be transferred or processes in controlled environments, such as an environment under vacuum or an environment filled with inert gas (es).
[60] To ensure that the copper surface is free of copper oxide, the substrate surface is reconditioned in a reducing environment to convert any residual copper oxide into copper at step 405. The previous pre-clean steps will have removed any metals from the dielectric layer, and thus metal reduction is performed only on the copper lines. The copper surface reduction can be achieved by a hydrogen-containing plasma process to convert copper oxide to copper (or substantially copper). Exemplary reactive gases that can be used to generate the hydrogen-containing plasma include hydrogen (H2), ammonia (NH3), and carbon monoxide (CO). For example, the substrate surface is reduced by a hydrogen-containing plasma, which is generated by hydrogen (H2) gas, ammonia (NH3) gas, or a combination of both gases, and the substrate is at an elevated temperature of between 200C and 3000C. In one embodiment, the hydrogen plasma process is a downstream plasma process. Once the substrate goes through a hydrogen reduction process, the substrate is ready for the cobalt-alloy deposition. The copper surface needs to be carefully protected to ensure no copper oxide formation. As described above, electroless deposition of cobalt-alloy can be inhibited by the presence of copper oxide. Therefore, it is important to control the processing and transport environments to minimize the exposure of the copper surface to oxygen.
[61] At next process step 407, the cobalt-alloy, such as CoWP, CoWB or CoWBP, is electrolessly deposited on top of the copper surface. The electroless deposition of the cobalt- alloy is a wet process, and deposits on catalytic surfaces, such as copper surface, only. The cobalt-alloy only deposits selectively on the copper surface.
[62] After the electroless deposition of the cobalt-alloy, the process flow can enter an optional process step 409 of a post-deposition clean. Post-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania. Other substrate surface cleaning processes can also be used, such as Lam's C3™ or P3™ cleaning technology. Other post clean chemicals can include a hydroxylamine-based chemistry to remove any metal-based contaminants that might remain on the dielectric surface after electroless plating.
[63] As described above, the process and wafer transfer environment control is very important for preparing the copper surface for cobalt-alloy deposition, especially after the hydrogen plasma reduction of the copper surface. Figure 4B shows a schematic diagram of an exemplary integrated system 450 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, which limits the duration that the prepared copper surface is exposed to oxygen. The integrated system 450 can be used to process substrate(s) through the entire process sequence of flow 400 of Figure 4A. [64] As described above, the surface treatments, electroless deposition of cobalt-alloy and the optional post-cobalt-alloy deposition process involve a mixture of dry and wet processes. The wet processes are typically operated near atmosphere, while the dry O2 plasma, hydrogen plasma, and (VAr sputtering are all operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes. The integrated system 450 has 3 substrate transfer modules (or chambers) 460, 470, and 480. Transfer chambers 460, 470 and 480 are equipped with robots to move substrate 455 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 460 is operated under lab ambient, which refers to the laboratory (or factory) environment that is under room temperature, atmospheric pressure and exposed to air, usually HEPA- or ULPA-filtered to control particle defects. Module 460 interfaces with substrate loaders (or substrate cassettes) 461 to bring the substrate 455 into the integrated system or to return the substrate to the cassette(s) 461 to continue processing outside the system 450.
[65] As described above in process flow 400, the substrate 455 is brought to the integrated system 450 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by metal CMP to remove excess metal from the substrate surface and leaves the metal only in the metal trenches, as shown in Figure 2C. As described in step 401 of process flow 400, the substrate, surface needs to be removed of surface contaminants such as Cu-BTA complex and other metal oxide residues. Cu-BTA and metal oxides can be removed by a wet clean process involving clean solution, such as a solution containing TMAH or complexing amines such as, but not limited to, ethylene diamine or diethylamine triamine. Following BTA-metal complex removal, metal oxides remaining on the copper and dielectric surfaces can be removed using a wet clean process involving a clean solution such as a solution containing citric acid, or other organic acid that can remove copper oxide more or less selectively to copper. Metal oxides, specifically copper oxide, can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. <0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used. The wet clean process can also remove other metal or metal oxide residues. [66] A wet clean reactor 463 can be integrated with the lab-ambient transfer module 460, which is operated at lab ambient condition. The wet clean reactor 463 can be used to perform the 1-step or 2-step clean described above at step 401 of Figure 4A. Alternatively, an additional wet clean reactor 463' can be integrated with the lab-ambient transfer module 460 to allow the first step of the 2-step cleaning process to be performed in reactor 463 and the second step be performed in reactor 463'. For example, a cleaning solution containing chemical such as TMAH for cleaning Cu-BTA is in reactor 463 and a cleaning solution containing a weak organic acid such as citric acid for cleaning metal oxide is in reactor 463'.
[67] A lab ambient condition is under atmosphere and open to air. Although the wet clean reactor 463 can be integrated with the lab-ambient transfer module 460 in the process flow 400, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition. Alternatively, the wet cleaning process can be performed in a controlled ambient process environment, where the controlled ambient is maintained during and after the wet cleaning step.
168] Organic residues (or contaminants) not removed by the previous wet cleans can be removed by a dry oxidizing plasma process, such as oxygen-containing plasma, OzIAi sputter, or Ar sputter following the removal of Cu-BTA and metal oxides. As described above, most plasma or sputtering processes are operated below 1 Torr; therefore, it is desirable to couple such systems (or apparatus, or chambers, or modules) to a transfer module that is operated under vacuum at pressure, such as under 1 Torr. If the transfer module integrated with the plasma process is under vacuum, substrate transfer is more time efficient and the process module is maintained under vacuum, since it does not require extended time to pump down the transfer module. In addition, since the transfer module is under vacuum, the substrate after cleaning by the plasma process is exposed to only very low levels of oxygen. Assuming the O2 plasma process is selected to clean the organic residues, the O2 plasma process reactor 471 is coupled to a vacuum transfer module 470.
[69] Since lab-ambient transfer module 460 is operated at atmosphere and vacuum transfer module 470 is operated under vacuum (<1 Torr), a loadlock 465 is placed between these two transfer modules to allow substrate 455 to be transferred between the two modules, 460 and 470, operated under different pressures. Loadlock 465 is configured to be operated under vacuum at pressure less than 1 Torr, or at lab ambient, or to be filled with an inert gas selected form a group of inert gases.
[70] After substrate 455 finishes the oxidizing plasma processing using O2, for example, substrate 455 is moved into the hydrogen-containing reducing plasma reduction chamber (or module) 473. Hydrogen-containing plasma reduction is typically processed at a low pressure, which is less than 1 Torr; therefore, it is coupled to the vacuum transfer module 470. Once the substrate 455 is reduced with hydrogen-containing plasma, the copper surface is clean and free of copper oxide. In a preferred embodiment, after substrate 455 finishes the O2 plasma processing, a H2 or H2/NH3 plasma reduction step can be performed in-situ, without removing the wafer from the chamber. In either case, the substrate is ready for cobalt-alloy deposition after completion of the reduction process.
[71] As described above, it is important to control the processing and transport environments to minimize the exposure of the copper surface to oxygen after the substrate is reconditioned by the hydrogen-containing reducing plasma. The substrate 455 should be process under a controlled environment, where the environment is either under vacuum or filled with one or more inert gas to limit the exposure of substrate 455 to oxygen. Dotted line 490 outlines the boundary of a part of the integrated system 450 of Figure 4B that show the processing systems and transfer modules whose environment is controlled. Transferring and processing under controlled environment 490 limits the exposure of the substrate to oxygen.
[72] Cobalt-alloy electroless deposition is a wet process that involves cobalt species in a solution that is reduced by a reducing agent, which can be phosphorous-based (e.g. hypophosphite), boron-based (e.g. dimethylamine borane), or a combination of both phosphorous-based and boron-based. The solution that uses phosphorous-based reducing agent deposits CoWP. The solution that uses boron-based reducing agent deposit CoWB. The solution that uses both phosphorous-based and boron-based reducing agents deposits CoWBP. In one embodiment, the cobalt-alloy electroless deposition solution is alkaline- based. Alternatively, cobalt-alloy electroless deposition solution can also be acidic. Since wet process is typically conducted under atmospheric pressure, the transfer module 480 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to be free of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 480. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems. Exemplary inert gas includes nitrogen (N2), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).
[73] In one embodiment, the wet cobalt-alloy electroless deposition reactor (or apparatus, or system, or module) is coupled with a rinse and dry system (or apparatus, or module) to allow the substrate to be transferred into the electroless deposition system 481 under dry condition and to come out of the system 481 in dry condition (dry-in/dry-out). The dry- in/dry-out requirement allows the electroless deposition system 481 to be integrated with the controlled-ambient transfer module 480, and avoids the need of a wet robotic transfer step to a separate rinse-dry module. The environment of the electroless deposition system 481 also needs to be controlled to provide low (or limited) levels of oxygen and moisture (water vapor). Inert gas can also be used to fill the system to ensure low levels of oxygen are in the processing environment.
[74] Alternatively, cobalt-alloy electroless deposition can also be conducted in a dry- in/dry-out manner similar to electroless copper disclosed recently. A dry-in/dry-out electroless copper process has been developed for copper electroless deposition. The process uses a proximity process head to limit the electroless process liquid in contacting with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. Application No. 10/607, 611, titled "Apparatus And Method For Depositing And Planarizing Thin Films On Semiconductor Wafers," filed on Jun 27, 2003, and U.S. Application No. 10/879,263, titled "Method and Apparatus For Plating Semiconductor Wafers," filed on June 28, 2004, both of which are incorporated herein in their entireties. The electroless plating of cobalt-alloy can use similar proximity processing head to enable a dry-in/dry-out process.
[75] After cobalt-alloy deposition in system 481, the substrate 455 can be sent through an optional post-deposition cleaning reactor. This can be performed using mechanical assists, such as a brush scrub using chemistry such as CP72B or hydroxylamine-based cleaning chemistries or by using other methods, such as immersion cleaning, spin-rinse cleaning, or C3™ proximity technology. A rinse and dry system must also be integrated with the brush scrub system to allow substrate 455 to be dry-in/dry-out of the wet cleaning system 483. Inert gas (es) is used to fill system 483 to ensure limited (or low) oxygen is present in the system. The system 483 is dotted to illustrate that this system is optional, since the post- deposition cleaning is optional, as described above in Figure 4A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 450, the substrate 455 needs to be brought back into cassette 461 after processing. Therefore, the cleaning system 483 can alternatively be coupled to the lab-ambient transfer module 460, as shown in Figure 4B. If the cleaning system 483 is coupled to the lab-ambient transfer module 460, the cleaning system 483 is not operated under controlled environment and inert gas (es) does not need to fill the system.
[76] As described above, the Cu-BTA and metal oxide removal process step(s) can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
CASE II: Metal CMP stops on barrier layer
[77] Figures 5A-5C show the cross sections of an interconnect structure at various stages of processing. The copper layer on the substrate of Figure 5A has been planarized by CMP. The barrier layer 130 has not been removed, and remains on the substrate surface. Figure 6A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over copper in the dual-damascene metal trench. The substrate(s) used in the process flow 600 of Figure 6A have just finished copper CMP processing(s) to remove copper. Barrier layer still remains on the substrate surface, as shown in Figure 5A. The difference between Case II and Case I is that in Case II the surface of dielectric 106 is not exposed to Cu-BTA complex or other copper compound residues. The dielectric surface has higher quality (or less metal contaminants) in Case II than in Case I. Therefore, process step(s) aiming at removing copper oxide on the dielectric layer, which is formed after O2 plasma used to remove organic contaminants, can be eliminated.
[78] The process starts at step 601 of removing metallic contaminants, such as Cu-BTA or metal oxides, from the substrate surface. As described above, Cu-BTA complexes and metallic oxides are two key surface metallic contaminants to be removed. The processes used to remove metallic contaminants, such as Cu-BTA and metal oxides, from the substrate surface have been described above. For example, Cu-BTA and metal oxides, including copper oxides, can be removed by a wet clean process that involves a cleaning solution that includes, for example, tetramethylammonium hydroxide (TMAH) or complexing amines such as ethylenediamine or diethylenetriamine. Removing Cu-BTA eliminates pattern- dependent deposition effect of cobalt-alloy (to be deposited at a later step) and hence allows uniform cobalt-alloy deposition in the dense and isolated features.
[79] Metal oxides, specifically copper oxide, can be removed using a weak organic acid such as citric acid, or other organic or inorganic acids can be used. Additionally, very dilute (i.e. <0.1%) peroxide-containing acids, such as sulfuric-peroxide mixtures, can also be used. The wet clean process can also remove other metal or metal oxide residues.
[80] The organic contaminants, including remaining BTA on the Cu and barrier surfaces, are removed at step 602. Organic contaminants can be removed by a process such as a dry oxygen (O2) plasma process or other oxidizing plasma processes, such as plasma process with H2O, ozone, or hydrogen peroxide vapor. As described above, the oxygen-containing plasma process is preferably conducted at a relatively low temperature, below 5O0C and preferably below 1200C. The oxygen-containing plasma process can be a downstream plasma process. Alternatively, organic residues (or contaminants) can also be removed by using an O2/ Ar sputtering process to physically remove the organic contaminants. As described above, O2 plasma process and O2ZAr sputtering process are typically operated under less than 1 Torr.
[81] Once the substrate surface is free of contaminants, such as Cu-BTA, metal oxides and organic contaminants, the substrate should be exposed to as little oxygen as possible to protect the copper surface from further oxidation. After surface contaminants are removed, at step 603, barrier layer, such as Ta, TaN, Ru, or a combination of the materials, is removed from substrate surface, as shown in Figure 5B. Barrier layer can be removed by processes, such as CF4 plasma, O2/ Ar sputtering, CMP, or by a wet chemical etch. Both CF4 plasma etch and O2/ Ar sputtering processes are operated at less than 1 Torr.
[82] Copper oxide existing at the copper surface 140 of Figure 5A and produced during the plasma oxidation step might be completely removed during the barrier metal removal step 603. Therefore, the process of using H-containing plasma to reduce copper surface becomes optional. However, to ensure that the copper surface is free of copper oxide, the substrate surface can (optionally) be reduced to convert any residual copper oxide into copper at step 605. The copper surface reduction can be achieved by a hydrogen-containing plasma process to convert copper oxide to copper. Process gas (es) and process condition used by the hydrogen-containing plasma process have been described above in Case I. Once the substrate went through a hydrogen reduction process, the substrate is ready for the cobalt- alloy deposition. The copper surface needs to be carefully protected from oxygen to ensure no copper oxide formation. As described above, electroless deposition of cobalt-alloy can be inhibited by the presence of copper oxide. Therefore, it's important to control the processing and transport environments to minimize or to eliminate the exposure of the copper surface to oxygen.
[83] At next process step 607, the cobalt-alloy, such as CoWP, CoWB or CoWBP, is electro lessly deposited on the top of the copper surface. Cobalt-alloy is shown as layer 135 in Figure 5C. The electroless deposition of the cobalt-alloy is a selective deposition and is a wet process. The cobalt-alloy only deposits on the copper surface.
[84] As described above for Case I, after the electroless deposition of the cobalt-alloy, the process flow can enter an optional process step 609 of a post-deposition clean. Post- deposition clean can be performed by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania, or by hydroxylamine-base chemicals, in order to removal any metal contaminants on the dielectric surface introduced by the electroless deposition process. Other substrate surface cleaning processes can also be used.
[85] As described above, the environment control is very important for preparing the copper surface for cobalt-alloy deposition, especially after the H-containing plasma reduction of the copper surface. Figure 6B shows a schematic diagram of an exemplary integrated system 650 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment. The integrated system 650 can be used to process substrate(s) through the entire process sequence of flow 600 of Figure 6 A.
[86] Similar to the integrated system 450, the integrated system 650 has 3 substrate transfer modules 660, 670, and 680. Transfer modules 660, 670 and 680 are equipped with robots to move substrate 655 from one process area to another process area. Substrate transfer module 660 is operated under lab ambient. Module 660 interfaces with substrate loaders (or substrate cassettes) 661 to bring the substrate 655 into the integrated system or to return the substrate to the cassette(s) 661 to continue processing outside the system 650.
[87] As described above in process flow 600, the substrate 655 is brought to the integrated system 650 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by copper CMP to remove excess copper from the substrate surface and leaves barrier layer on the dielectric surface and copper in the metal trenches, as shown in Figure 5 A. As described in step 601 of process flow 600, the substrate surface needs to be removed of surface contaminants such as Cu-BTA, metal oxides and organic residues. Cu-BTA and metal oxides can be removed by a wet clean process involving clean solution, such as a solution containing TMAH. A wet clean reactor 663 can be integrated with the lab-ambient transfer module 660. Although the wet clean reactor 663 can be integrated with the lab-ambient transfer module 660 in the process flow 600, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition. Alternatively, the wet cleaning process can be performed in a controlled ambient process environment, where the controlled ambient is maintained during and after the wet cleaning step.
[88] Organic residues (or contaminants) not removed by the wet clean process 601 performed in reactor 683 can be removed by a dry plasma process, such as O2 plasma or O2/ Ar sputter, at step 602. As described above, most plasma or sputtering processes are operated below 1 Torr; therefore, it's desirable to couple such systems to a transfer module that is operated under vacuum at pressure, such as under 1 Torr. Assuming O2 plasma process is selected to clean the organic residue, the O2 plasma process reactor 671 is coupled to a vacuum transfer module 670.
[89] The O2 plasma process can be a downstream plasma process. Although the O2 plasma reactor 671 can be integrated with the vacuum transfer module 670 in the process flow 600, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
[90] Since lab-ambient transfer module 660 is operated under atmosphere and vacuum transfer module 670 is operated under vacuum (<1 Torr), a loadlock 665 is placed between these two transfer modules to allow substrate 655 to be transferred between the two modules, 660 and 670.
[91] After substrate 655 finishes the O2 plasma processing, substrate 655 is moved into a processing system for barrier layer etch, as shown in step 603. The barrier layer etch chamber (or module) 673, if a dry barrier plasma etch process is selected, can be coupled to the vacuum transfer module 670. The dry barrier plasma process can be a CF4 plasma process or an CVAr sputtering process.
[92] The process following barrier layer etch is an optional H-containing plasma reduction to ensure no copper oxide remains on the copper surface. The H2 plasma reduction can be performed in a plasma chamber (or module) 674, which is coupled to the vacuum transfer module 670. Alternatively, hydrogen plasma reduction can also be performed sequentially in the O2 plasma reactor 671 used to remove organic residues after purging the chamber of residual oxygen species.
[93] As described above, cobalt-alloy electroless deposition is a wet chemical process. Since a wet process is typically conducted at atmospheric pressure, the transfer module 680 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to be free of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 680. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
[94] The wet cobalt-alloy electroless deposition reactor needs to be coupled with a rinse and dry system to allow the substrate to be transferred to the electroless deposition system 681 under dry conditions and to come out of the system 681 in dry condition (dry-in/dry-out). As described above, the dry-in/dry-out requirement allows the electroless deposition system 681 to be integrated with the controlled-ambient transfer module 680. Inert gas (es) is used to fill system 681 to ensure low (or limited, or controlled) oxygen levels are maintained in the system.
[95] After cobalt-alloy deposition in system 681, the substrate 655 can be sent through a post-deposition cleaning reactor 683. A rinse and dry system also needs to be integrated with the brush scrub system to allow substrate 655 to be dry-in/dry-out of the wet cleaning system 683. Inert gas (es) is used to fill system 683 to ensure no oxygen is present. The system 683 is dotted to illustrate that this system is optional, since the post-deposition cleaning is optional, as described above in Figure 6A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 650, the substrate 655 needs to be brought back into cassette 661 after processing. The cleaning system 683 can alternatively be coupled to the lab-ambient transfer module 660.
CASE III: Metal CMP stops on a thin copper layer
[961 Figures 7A-7C show cross sections of an interconnect structure at various stages of interconnect processing. The substrate in Figure 7 A has just finished copper planarization, but has not fully cleared the copper from the substrate. A thin copper layer 132 remains on the substrate surface. Figure 8A shows an embodiment of a process flow of surface preparation for electrolessly depositing a cobalt-alloy over copper in the dual-damascene metal trench. The substrate(s) used in the process flow 800 of Figure 8 A have just finished copper CMP processing(s) to remove most of the copper above the barrier layer over dielectric layer. A thin layer of copper in the range between about 100 angstrom to about 1000 angstrom is left on the barrier surface, as shown in Figure 7 A. The difference between Case DI and both Case I and Case π is that in Case III a thin layer of copper cover the entire substrate surface; therefore there is no concern of galvanic corrosion of copper due to exposed dissimilar materials in the copper CMP solution. Since the thin copper layer and other surface contaminants present will be removed in an oxygen-free environment, there is no concern of copper oxidation. Therefore, no H2 plasma reduction is needed. Both Case II and Case JH do not have barrier CMP; therefore, metal CMP processing cost is reduced. The copper surface prepared by this process allows excellent selectivity of cobalt-alloy on the copper versus on dielectric layer.
[97] The process starts at step 801 of removing contaminants, including organic residues and inorganic metal oxides, from the substrate surface. The organic contaminants can be removed by an oxidizing plasma such as a dry oxygen (O2) plasma process, H2O plasma process, H2O2 plasma process, or a plasma with ozone vapor. As described above, the O2 plasma process is preferably conducted at a relatively low temperature less than 1200C. The O2 plasma process can be a downstream plasma process. Alternatively, organic residues (or contaminants) can also be removed by using an O2ZAi sputtering process to physically remove the organic contaminants. As described above, O2 plasma process and O2/Ar sputtering process are typically operated under less than 1 Torr.
[98] Once the substrate surface is free of contaminants, the substrate should be exposed to as little oxygen as possible to protect the copper surface from oxidation. After surface contaminants are removed, at step 803, the thin copper layer over the barrier layer and dielectric layer is removed. The thin copper layer can be removed by O2/ Ar sputtering, by O2/ hexafluoroacetylacetone (HFAC) plasma etch, by a wet chemical etch using chemicals, such as sulfuric acid and hydrogen peroxide, or by using complexing chemistries. Both O2/Ar sputtering and 02/HFAC plasma processes are operated under low pressure, such as below 1 Torr.
[99] Afterwards, the barrier layer, such as Ta, TaN, or a combination of both films, is removed from substrate surface at step 805. The cross-section of the interconnect structure after the removal of the thin copper and barrier is shown in Figure 7B. Barrier layer can be removed by CF4 plasma, 02/Ar sputtering, CMP, or by a wet chemical etch. Both CF4 plasma etch and O2/Ar sputtering processes are operated at below 1 Torr.
[100] Since the copper surface to selectively deposit the cobalt-alloy is created by etching the thin copper layer and the barrier layer above dielectric in controlled-ambient environments, the step of using H-containing plasma to reduce the copper surface is mostly not needed. However, to ensure that the copper surface is free of copper oxide, the substrate surface can optionally be reduced to convert any residual copper oxide into copper at step 807. The copper surface reduction process has been described above. Once the substrate went through a hydrogen-containing reduction process, the substrate is ready for the cobalt- alloy deposition. The copper surface needs to be carefully protected to prevent copper oxide formation. At next process step 809, the cobalt-alloy, such as CoWP, CoWB or CoWBP, is electrolessly deposited on the top of the copper surface. Cobalt-alloy is shown as layer 135 in Figure 7C. The electroless deposition of the cobalt-alloy is a selective deposition and is a wet process. The cobalt-alloy only deposits on the copper surface.
[101] As described above for Case I and Case II, after the electroless deposition of the cobalt-alloy, the process flow can enter an optional process step 811 of a post-deposition clean. Post-deposition clean has been described above in Case I and Case TL. [102] As described above, the environment control is very important for preparing the copper surface for cobalt-alloy deposition, especially after the H-containing plasma reduction of the copper surface. Figure 8B shows a schematic diagram of an exemplary integrated system 850 that allows minimal exposure of substrate surface to oxygen at critical steps after surface treatment. The integrated system 850 can be used to process substrate(s) through the entire process sequence of flow 800 of Figure 8 A.
[103] The integrated system 850 has 3 substrate transfer modules 860, 870, and 880. Transfer modules 860, 870 and 880 are equipped with robots to move substrate 855 from one process area to another process area. Substrate transfer module 860 is operated under lab ambient. Module 860 interfaces with substrate loaders (or substrate cassettes) 861 to bring the substrate 855 into the integrated system or to return the substrate to the cassette(s) 861 to continue processing outside the system 850.
[104] As described above in process flow 800, the substrate 855 is brought to the integrated system 850 to be deposited with a cobalt-alloy, such as CoWB, CoWP, or CoWBP, after the substrate has been planarized by copper CMP to remove excess copper from the substrate surface and leaves a thin copper layer on the barrier layer that is over the dielectric surface, as shown in Figure 7A. As described in step 801 of process flow 800, the substrate surface needs to be removed of surface contaminants such organic residues and non-copper metal oxides. Due to the elimination of the need to perform a wet Cu-BTA clean, in contrast to Case I and Case π, the lab-ambient transfer module 860 can possibly be eliminated to allow cassette holders 861 to be directly coupled to loadlock 865.
[105] Surface contaminants including organic residues and metal oxides can be removed by an oxidizing plasma process such as O2 plasma or O2/ Ar sputter. As described above, most plasma or sputtering processes are operated below 1 Torr; therefore, it's desirable to couple such systems to a transfer module that is operated under vacuum at pressure, such as under 1 Torr. Assuming O2 plasma process is selected to clean the organic residue, the O2 plasma process reactor 871 is coupled to a vacuum transfer module 870.
[106] The O2 plasma process can be a downstream plasma process. Although the O2 plasma reactor 871 can be integrated with the vacuum transfer module 870 in the process flow 800, this process step can also be performed right after metal CMP and before the substrate is brought to the integrated system for cobalt-alloy deposition.
[107] Since lab-ambient transfer module 860 is operated under atmosphere and vacuum transfer module 870 is operated under vacuum (<1 Torr), a loadlock 865 is placed between these two transfer modules to allow substrate 855 to be transferred between the two modules, 860 and 870.
[108] After substrate 855 finishes the O2 plasma processing, substrate 855 is moved into a processing system for copper etch, as shown in step 803. The copper etch chamber (or module) 873, if a dry copper plasma etch process is selected, is coupled to the vacuum transfer module 870. If a wet process is selected, the wet copper etch reactor can be integrated with a rinse/dry system to become a wet copper etch system 873' that can be coupled to the controlled-ambient transfer module 880. To enable the wet copper etch system 873' to be integrated with the controlled-ambient transfer module 880, dry-in and dry- out of substrate to the system 873' are required. In one embodiment, a rinse and dry system can be integrated in the wet copper etch system 873' to meet the dry-in/dry-out requirement. The environment of system 873' also needs to be controlled to be free of oxygen. Inert gas can also be used to fill the system to ensure no oxygen is in the processing environment.
[109] Barrier layer etch follows copper etch, as shown in step 805. The barrier layer etch chamber 874, if a dry barrier plasma etch process is selected, can be coupled to the vacuum transfer module 870. If a wet barrier etch process is selected, the wet barrier etch reactor can be integrated with a rinse/dry system to become a wet barrier etch system 874' that can be coupled to the controlled-ambient transfer module 880. To enable the wet barrier etch system 874' to be integrated with the controlled-ambient transfer module 880, dry-in and dry- out of substrate to the system 874' are required. The environment of system 874' also needs to be controlled to provide low (or limited, or controlled) levels of oxygen. Inert gas can also be used to fill the system to ensure low oxygen levels are achieved in the processing environment.
[110] The process following barrier layer etch is an optional H-containing plasma reduction as discussed above. The H2 plasma reduction can be performed in a plasma chamber 877, which is coupled to the vacuum transfer module 870. [111] As described above, cobalt-alloy electroless deposition is a wet process. Since wet process is typically conducted under atmospheric pressure, the transfer module 880 that is coupled to the electroless deposition reactor should be operated near atmospheric pressure. To ensure the environment is controlled to provide low levels of oxygen, inert gas (es) can be used to fill the controlled-ambient transfer module 880. Additionally, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems. Exemplary inert gas includes nitrogen (N2), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).
[112] The wet cobalt-alloy electroless deposition reactor needs to be coupled with a rinse and dry system to allow the substrate to go into the electroless deposition system 881 under dry condition and to come out of the system 881 in dry condition (dry-in/dry-out). The dry- in/dry-out requirement allows the electroless deposition system 881 to be integrated with the controlled-ambient transfer module 880. Inert gas (es) is used to fill system 881 to ensure a low oxygen level is present in the system.
[113] After cobalt-alloy deposition in system 881, the substrate 855 can be sent through a post-deposition cleaning reactor. A rinse and dry system also need to be integrated with the brush scrub system to allow substrate 855 to be dry-in/dry-out of the wet cleaning system 883. Inert gas (es) is used to fill system 883 to ensure no oxygen is present. The system 883 is dotted to illustrate that this system is optional, since the post-deposition cleaning is optional, as described above in Figure 8A. Since the post-deposition clean step is the last process that is to be operated by the integrated system 850, the substrate 855 needs to be brought back into cassette 861 after processing. The cleaning system 883 can alternatively be coupled to the lab-ambient transfer module 860.
2. Engineering barrier surface for electroless copper deposition
[114] The system concept describe above can be used to prepare barrier surface for copper plating. Barrier layer, such as Ta, TaN or Ru, if exposed to air for extended period of time, can form TaxOy (Tantalum oxide), TaOxNy (Tantalum oxynitride), or RuO2 (Ruthenium oxide). Electroless deposition of a metal layer on a substrate is highly dependent upon the surface characteristics and composition of the substrate. Electroless plating of copper on a Ta, TaN, or Ru surface is of interest for both seed layer formation prior to electroplating, and selective deposition of Cu lines within lithographically defined pattern(s). One concern is the inhibition of the electroless deposition process by atomically thin native metal oxide layer formed in the presence of oxygen (O2).
[1151 In addition, copper film does not adhere to the barrier oxide layer, such as tantalum oxide, tantalum oxynitride, or ruthenium oxide, as well as it adheres to the pure barrier metal or barrier-layer-rich film, such as Ta, Ru, or Ta-rich TaN film. Ta and/or TaN barrier layers are only used as examples. The description and concept apply to other types of barrier metals, such as Ta or TaN capped with a thin layer of Ru. As described above, poor adhesion can negatively affect the EM performance. In addition, the formation tantalum oxide or tantalum oxynitride on the barrier layer surface can increase the resistivity of the barrier layer. Due to these issues, it is desirable to use the integrated system to prepare the barrier/copper interface to ensure good adhesion between the barrier layer and copper and to ensure low resistivity of the barrier layer.
CASE I : Metal Line Formation
[116] Figure 9A shows an exemplary cross-section of a metal line structure after being patterned by a dielectric etch and being removed of photoresist. The metal line structure(s) is on a substrate 900 and has a silicon layer 110, which was previously fabricated to form a gate structure 105 with a gate oxide 121, spacers 107 and a contact 125 therein. The contact 125 is typically fabricated by etching a contact hole into the oxide 103 and then filling the contact hole with a conductive material, such as tungsten. Alternative materials may include copper, aluminum or other conductive materials. The barrier layer 102 is also configured to function as a selective trench etch stop. The barrier layer 102 can be made of materials such as silicon nitride (SiN) or silicon carbide (SiC).
[117] A metal line dielectric layer 106 is deposited over the barrier layer 102. The dielectric materials that can be used to deposit 106 have been described above. After the deposition of dielectric layer 106, the substrate is patterned and etched to create metal trenches 106. Figure 9B shows that after the formation of metal trenches 116, a metallic barrier layer 130 is deposited to line metal trench 116. Figure 9C shows that after the barrier layer 130 is deposited, a copper layer 132 is deposited over the barrier layer 130. The barrier layer 130 can be made of tantalum nitride (TaN), tantalum (Ta), Ru, or a combination of these films. A copper film 132 is then deposited to fill the metal trench 116. In one embodiment, the copper film 132 includes a thin copper seed layer 131 underneath.
[118] After using plasma surface pre-treatments to prepare a catalytic surface for the deposition of a conformal and thin electroless Cu seed layer 131, and copper film 132 filling trenches 116, substrate 900 is chemically and mechanically polished (CMP) or wet etched to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 9D. In one embodiment, the thickness of the thin copper seed layer is between about 5 angstroms to about 300 angstroms. The next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 9E. Examples of the cobalt-alloy include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process. The thickness of the adhesion promoter layer can be as thin as a monolayer, which is only a few angstroms, such as 5 angstroms, to a thicker layer, such as 200 angstroms.
[119] Figure 1OA shows an embodiment of a process flow 1000 of preparing the barrier (or liner) layer surface for electroless copper deposition after the trenches have been formed. It should be noted, however, that the barrier (or liner) layer may be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor. In this case, the surface preparation for depositing a thin copper seed layer would not include the metal plug preclean and barrier deposition process steps. At step 1001, the top surface 124a of the contact plug is cleaned to remove native metal oxide. Metal oxide can be removed by an Ar sputtering process, a plasma process using a fluorine-containing gas, such as NF3, CF4, or a combination of both, a wet chemical etch process, or a reduction process, for example using a hydrogen-containing plasma. At step 1003, a barrier layer is deposited. Due to the shrinking metal line and via critical dimension, the barrier layer may be deposited by atomic layer deposition (ALD), depending on the technology node. The thickness of the barrier layer 130 is between about 20 angstroms to about 200 angstroms. As described above, preventing the barrier layer from exposure to oxygen is critical in ensuring that electroless copper is being deposited on the barrier layer with good adhesion between copper and the barrier layer. Once the barrier layer is deposited, the substrate should be transferred or processed in a controlled-ambient environment to limit exposure to oxygen. The barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer at optional step 1005 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
[120] Afterwards, conformal copper seed is deposited on the barrier surface at step 1007, followed by a thick copper gap fill (or bulk fill) process, 1008. In one embodiment, the conformal copper seed layer can be deposited by an electroless process. The thick copper bulk fill process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process. Electroless copper deposition and ECP are well-known wet process. For a wet process to be integrated in a system with controlled processing and transporting environment, which has been described above, the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability. In addition, the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen. Recently, a dry- in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
[121} The electroless deposition process can be carried out in a number of ways, such as puddle-plating, where fluid is dispensed onto a substrate and allowed to react in a static mode, after which the reactants are removed and discarded, or reclaimed. In another embodiment, the process uses a proximity process head to limit the electroless process liquid is only in contact with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. Application No. 10/607, 611, titled "Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers," filed on Jun 27, 2003, and U.S. Application No. 10/879,263, titled "Method and Apparatus For Plating Semiconductor Wafers," filed on June 28, 2004, both of which are incorporated herein in their entireties. The electroless plating of cobalt-alloy described earlier can also use similar proximity processing head to enable a dry-in/dry-out process.
[122] After copper deposition at steps 1007 and 1008, the substrate can undergo an optional substrate cleaning at step 1009. Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania. Other substrate surface cleaning processes can also be used, such as Lam's C3™ or P3™ cleaning technology.
[123] Figure 1OB shows an embodiment of a schematic diagram of an integrated system 1050 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier surface preparation. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, limiting the duration that clean copper surface is exposed to low levels of oxygen. The integrated system 1050 can be used to process substrate(s) through the entire process sequence of flow 1000 of Figure 1OA.
[124] As described above, the surface preparation for electroless deposition of copper and the optional post-cobalt-alloy deposition processes involves a mixture of dry and wet processes. The wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than I Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes. The integrated system 1050 has 3 substrate transfer modules 1060, 1070, and 1080. Transfer modules 1060, 1070 and 1080 are equipped with robots to move substrate 1055 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 1060 is operated under lab ambient. Module 1060 interfaces with substrate loaders (or substrate cassettes) 1061 to bring the substrate 1555 into the integrated system or to return the substrate to one of the cassettes 1061.
[125] As described above in process flow 1000, the substrate 1055 is brought to the integrated system 1050 to deposit barrier layer and copper layer. As described in step 1001 of process flow 1000, top tungsten surface 124a of contact 125 is etched to remove native tungsten oxide. Once the tungsten oxide is removed, the exposed tungsten surface 124a of Figure 9A needs to be protected from exposure to oxygen. If the removal process is an Ar sputtering process, the reactor 1071 is coupled to the vacuum transfer module 1070. If a wet chemical etching process is selected, the reactor should be coupled to the controlled-ambient transfer module 1080, not the lab-ambient transfer module 1060, to limit exposure of the tungsten surface to oxygen. [126] Afterwards, the substrate is deposited with a metallic barrier layer, such as Ta, TaN, Ru, or a combination of these films, as described in step 1003 of Figure 1OA. The barrier layer 130 of Figure 9B can be deposited by an ALD process or a PVD process. In one embodiment, the ALD process is operated at less than 1 Torr. The ALD reactor 1073 is coupled to the vacuum transfer module 1070. In another embodiment, the deposition process is a high pressure process using supercritical CO2 and organometallic precursors to form the metal barrier. In yet another embodiment, the deposition process is a physical vapor deposition (PVD) process operating at pressures less than 1 Torr. Details of an exemplary reactor for a high pressure process using supercritical CO2 is described in commonly assigned Application serial No. 10/357,664, titled "Method and Apparatus for Semiconductor Wafer Cleaning Using High-Frequency Acoustic Energy with Supercritical Fluid", filed on February 3, 2003, which in incorporated herein for reference.
[127] The substrate can undergo an optional reduction process, for example using a hydrogen-containing plasma, as described in step 1005 of Figure 1OA. The hydrogen reduction reactor 1074 can be coupled to the vacuum transfer module 1070. At this stage, the substrate is ready for electroless copper deposition. The electroless copper plating can be performed in an electroless copper plating reactor 1081 to deposit a conformal seed layer. Following the seed layer deposition, copper bulk fill can be performed in the same electroless copper deposition reactor 1081 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill. Alternatively, copper bulk fill can be performed in a separate ECP reactor 1081 '.
[128] Before the substrate leaves the integrated system 1050, the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper deposition process. For example, the substrate cleaning process can be brush clean process. Substrate cleaning reactor 1083 can be integrated with the controlled-ambient transfer module 1080. Alternatively, substrate cleaning reactor 1083 can also be integrated with the lab-ambient transfer module 1060.
[129] Alternatively, the barrier layer 130 of Figure 9B can be deposited in a process chamber before the substrate 900 is brought into a system for surface treatment and depositing copper. Figure 1OC shows an embodiment of a process flow 1090 of preparing the barrier (or liner) layer surface for electroless copper deposition. The barrier surface is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer at optional step 1095 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
[130] Afterwards, conformal copper seed is deposited on the barrier surface at step 1097, followed by a thick copper gap fill (or bulk fill) process, 1098. In one embodiment, the conformal copper seed layer can be deposited by an electroless process. The thick copper bulk fill process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process. After copper deposition at steps 1097 and 1098, the substrate can undergo an optional substrate cleaning at step 1099. Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pennsylvania. Other substrate surface cleaning processes can also be used, such as Lam's C3™ or P3™ cleaning technology.
[131] Figure 1OD shows an embodiment of a schematic diagram of an integrated system 1092 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier surface preparation. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, limiting the duration that clean copper surface is exposed to low levels of oxygen. The integrated system 1092 can be used to process substrate(s) through the entire process sequence of flow 1090 of Figure 1OC.
[132] As described above, the surface preparation for electroless deposition of copper and the optional post-cobalt-alloy deposition processes involves a mixture of dry and wet processes. The wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes. The integrated system 1092 has 3 substrate transfer modules 1060, 1070, and 1080. Transfer modules 1060, 1070 and 1080 are equipped with robots to move substrate 1055 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 1060 is operated under lab ambient. Module 1060 interfaces with substrate loaders (or substrate cassettes) 1061 to bring the substrate 1555 into the integrated system or to return the substrate to one of the cassettes 1061. [133] As described above in process flow 1090, the substrate 1055 is brought to the integrated system 1092 after the barrier layer is deposited to prepare the barrier surface for electroless copper deposition. The substrate first undergoes a reduction process, for example using a hydrogen-containing plasma, as described in step 1095 of Figure 1OC. The hydrogen reduction reactor 1074 can be coupled to the vacuum transfer module 1070. At this stage, the substrate is ready for electroless copper deposition. The electroless copper plating can be performed in an electroless copper plating reactor 1081 to deposit a conformal seed layer. Following the seed layer deposition, copper bulk fill can be performed in the same electroless copper deposition reactor 1081 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill. Alternatively, copper bulk fill can be performed in a separate ECP reactor 1081'.
[134J Before the substrate leaves the integrated system 1092, the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper deposition process. For example, the substrate cleaning process can be brush clean process. Substrate cleaning reactor 1083 can be integrated with the controlled-ambient transfer module 1080. Alternatively, substrate cleaning reactor 1083 can also be integrated with the lab-ambient transfer module 1060.
[135] Figure HA shows an embodiment of a process flow of preparing the barrier (or liner) layer surface for electroless copper deposition and preparing the post-CMP copper surface for electroless cobalt-alloy deposition. At step 1101, the top surface 124a of the contact plug is cleaned to remove native tungsten oxide. Metal oxide can be removed by an Ar sputtering process, a plasma reduction process, a reactive ion etch process, or a wet chemical etch process. At step 1103, a barrier layer is deposited. The barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN or Ru layer at optional step 1005 to provide a catalytic surface for the subsequent copper seed deposition step. Whether this step is needed or not depends on how metal-rich the surface is.
[136] It should be noted, however, that the barrier (or liner) layer may be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor. In this case, the surface preparation for depositing a thin copper seed layer would not include the metal plug preclean and barrier deposition process steps as described in Fig.1OA, step 1001 and 1003, and Fig.llA, steps 1101, 1103. In these cases, the process described would commence at step 1005 or 1105.
[137] Afterwards, conformal copper seed is deposited on the barrier surface at step 1107, followed by a thick copper gap fill (or bulk fill) process, 1108. In one embodiment, the conformal copper seed layer can be deposited by an electroless process. The thick copper bulk fill process can be an electroless deposition process (ELD) or an electrochemical plating (ECP) process. Electroless copper deposition and ECP are well-known wet processes. For a wet process to be integrated in a system with controlled processing and transporting environment, which has been described above, the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability. In addition, the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen. Recently, a dry- in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
[138] After the substrate is deposited with conformal copper seed at step 1107, and thick Cu gap fill (bulk fill) by either an electroless or electro-plating process at step 1108, the copper layer 132 is removed from the substrate surface above barrier layer 130 over dielectric 106 at step 1109, as shown in Figure 9D. Barrier layer is removed afterwards. Both removal processes are performed in process step 1109 of Figure 11A. Removing copper from the surface above barrier layer can be accomplished by CMP, which is a wet process. Barrier layer can be removed by using a reactive ion etch, such as CF4-plasma, 02/Ar sputtering, CMP, or a wet chemical etch. These barrier etch processes have been described previously.
[139] After barrier layer removal, a cleaning process to remove Cu-BTA complexes and metal oxides (step 1110) and an organic contaminants removal process (step 1111) are performed to remove contaminants from the substrate surface. Details of substrate surface cleaning using these two steps after metal CMP have been described above.
[140] After substrate surface is removed of surface contaminants, the substrate is treated with a reducing plasma (hydrogen-containing) to reduce all residual metal oxide into metal at step 1112. After hydrogen reduction, the copper surface is very clean and catalytic, and is ready for electroless deposition of the cobalt-alloy. At step 1113, the substrate undergoes electroless deposition of cobalt-alloy with a rinse and dry of substrate. The last process step 1115 is an optional substrate-cleaning step to clean any residual contaminants from the previous electroless cobalt-alloy deposition.
[141] Figure HB shows an embodiment of a schematic diagram of an integrated system 1150 that allows minimal exposure of substrate • surface to oxygen at critical steps after barrier and copper surface preparation. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, which limits the duration that clean copper surface is exposed to low levels of oxygen. The integrated system 1150 can be used to process substrate(s) through the entire process sequence of flow 1100 of Figure 11 A.
[1421 The integrated system 1150 has 3 substrate transfer modules 1160, 1170, and 1180. Transfer modules 1160, 1170 and 1180 are equipped with robots to move substrate 1155 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 1160 is operated under lab ambient. Module 1160 interfaces with substrate loaders (or substrate cassettes) 1161 to bring the substrate 1155 into the integrated system or to return the substrate to one of the cassettes 1161.
[143] As described above in process flow 1100 of Figure 1 IA, the substrate 1155 is brought to the integrated system 1150 to deposit barrier layer, to prepare barrier surface for copper layer deposition, and to prepare post-CMP copper surface for electroless cobalt-alloy deposition. As described in step 1101 of process flow 1100, top metal plug surface 124a of contact 125 is etched to remove native metal oxide. Alternatively, the metal plug surface oxide can be removed using a reducing plasma, such as a hydrogen-containing plasma. Once the metal plug surface oxide is removed, the exposed metal surface 124a of Figure 9 A needs to be protected from exposure to oxygen. If the removal process is an Ar sputtering process, the Ar sputtering reactor 1171 is coupled to the vacuum transfer module 1170. If a wet chemical etching process is selected, the reactor should be coupled to the controlled-ambient transfer module 1180, not the lab-ambient transfer module 1160, to limit the exposure of the clean metal plug surface to oxygen. [144] Afterwards, the substrate is deposited with a metallic barrier layer, such as Ta, Ru, TaN, or a combination of these films, as described in a step 1103 of Figure HA. The barrier layer 130 of Figure 9B can be deposited by an ALD process or a PVD process. In one embodiment, the ALD process is operated at less than 1 Torr. The ALD reactor 1173 is coupled to the vacuum transfer module 1170. In another embodiment, the deposition process is a high pressure process using supercritical CO2 and organometallic precursors to form the metal barrier. In yet another embodiment, the deposition process is a physical vapor deposition (PVD) process operating at pressures less than 1 Torr. The substrate can undergo an optional reduction process, for example using a hydrogen-containing plasma, as described in step 1105 of Figure HA. The hydrogen reduction reactor 1174 can be coupled to the vacuum transfer module 1170. At this stage, the substrate is ready for electroless copper deposition. The electroless copper plating can be performed in an electroless copper plating reactor 1181 to deposit a conformal seed layer. Following the seed layer deposition, copper bulk fill can be performed in the same electroless copper deposition reactor 1181 used to deposit the conformal seed layer, but with a different chemistry to achieve bulk fill. Alternatively, copper bulk fill can be performed in a separate ECP reactor 1181'.
[145] Afterwards, the substrate is removed of copper overburden and barrier overburden, as described in step 1109 of Figure 1 IA. The removal of copper overburden and barrier over burden can be accomplished in one CMP system 1183, or in two CMP systems. In the embodiment shown in Figure 1 IA, only one CMP system 1183 is used. After CMP removal of copper and barrier overburdens, the substrate surface needs to be cleaned to remove surface contaminants. Wet clean system 1185 is used to remove copper BTA complexes and metal oxides. O2 plasma system 1177 is used to remove organic contaminants. In one embodiment, O2 plasma process to remove organic contaminants can be performed in the hydrogen reduction chamber 1174.
[146] After the removal of contaminants, the substrate undergoes a reduction process, as described in step 1112 of Figure 1 IA. The hydrogen reduction process can occur in the same reduction reactor 1174 used to reduce the barrier surface to be Ta-rich. Following hydrogen reduction treatment, the copper surface is ready for electroless cobalt-alloy deposition, which can be performed in reactor 1187. [147] Before the substrate leaves the integrated system 1150, the substrate can optionally undergo a surface cleaning process, which can clean residues from the previous copper plating process. The substrate cleaning process can be brush clean process, whose reactor 1163 can be integrated with the lab-ambient transfer module 1160.
[148] The wet processing systems described in Figure 15B3 which are coupled to the controlled-ambient transfer module 1180, all need to meet the requirement of dry-in/dry-out to allow system integration.
CASE II: Dual Damascene Interconnect Sequence
[149] Figure 12A shows an exemplary cross-section of an interconnect structure after being patterned by a dual damascene process. The interconnect structure(s) is on a substrate 1200 and has an oxide layer 100, which was previously fabricated to form a metallization line 101 therein. The metallization line is typically fabricated by etching a trench into the oxide 100 and then filling the trench with conductive material, such as copper.
[150] In the trench, there is a barrier layer 120, used to prevent the copper material 122 from diffusing into the oxide 100. The barrier layer 120 can be made of tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru), or a combination of these films. Other barrier layer materials can also be used. A barrier layer 102 is deposited over the copper material 122 to provide an etch stop during the via etch process, and to act as a diffusion barrier between dielectric layers for the copper. The barrier layer 102 can be made of materials such as silicon nitride (SiN) or silicon carbide (SiC) or other materials suitable for integration into the dual Damascene process flow.
[151] A via dielectric layer 104 is deposited over the barrier layer 102. The via dielectric layer 104 can be made of inorganic dielectric materials such as silicon dioxide, or preferably low-K dielectric materials. Exemplary dielectrics can include an un-doped TEOS silicon dioxide, fluorinated silica glass (FSG), organo-silicate glass (OSG), porous OSG, commercially available dielectric materials include Black Diamond (I) and Black Diamond (H), Coral, Aurora. After the via dielectric layer 104 is deposited, a patterning and etching process is used to form the via holes 114. The copper surface 122a is protected by the dielectric barrier layer, such as SiC or Si3N4. Figure 12A shows the dual Damascene structure after the formation of via holes 114, and trenches 116. The dielectric barrier layer 102 under via holes 114 has been removed.
[152] Figure 12B shows that after the formation of via holes 114 and trenches 116, a first barrier layer 130i, a second barrier layer 130n, and a copper layer 132 are deposited to line the via holes 114' and the trenches 116. Both barrier layers 130i, 130» can be made of tantalum nitride (TaN), tantalum (Ta), or ruthenium (Ru). Other barrier layer materials can also be used. In one embodiment, the first barrier layer 130i is a thin TaN layer deposited by ALD and the second barrier layer 130n is a very thin Ta layer deposited by flash PVD or Ru layer deposited by ALD or PVD. In one embodiment, the thickness of the first barrier layer 130ι is between about 10 angstroms to about 150 angstroms and the thickness of the second barrier layer 13OH is between about 10 angstroms to about 50 angstroms. The thin ALD TaN layer provid.es conformal coverage of a barrier layer over the via 114* and trench 116. The thin PVD Ta or Ru layer provides good adhesion to the copper film 132 to be deposited over the barrier layers 130[ and 130H. Typically, barrier layer deposited by PVD process does not have good step coverage (or the film is not conformal). Therefore, an ALD barrier is needed to ensure good barrier coverage inside via and trench. In another embodiment, the first barrier layer 13Oi and the second barrier layer 130π is combined into one single layer, which can be deposited by ALD or by PVD. The material of this single layer barrier can be tantalum, tantalum nitride, ruthenium, or a combination of these films.
[153] After the deposition of the first and second barrier layers 130i, 13On, the substrate undergoes previously described requite surface treatment steps to ensure the barrier surface is Ta-rich. A copper film 132 is then deposited, either using a PVD seed 131 or electroless seed 131 followed by a thick gap-fill copper layer to fill the via holes 114 and the trenches 116.
[154] After copper film 132 fills the via holes 114 and trenches 116, substrate 1200 is planarized to remove the copper material (or copper overburden) and barrier layer (or barrier overburden) over the surface of dielectric 106, as shown in Figure 12C. The substrate then undergoes previously described requite surface treatment steps to ensure the substrate surface is clean and the copper surface is removed of copper oxide. The next step is to cap the copper surface 140 with a copper/SiC interface adhesion promoter layer 135, such as a cobalt-alloy, as shown in Figure 16D. Examples of the cobalt-alloy include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by an electroless process. The thickness of the adhesion promoter layer can be as thin as a monolayer, which is only a few angstroms, to a thicker layer, such as 200 angstroms.
[155] Figure 13A shows an embodiment of a process flow of preparing the barrier (or liner) layer surface for electroless copper deposition and preparing the post-CMP copper surface for electroless cobalt-alloy deposition. At step 1301, the top surface 122a of the metal lines 101 is cleaned to remove native copper oxide. Copper oxide can be removed by an Ar sputtering process or a wet chemical etch process. At step 1302, a first barrier layer (130i of Figure 12B) is deposited in an ALD system. At step 1303, a second barrier layer (130II of Figure 12B) is deposited in a PVD system. As described above, preventing the barrier layer from exposure to oxygen is critical in ensuring that electroless copper is being deposited on the barrier layer with good adhesion between copper and the barrier layer. Once the barrier layer is deposited, the substrate should be transferred or processed in a controlled-ambient environment to limit exposure to oxygen. The barrier layer is treated by a reducing plasma (i.e. hydrogen-containing) at step 1305 to produce a metal-rich layer that will provide a catalytic surface for the subsequent copper seed deposition step. The reducing plasma treatment is optional, depending on the composition of the surface.
[156] Afterwards, a conformal copper seed is deposited on the barrier surface at step 1307, followed by a thick copper bulk fill (or gap fill) process, 1308. The conformal copper seed layer can be deposited by an electroless process. The thick copper bulk fill (also gap fill) layer can be deposited by an ECP process. Alternatively, the thick bulk fill (also gap fill) layer can1 be deposited by an electroless process in the same electroless system for conformal copper seed, but with a different chemistry.
[157] After the substrate is deposited with conformal copper seed at step 1307, and thick Cu bulk fill by either an electroless or electro-plating process at step 1308, the copper layer 132 is removed from the substrate surface above barrier layer 130 over dielectric 106 at step 1309, as shown in Figure 11C. Barrier layer is removed afterwards. Both removal processes are performed in process step 1309 of Figure 13 A. Removing copper from the surface above barrier layer can be accomplished by CMP, which is a wet process. Barrier layer can be removed by using CF4 plasma, O2/Ar sputtering, CMP, or a wet chemical etch. These barrier etch processes have been described previously. 1158] After barrier layer removal, a cleaning process to remove Cu-BTA complexes and metal oxides (step 1310) and an organic contaminants removal process (step 1311) are performed to remove contaminants from the substrate surface. Details of substrate surface cleaning using these two steps after metal CMP have been described above.
[159] After substrate surface is removed of surface contaminants, the substrate is treated with a reducing plasma, for example a hydrogen-containing plasma, to reduce all residual metal oxide into metal at step 1312. After hydrogen reduction, the copper surface is very clean and catalytic, and is ready for electroless deposition of the cobalt-alloy. At step 1313, the substrate undergoes electroless deposition of cobalt-alloy with a rinse and dry of substrate. The last process step 1315 is an optional substrate-cleaning step to clean any residual contaminants from the previous electroless cobalt-alloy deposition.
[160] Figure 13B shows an embodiment of a schematic diagram of an integrated system 1350 that allows minimal exposure of substrate surface to oxygen at critical steps after barrier and copper surface preparation. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, which limits the duration that clean copper surface is exposed to low levels of oxygen. The integrated system 1350 can be used to process substrate(s) through the entire process sequence of flow 1300 of Figure 13A.
[161] The integrated system 1350 has 3 substrate transfer modules 1360, 1370, and 1380. Transfer modules 1360, 1370 and 1380 are equipped with robots to move substrate 1355 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 1360 is operated under lab ambient. Module 1360 interfaces with substrate loaders (or substrate cassettes) 1361 to bring the substrate 1355 into the integrated system or to return the substrate to one of the cassettes 1361.
[162] As described above in process flow 1300 of Figure HA, the substrate 1355 is brought to the integrated system 1350 to deposit barrier layer, to prepare barrier surface for copper layer deposition, and to prepare post-CMP copper surface for electroless cobalt-alloy deposition. As described in step 1301 of process flow 1300, top copper surface 122a of metal lines 101 is etched to remove native copper oxide. Once the copper oxide is removed, the exposed tungsten surface 122a of Figure 12A needs to be protected from exposure to oxygen. If the removal process is an Ar sputtering process, the Ar sputtering reactor 1371 is coupled to the vacuum transfer module 1370. If a wet chemical etching process is selected, the reactor should be coupled to the controlled-ambient transfer module 1380, not the lab- ambient transfer module 1360, to limit the exposure of the clean tungsten surface to oxygen.
[163] Afterwards, the substrate is deposited with first and second barrier layers. The first barrier layer 13Oi of Figure 12B is deposited by an ALD process, which is a dry process and is operated at less than 1 Torr. The ALD reactor 1372 is coupled to the vacuum transfer module 1370. The second barrier layer 130π of Figure 12B is deposited by a PVD or ALD process, which is a dry process and is operated at less than 1 Torr. The PVD reactor 1373 is coupled to the vacuum transfer module 1370. The substrate can undergo an optional hydrogen reduction process to ensure the barrier layer surface is metal-rich for electroless copper deposition. The hydrogen reduction reactor 1374 can be coupled to the vacuum transfer module 1370. At this stage, the substrate is ready for electroless copper deposition. The electroless copper plating can be performed in an electroless copper plating reactor 1381 to deposit a conformal copper seed layer, as described in step 1307 of Figure 13 A. As described above, the deposition of the gap fill copper layer at step 1308 of Figure 13A can be deposited in the same electroless plating reactor 1381 with different chemistry, or in a separate ECP reactor 1381'.
[164] Afterwards, the substrate is removed of copper overburden and barrier overburden, as described in step 1309 of Figure 13 A. The removal of copper overburden and barrier over burden can be accomplished in one CMP system 1383, or in two CMP systems. In the embodiment shown in Figure 13 A, only one CMP system 1383 is used. After CMP removal of copper and barrier overburden, the substrate surface needs to be cleaned to remove surface contaminants. Wet clean system 1385 is used to remove copper BTA complexes and metal oxides. C»2 plasma system 1377 is used to remove organic contaminants. In one embodiment, O2 plasma process to remove organic contaminants can be performed in the hydrogen reduction chamber 1374.
[165] After the removal of contaminants, the substrate undergoes a reduction process, as described in step 1312 of Figure 13 A. The hydrogen reduction process is used to reduce copper oxide to copper and can occur in the same reduction reactor 1374 used to reduce the barrier surface to be Ta-rich. Following hydrogen reduction treatment, the copper surface is ready for electroless cobalt-alloy deposition, which can be performed in reactor 1387.
[166] Before the substrate leaves the integrated system 1350, the substrate can optionally undergoes a surface cleaning process, which can clean residues from the previous copper plating process. The substrate cleaning process can be brush clean process, whose reactor 1163 can be integrated with the lab-ambient transfer module 1360.
[167] The wet processing systems described in Figure 13B, which are coupled to the controlled-ambient transfer module 1380, all need to meet the requirement of dry-in/dry-out to allow system integration.
[1681 The apparatus and methods (or processes) described above apply to preparing metal surface for subsequent metal deposition to improve metal-to-metal adhesion and EM performance. The inventive concept can also apply to preparing silicon surface for subsequent selective metal layer deposition.
3. Engineering a silicon surface for selective electroless metal deposition to form metal suicide
[169] The processes described so far are used to improve the EM performance, metal resistivity, and even yield for copper interconnects, such as contacts, vias and metal lines. Earlier in the fabrication sequence of ICs, another metal deposition is applied on silicon or polysilicon surface to form metal suicide in the source/drain/gate, resistor, contact-landing regions (such as resistor contact landing region) of structures, gate region, capacitor region or inductor region" of the device to reduce contact resistance and provide good ohmic contact. Figure 14A is a cross section of a gate structure 127 that includes a thin gate oxide 121, a polysilicon layer 105 and a nitride spacer 107 on a silicon substrate 110. Shallow trench isolation (STI) 65 is used to separate active devices. On two sides of the gate structure are source area 61 and drain area 63. On the source area 61, there is an exposed silicon surface 62. On the drain area 63, there is an exposed silicon surface 64. On the polysilicon layer 105, there is an exposed polysilicon 109. Metal suicide is formed to reduce sheet resistance.
[170] To form metal suicide, a metal 111, such as nickel (Ni), titanium (Ti), or cobalt (Co), is first deposited on the silicon surface, as shown in Figure 14B. Currently, metal 111 is deposited on the substrate surface by a PVD process, and is not selective to the silicon or dielectric regions. The metal is then annealed to form the metal-silicon alloy (suicide) in regions where the metal is in contact with the silicon or polysilicon substrate. No suicide is formed in the dielectric regions. Un-reacted metal is removed selectively to the suicide, including metal in the dielectric regions as well as remaining un-reacted metal above the suicided regions. Electroless metal deposition can be an alternative process to replace the current Co or Ni deposition process. The advantages are that the metal-silicide layer can be made thicker and provide improved etch stop characteristics as well as allow metal-metal contact formation. To enable electroless metal deposition, the silicon surface needs to be very clean and free of native silicon oxide. After metal 111 is selectively deposited on silicon surfaces 62, 64, the substrate is thermally treated at high temperature, such as between about 8000C to about 9000C, to form metal silicide 113, as shown in Figure 14C. The metal suicide 113 formed can allow contact 125 to electrically communicate with the drain area 61, as shown in Figure 14D.
[171] As describe above, the surface preparation prior to electroless metal deposition needs to be conducted in a controlled-ambient environment to ensure the surface on which will be performed electroless deposition is not exposed to oxygen. Figure 15A show an embodiment for a process flow 1500 used to form metal silicide. At step 1501, metal contaminants are removed from all dielectric surfaces; this can be performed using known methods and chemistries. Step 1501 is an optional step, which is needed only when there is concern of surface metal contamination. Organic contaminants are next removed from substrate surface, step 1502. As mentioned above, organic contaminants can be removed by either a variety of dry or wet processes. Afterwards, at step 1503 the silicon surface is reduced to convert native silicon oxide to silicon. Native silicon oxide is a self-limiting process; therefore, the oxide layer is quite thin and does not need an oxide removal step prior to the reduction process. As described above, the reduction process can be a hydrogen plasma process.
[172] After the surface reduction, the silicon surface is ready for electroless metal deposition. A metal, such as Ni, Ti, or Co, is selectively deposited over the exposed silicon (including polysilicon) surface at step 1505. The selective metal deposition can be accomplished by an electroless process. After electroless metal deposition, the substrate undergoes an optional substrate cleaning using known methods and chemistries at step 1507. The substrate then undergoes a high temperature process (or annealing) to form metal suicide at step 1509.
[173] Figure 15B shows an embodiment of an integrated system 1550 that includes a lab- ambient transfer module 1560, a vacuum transfer module 1950, and a controlled-ambient transfer module 1580. The lab-ambient transfer module 1560 is coupled to cassettes 1561 that hold substrate(s) 1555. In one embodiment, the metal contaminants are removed by a wet clean process, such as one of the wet clean processes used to remove metal contaminants described above. The wet clean can be performed in chamber 1565 coupled to the lab- ambient transfer module 1560. Since this process step is optional, chamber 1565 in Figure 15B is dotted. Following removal of metal contaminants, organic contaminants are removed. In one embodiment, the organic contaminants are removed in an oxidizing plasma, such as O2 , H2O, or ozone plasma, in reactor 1571, coupled to the vacuum transfer module 1570, since the O2 plasma process is a low pressure dry process, operated at pressure less than 1 Torr.
[174] Afterwards, silicon surface reduction at step 1503 of flow 1500 can be performed in reactor 1573. The substrate is then transferred to the next system to be deposited with the metal used to form metal suicide (or suicide metal) in an electroless process reactor 1581. The substrate is transferred from reactor 1573 through vacuum transfer module 1570, loadlock 1575, and controlled-ambient transfer module 1580, and finally arrives in reactor 1581 for processing. The electroless metal deposition reactor 1581 is equipped with a rinse/dry system. The substrate can undergo an optional substrate cleaning after metal deposition in a wet clean chamber 1583, as described in process step 1507 of Figure 15A. After the electroless deposition, the substrate is sent to a thermal reactor 1576, such as a rapid thermal processing (RTP) reactor, to form metal suicide.
[175] The systems described above allow substrate processing that requires a mixture of low pressure dry process, high pressure process, and wet processes to be integrated together to limit oxygen exposure at critical processing steps. Figure 16 shows a schematic diagram that shows how different processes are integrated. The lab-ambient transfer module can integrate with cassettes, wet process (es), and dry process that do not need to limit exposure to oxygen (or not-controlled process). The vacuum transfer module can integrate low- pressure dry processes. The vacuum transfer module is operated under vacuum, such as less than 1 Torr; therefore, the exposure to oxygen is limited and controlled, Loadlock I enables substrate transport between the lab-ambient transfer module and the vacuum transfer module. Controlled-ambient transfer module can integrate wet processes, near atmospheric pressure processes, and high-pressure processes. The term "high pressure" is used to differentiate from low-pressure process. The pressure of the high-pressure process refers to pressure greater than atmospheric pressure process, such as the supercritical CO2 process described previously. In one embodiment, there is a loadlock (not shown) between the high-pressure process chamber and the controlled-ambient transfer module to enable substrate being efficiently transferred between the transfer module and the process chamber. Loadlock II enables substrates to be transferred between the vacuum transfer module and the controlled- ambient transfer module. The controlled-ambient transfer module and the reactors coupled to the controlled-ambient transfer module are filled with inert gas to limit exposure to oxygen. Loadlock EL can be pump down to receive substrate from the vacuum transfer module. Loadlock II can also be filled with inert gas to exchange substrate with the controlled-ambient transfer module.
[176] While this invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. Therefore, it is intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention. In the claims, elements and/or steps do not imply any particular order of operation, unless explicitly stated in the claims.

Claims

What is claimed is:Claims
1. A method of preparing a substrate surface of a substrate to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of a copper interconnect of the substrate in an integrated system to improve electromigration performance of the copper interconnect, comprising: removing contaminants and metal oxides from the substrate surface in the integrated system; reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system; and selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface.
2. The method of claim 1, wherein the substrate surface is reconditioned by a hydrogen- containing plasma, which is generated by hydrogen (H2) gas, ammonia (NH3) gas, or a combination of both gases.
3. The method of claim 1, wherein reconditioning the substrate surface converts surface copper oxides to substantially copper, and after reconditioning the substrate surface, the substrate is transferred and processed in controlled environment to minimize the formation of copper oxide over the copper surface.
4. The method of claim 3, wherein after reducing the copper surface, the substrate is transferred and processed with limited exposure to oxygen to enable the thin layer of the cobalt-alloy material to be selectively deposited on the copper surface.
5. The method of claim 1, wherein the thin layer of the cobalt-alloy material is selectively deposited by an electroless deposition process on the copper surface to promote adhesion between the copper surface of the copper interconnect and a dielectric capping layer for the copper interconnect.
6. The method of claim 1, wherein the cobalt-alloy material is selected from the group consisting of CoWP1 CoWB, and CoWBP.
7. An integrated system for transferring and processing a substrate in controlled environment to enable selective deposition of a thin layer of a cobalt-alloy material on a copper surface of a copper interconnect to improve electromigration performance of the copper interconnect, comprising: a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system; a substrate cleaning reactor coupled to the lab-ambient transfer chamber, wherein the substrate cleaning reactor cleans the substrate surface to remove metal-organic complex contaminants on the substrate surface; a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber; a vacuum process module for removing organic contaminants from the substrate surface; wherein the vacuum process module is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled- ambient transfer chamber; and an electroless cobalt-alloy material deposition process module used to deposit the thin layer of cobalt-alloy material on the copper surface of the copper interconnect after the substrate surface has been removed of metallic contaminants and organic contaminants, and the copper surface has been removed of copper oxide, the electroless cobalt-alloy material deposition process module being one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber and is filled with an inert gas selected from a group of inert gases and having a fluid delivery system where process fluids are degassed.
8. The integrated system of claim 7, further comprising: a hydrogen-containing reduction process module used to reduce residual copper oxide on the copper surface into copper, wherein the hydrogen-containing reduction process module is coupled to the vacuum transfer chamber, the hydrogen-containing reduction process module is operated under vacuum at a pressure less than 1 Torr.
9. The integrated system of claim 7, further comprises a substrate cleaning reactor coupled to the lab-ambient transfer chamber, wherein the substrate cleaning reactor cleans the substrate surface to remove metal oxides on the substrate surface, wherein the wet clean solution contains one of citric acid, sulfuric acid, or sulfuric acid with hydrogen peroxide.
10. The integrated system of claim 7, further comprising: a first loadlock coupled to the vacuum transfer chamber and the controUed-ambient transfer chamber, wherein the first loadlock assists the substrate to be transferred between the vacuum transfer chamber and the controlled-ambient transfer chamber, the first loadlock configured to be operated under vacuum at pressure less than 1 Torr or to be filled with an inert gas selected from a group of inert gases to operated under the same pressure as the controlled-ambient transfer module; and a second loadlock coupled to the vacuum transfer chamber and the lab-ambient transfer chamber, wherein the second loadlock assists the substrate to be transferred between the vacuum transfer chamber and the lab-ambient transfer chamber, the second loadlock configured to be operated under vacuum at pressure less than 1 Torr or at lab ambient.
11. The integrated system of claim 7, wherein the vacuum transfer chamber and the at least one vacuum process module coupled to the vacuum transfer chamber are operated at a pressure less than 1 Torr to limit the exposure of the substrate to oxygen.
12. The integrated system of claim 7, wherein the controlled-ambient transfer chamber and the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber are filled with one or more of inert gases selected from the group of inert gases to limit the exposure of the substrate to oxygen.
13. The integrated system of claim 7, wherein substrate is transferred and processed in the integrated system to limit a duration the substrate is exposed to oxygen.
14. The method of claim 13, wherein limiting the exposure of the substrate surface to oxygen reduces the induction time of the deposition reaction and enhances the thin layer of the cobalt-alloy material being selectively deposited on the copper surface.
15. The integrated system of claim 7, wherein the at least one process module coupled to the controlled-ambient transfer module enables a dry-in/dry-out processing of the substrate, wherein the substrate goes in a dry state and comes out in a dry state.
16. A method of preparing a substrate surface of a substrate to deposit a metallic barrier layer to line a copper interconnect structure of the substrate and to deposit a thin copper seed layer on a surface of the metallic barrier layer in an integrated system to improve electromigration performance of the copper interconnect, comprising: cleaning an exposed surface of a underlying metal to remove surface metal oxide in the integrated system, wherein the underlying metal is part of a underlying interconnect electrically connected to the copper interconnect; depositing the metallic barrier layer to line the copper interconnect structure in the integrated system, wherein after depositing the metallic barrier layer, the substrate is transferred and processed in controlled environment to prevent the formation of metallic barrier oxide; depositing the thin copper seed layer in the integrated system; and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
17. The method of claim 16, further comprising: reducing a surface of the metallic barrier layer to convert metallic barrier oxide on the surface of the metallic barrier layer to make the surface of the metallic barrier layer to be metal-rich in the integrated system, wherein reducing the surface of the metallic barrier layer is performed after the exposed surface of the underlying metal is cleaned.
18. The method of claim 16, wherein the copper interconnect include a metal line over a via and the underlying interconnect include a metal line.
19. The method of claim 16, wherein cleaning the exposed surface of the surface metal oxide is accomplished by using one of an Ar sputtering process or a plasma process using a fluorine-containing gas.
20. The method of claim 16, wherein depositing the metallic barrier layer further comprising: depositing a first metallic barrier layer; and depositing a second metallic barrier layer.
21. The method of claim 16, wherein the substrate being transferred and processed in controlled environment to prevent the formation of metallic barrier oxide and to enable the selectively depositing the thin layer of copper seed layer to improve electromigration of the copper interconnect.
22. The method of claim 16, wherein substrate is transferred and processed in the integrated system to limit a duration the substrate is exposed to oxygen.
23. A method of preparing a metallic barrier surface of a substrate to deposit a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect structure in an integrated system to improve electromigration performance of the copper interconnect structure, comprising: reducing a surface of the metallic barrier layer to convert metallic barrier oxide on the surface of the metallic barrier layer to make the surface of the metallic barrier layer to be metallic-rich in the integrated system; depositing the thin copper seed layer in the integrated system; and depositing a gap-fill copper layer over the thin copper seed layer in the integrated system.
24. An integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect, comprising: a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system; a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber; a vacuum process module for cleaning an exposed surface of a metal oxide of a underlying metal in the integrated system, wherein the underlying metal is part of a underlying interconnect, the copper interconnect is electrically connected to the underlying interconnect, wherein the vacuum process module for cleaning is one of the at least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a vacuum process module for depositing the metallic barrier layer, wherein the vacuum process module for depositing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, wherein at least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber; and an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer, wherein the electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
25. The integrated system of claim 24, further comprising: a hydrogen-containing reduction process module used to reduce metal oxide or metal nitride on the surface of the metallic barrier, wherein the hydrogen-containing reduction process module is coupled to the vacuum transfer chamber, the hydrogen-containing reduction process module is operated under vacuum at a pressure less than 1 Torr.
26. The integrated system of claim 24, further comprising: a first loadlock coupled to the vacuum transfer chamber and the controlled-ambient transfer chamber, wherein the first loadlock assists the substrate to be transferred between the vacuum transfer chamber and the controlled-ambient transfer chamber, the first loadlock being configured to be operated under vacuum at pressure less than 1 Torr or to be filled with an inert gas selected from a group of inert gases; and a second loadlock coupled to the vacuum transfer chamber and the lab-ambient transfer chamber, wherein the second loadlock assists the substrate to be transferred between" the vacuum transfer chamber and the lab-ambient transfer chamber, the second loadlock being configured to be operated under vacuum at pressure less than 1 Torr or at lab ambient or to be filled with an inert gas selected from a group of inert gases.
27. The integrated system of claim 24, wherein the vacuum transfer chamber and the at least one vacuum process module coupled to the vacuum transfer chamber are operated at a pressure less than 1 Torr to control the exposure of the substrate to oxygen.
28. The integrated system of claim 24, wherein the controlled-ambient transfer chamber and each one the at least one process module coupled to the controlled-ambient transfer chamber are filled with one or more inert gases selected from the group of inert gases to control the exposure of the substrate to oxygen.
29. The integrated system of claim 24, wherein the at least one process module coupled to the controlled-ambient transfer module enables a dry-in/dry-out processing of the substrate, wherein the substrate goes in and comes out the at least one process module in a dry state.
30. An integrated system for processing a substrate in controlled environment to enable deposition of a thin copper seed layer on a surface of a metallic barrier layer of a copper interconnect, comprising: a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system; a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber; a vacuum process module for reducing the metallic barrier layer, wherein the vacuum process module for reducing the metallic barrier layer one of the at least one vacuum process module is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, wherein at least one controlled-ambient process module is coupled to the controlled-ambient transfer chamber; and an electroless copper deposition process module used to deposit the thin layer of copper seed layer on the surface of the metallic barrier layer, wherein the electroless copper deposition process module is one of the at least one controlled environment process modules coupled to the controlled-ambient transfer chamber.
31. A method of preparing a substrate surface of a substrate to selectively deposit a layer of a metal on a silicon or polysilicon surface of the substrate to form a metal suicide in an integrated system, comprising: removing organic contaminants from the substrate surface in the integrated system; reducing the silicon or polysilicon surface in the integrated system after removing organic contaminants to convert silicon oxide on the silicon or polysilicon surface to silicon, wherein after reducing the silicon or polysilicon surface, the substrate is transferred and processed in controlled environment to prevent the formation of silicon oxide, the silicon or polysilicon surface is reduced to increase the selectivity of the metal on the silicon surface; and selectively depositing the layer of the metal on the silicon or polysilicon surface of substrate in the integrated system after reducing the silicon or polysilicon surface.
32. The method of claim 31, further comprising: forming the metal suicide after selectively depositing the layer of the metal on the silicon surface in the integrated system.
33. The method of claim 31 , further comprising: removing metallic contaminants from the substrate surface in the integrated system before reducing the silicon surface. t
34. The method of claim 31, wherein the silicon or polysilicon surface is reduced by a hydrogen-containing plasma, which is generated by hydrogen (H2) gas, ammonia (NH3) gas, or a combination of both gases.
35. The method of claim 31, wherein the metal is selected from the group consisting of Ni5 or Co.
36. The method of claim 31, wherein the substrate is transferred or processed in controlled environment in the integrated system after the silicon surface has been reduced by being transferred or processed in a vacuum environment or in an inert-gas-filled environment to control the exposure of the substrate surface to oxygen.
37. The method of claim 32, wherein the metal suicide is formed in a rapid thermal processing (RTP) system.
38. An integrated system for processing a substrate in controlled environment to enable selective deposition of a layer of a metal on a silicon surface of a substrate to form a metal suicide, comprising: a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system; a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr, wherein at least one vacuum process module is coupled to the vacuum transfer chamber; a vacuum process module for removing organic contaminants from the substrate surface, wherein the vacuum process module for removing the organic contaminants is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a vacuum process chamber for reducing the silicon surface, wherein the vacuum process module for reducing the silicon surface is at one of the least one vacuum process module coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases, and at least one controlled-ambient process module coupled to the controlled- ambient transfer chamber; and an electroless metal deposition process module used to selectively deposit the thin layer of the metal on the silicon surface after the silicon surface has been reduced, the electroless metal deposition process module is one of the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber.
39. The integrated system of claim 38, wherein the vacuum process chamber for forming the metal suicide is a RTP chamber.
40. The integrated system of claim 38, wherein the controlled-ambient transfer chamber and the at least one controlled-ambient process module coupled to the controlled-ambient transfer chamber are filled with one or more inert gases selected from the group of inert gases to control the exposure of the substrate to oxygen.
PCT/US2007/018270 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition WO2008027216A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020097004276A KR101506352B1 (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition
CN200780032409.XA CN101558186B (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition
JP2009526621A JP5489717B2 (en) 2006-08-30 2007-08-17 Method and integrated system for conditioning a substrate surface for metal deposition

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/514,038 2006-08-30
US11/514,038 US8241701B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a barrier surface for copper deposition
US11/513,446 US8747960B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US11/513,634 2006-08-30
US11/513,446 2006-08-30

Publications (3)

Publication Number Publication Date
WO2008027216A2 true WO2008027216A2 (en) 2008-03-06
WO2008027216A3 WO2008027216A3 (en) 2008-04-17
WO2008027216A9 WO2008027216A9 (en) 2008-05-22

Family

ID=39136458

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/018270 WO2008027216A2 (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition

Country Status (2)

Country Link
KR (1) KR101506352B1 (en)
WO (1) WO2008027216A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100137582A (en) * 2008-04-29 2010-12-30 어플라이드 머티어리얼스, 인코포레이티드 Selective cobalt deposition on copper surfaces
US11373903B2 (en) 2016-10-02 2022-06-28 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101685372B1 (en) * 2010-05-07 2016-12-12 성균관대학교 산학협력단 Method for forming copper alloy by cyclic deposition
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
KR102619817B1 (en) * 2022-05-19 2024-01-02 세메스 주식회사 Method of forming semiconductor device and substrate processing system for forming semiconductor device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6239006B1 (en) * 1999-07-09 2001-05-29 Advanced Micro Devices, Inc. Native oxide removal with fluorinated chemistry before cobalt silicide formation
US20010055934A1 (en) * 2000-06-22 2001-12-27 Applied Materials, Inc. Method and apparatus for treating a substrate
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20030022509A1 (en) * 1998-11-17 2003-01-30 Applied Materials, Inc. Plasma treatment for copper oxide reduction
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7232766B2 (en) 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US20030022509A1 (en) * 1998-11-17 2003-01-30 Applied Materials, Inc. Plasma treatment for copper oxide reduction
US6239006B1 (en) * 1999-07-09 2001-05-29 Advanced Micro Devices, Inc. Native oxide removal with fluorinated chemistry before cobalt silicide formation
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20010055934A1 (en) * 2000-06-22 2001-12-27 Applied Materials, Inc. Method and apparatus for treating a substrate
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100137582A (en) * 2008-04-29 2010-12-30 어플라이드 머티어리얼스, 인코포레이티드 Selective cobalt deposition on copper surfaces
JP2011524078A (en) * 2008-04-29 2011-08-25 アプライド マテリアルズ インコーポレイテッド Selective cobalt deposition on copper surfaces.
KR101654001B1 (en) 2008-04-29 2016-09-05 어플라이드 머티어리얼스, 인코포레이티드 Selective cobalt deposition on copper surfaces
KR101802452B1 (en) 2008-04-29 2017-11-28 어플라이드 머티어리얼스, 인코포레이티드 A method for capping a copper surface on a substrate
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11373903B2 (en) 2016-10-02 2022-06-28 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Also Published As

Publication number Publication date
WO2008027216A3 (en) 2008-04-17
KR20090052331A (en) 2009-05-25
KR101506352B1 (en) 2015-03-26
WO2008027216A9 (en) 2008-05-22

Similar Documents

Publication Publication Date Title
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
JP5820870B2 (en) Method and integrated system for conditioning a substrate surface for metal deposition
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US8415261B1 (en) Capping before barrier-removal IC fabrication method
US8916232B2 (en) Method for barrier interface preparation of copper interconnect
US7341946B2 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20070099422A1 (en) Process for electroless copper deposition
US20030034251A1 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
JP2009514238A (en) Method for selectively depositing a thin film material on a semiconductor junction
US8133812B2 (en) Methods and systems for barrier layer surface passivation
WO2008027216A2 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TW201445002A (en) Methods for manganese nitride integration

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780032409.X

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07836986

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009526621

Country of ref document: JP

Ref document number: 1020097004276

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 07836986

Country of ref document: EP

Kind code of ref document: A2