WO2008016650A2 - Methods of forming carbon-containing silicon epitaxial layers - Google Patents

Methods of forming carbon-containing silicon epitaxial layers Download PDF

Info

Publication number
WO2008016650A2
WO2008016650A2 PCT/US2007/017193 US2007017193W WO2008016650A2 WO 2008016650 A2 WO2008016650 A2 WO 2008016650A2 US 2007017193 W US2007017193 W US 2007017193W WO 2008016650 A2 WO2008016650 A2 WO 2008016650A2
Authority
WO
WIPO (PCT)
Prior art keywords
carbon
epitaxial layer
containing silicon
forming
substrate
Prior art date
Application number
PCT/US2007/017193
Other languages
French (fr)
Other versions
WO2008016650A3 (en
Inventor
Yihwan Kim
Zhiyuan Ye
Ali Zojaji
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020097002917A priority Critical patent/KR101160930B1/en
Priority to DE112007001814T priority patent/DE112007001814T5/en
Priority to JP2009522864A priority patent/JP5090451B2/en
Publication of WO2008016650A2 publication Critical patent/WO2008016650A2/en
Publication of WO2008016650A3 publication Critical patent/WO2008016650A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type

Definitions

  • the present invention relates to semiconductor device manufacturing, and more specifically to methods of forming carbon-containing silicon epitaxial layers.
  • CMOS complementary metal-oxide semiconductor
  • junction depth is typically less than 30 nm.
  • Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions.
  • silicon-containing materials e.g., Si, SiGe and SiC
  • selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas.
  • Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices .
  • a selective epitaxy process involves a deposition reaction and an etch reaction.
  • the deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer.
  • the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer.
  • the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material.
  • a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on a spacer.
  • Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayer, such as a silicon germanium (SiGe) material.
  • a selectively grown epilayer such as a silicon germanium (SiGe) material.
  • Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy.
  • the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further.
  • an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
  • a method for forming an epitaxial layer stack on a substrate.
  • the method includes (1) selecting a target carbon concentration for the epitaxial layer stack; (2) forming a carbon-containing silicon layer on the substrate, the carbon-containing silicon layer having at least one of an initial carbon concentration, a thickness and a deposition time selected based on the selected target carbon concentration; and (3) forming a non-carbon-containing silicon layer on the carbon-containing silicon layer prior to etching.
  • a method for forming an epitaxial layer stack.
  • the method includes (1) selecting a target carbon concentration for the epitaxial layer stack; and (2) forming the epitaxial layer stack by depositing alternating carbon-containing silicon layers and non-carbon-containing silicon layers.
  • the target carbon concentration is achieved based on at least one of a total thickness, an initial carbon concentration, and a deposition time for the carbon-containing silicon layers.
  • a method is provided for controlling carbon concentration in an epitaxial layer stack formed on a substrate.
  • the method includes (1) determining a desired carbon concentration for the epitaxial layer stack; and (2) forming the epitaxial layer stack by (a) forming a carbon-containing epitaxial layer on the substrate; and (b) forming a non-carbon- containing cap layer on the carbon-containing epitaxial layer.
  • a thickness of the carbon-containing epitaxial layer is selected based on the desired carbon concentration for the epitaxial layer stack.
  • FIGS. 1A-1D illustrate cross-sectional views of a substrate during formation of an epitaxial layer stack in accordance with the present invention.
  • FIG. 2 is a graph illustrating a concentration of carbon throughout stacked layers of a non-carbon-containing seed epitaxial layer, a carbon-containing epitaxial layer and a non-carbon-containing cap epitaxial layer formed in accordance with the present invention.
  • FIG. 3 is a graph illustrating the dependence of substitutional carbon (SC) concentration on the deposition time of a carbon-containing epitaxial layer for fixed deposition times of seed and cap epitaxial layers in accordance with the present invention.
  • FIG. 4 is a flowchart of an exemplary method for forming an epitaxial layer stack having a target carbon concentration in accordance with the present invention.
  • Selective epitaxial growth processes may include simultaneous etch-deposition processes as well as alternating gas supply processes.
  • simultaneous etch- deposition process both etchant species and deposition species are flowed simultaneously.
  • an epitaxial layer is simultaneously deposited and etched during its formation .
  • previously incorporated U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), describes an alternating gas supply (AGS) process for forming epitaxial layers on a substrate.
  • AGS alternating gas supply
  • an epitaxial deposition process is conducted on a substrate, and then an etching process is conducted on the substrate.
  • the cycle of an epitaxial deposition process followed by an etching process is repeated until a desired thickness of an epitaxial layer is formed.
  • a deposition process may include exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas.
  • the deposition gas may also include a germanium source and/or a carbon source, as well as a dopant source.
  • Common dopants may include arsenic, boron, phosphorus, antimony, gallium, aluminum and other elements.
  • an epitaxial layer is formed on the monocrystalline surface (s) of the substrate while a polycrystalline layer is formed on secondary surfaces, such as amorphous and/or polycrystalline surfaces. Subsequently, the substrate is exposed to an etching gas.
  • the etching gas includes a carrier gas and an etchant .
  • the etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline silicon- containing material on the secondary surfaces.
  • Exemplary silicon-containing materials which can be deposited include silicon, silicon germanium, silicon carbon, silicon germanium carbon, dopant variants thereof and the like.
  • Conventional silicon epitaxial film formation processes employ hydrogen (H 2 ) , hydrogen chloride (HCl) and a silicon source such as dichlorosilane and are performed at a substrate temperature above about 700 degrees Celsius (e.g., to dissociate HCl and/or the silicon source) .
  • One approach to reduce the epitaxial film formation temperature is to employ chlorine (CI2) in place of HCl, as CI2 dissociates efficiently at lower temperatures (e.g., about 600 degrees Celsius or less) .
  • CI2 chlorine
  • a carrier gas other than hydrogen, such as nitrogen may be employed with CI 2 .
  • a silicon source having a lower dissociation temperature may be employed (e.g., silane (SiH 4 ), disilane (Si ⁇ H ⁇ ) , etc.).
  • CI 2 as the etchant gas for a silicon epitaxial film formation process may lead to poor surface morphology of the resultant silicon epitaxial film. While not wishing to be bound by any particular theory, it is believed that Cl 2 may over aggressively attack a silicon epitaxial film surface, producing pitting or the like. The use of Cl 2 has been found to be particularly problematic when the silicon epitaxial film contains carbon.
  • the present invention provides methods for employing Cl 2 as an etchant gas during a silicon epitaxial film formation process that may improve epitaxial film surface morphology.
  • the inventive methods may be used, for example, with the alternating gas supply (AGS) process described in U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618) .
  • any carbon-containing silicon epitaxial films may be "encapsulated" prior to being exposed to Cl 2 during an etch phase.
  • a carbon-containing silicon epitaxial film may be encapsulated, for example, via a silicon epitaxial film formed without a carbon source (a "non-carbon-containing silicon epitaxial film”) .
  • FIG. IA a cross-sectional view of a substrate 100 is illustrated having a seed epitaxial layer 102 (e.g., a silicon (Si) epitaxial layer) formed on the substrate 100.
  • a seed epitaxial layer 102 e.g., a silicon (Si) epitaxial layer
  • the seed epitaxial layer 102 may be eliminated.
  • the substrate 100 may be placed in a processing chamber, and heated to a desired substrate and/or process temperature.
  • An exemplary epitaxial film chamber may be found in the Epi Centura ® system and the Poly Gen ® system available from Applied Materials, Inc., located in Santa Clara, California, although other epitaxial film chambers and/or systems may be used.
  • a substrate and/or process temperature of less than about 700 degrees Celsius may be employed to improve carbon incorporation within any silicon epitaxial layer formed within the process chamber.
  • a substrate and/or process temperature range of between about 550 to 650 degrees Celsius may be used, and in yet another embodiment, a substrate and/or process temperature of less than about 600 degrees Celsius may be used.
  • Other substrate and/or process temperatures may be used, including substrate and/or process temperatures above 700 degrees Celsius.
  • the substrate 100 is exposed to at least a silicon source (without a carbon source) so as to form the seed epitaxial layer 102.
  • the substrate 100 may be exposed to a silicon source such as silane or disilane, and a carrier gas such as nitrogen.
  • a dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses).
  • the epitaxial layer 102 may be formed on any monocrystalline surface of the substrate 100 while a polycrystalline layer may be formed on any polycrystalline layer and/or any amorphous layer present on the substrate 100 (as previously described) .
  • the seed epitaxial layer 102 may be formed, for example, by flowing a silicon source at a flow rate of about 50-150 seem for silane (or a flow rate of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 - 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) .
  • HCl may also be flowed if desired.
  • the seed epitaxial layer is the seed epitaxial layer
  • 102 may have a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used.
  • a deposition time of about 1 second to about 100 seconds, and in one or more embodiments about 5 seconds, may be used.
  • the substrate 100 is exposed to at least a silicon source and a carbon source so as to form a carbon-containing silicon epitaxial layer 104 (FIG. IB) on the substrate 100 over the seed epitaxial layer 102.
  • the substrate 100 may be exposed to a silicon source such as silane or disilane, a carbon source such as methane, and a carrier gas such as nitrogen.
  • a dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses).
  • a carbon-containing epitaxial layer may be formed on any monocrystalline surface of the substrate 100 while a polycrystalline layer may be formed on any polycrystalline layer and/or any amorphous layer present on the substrate 100 (as previously described) .
  • a carbon source flow rate of approximately 1 - 5 seem for methane may be used with a silicon source at a flow rate of about 50 - 150 seem for silane ⁇ or of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 - 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) .
  • HCl may also be flowed if desired.
  • the carbon-containing epitaxial layer 104 may have, for example, a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used. For example, a deposition time of about 1 second to about 50 seconds, and in one or more embodiments about 10 seconds, may be used.
  • the substrate 100 is exposed to at least a silicon source (without a carbon source) so as to form a second silicon epitaxial layer 106 (e.g., a capping layer as shown in FIG. 1C) on the substrate 100 over the carbon-containing silicon epitaxial layer 104.
  • a silicon source such as silane or disilane
  • a carrier gas such as nitrogen.
  • a dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses) .
  • the presence of the second silicon epitaxial layer 106 over the carbon-containing silicon epitaxial layer 104 may diminish the interaction of chlorine with carbon (and/or hydrogen) in the carbon- containing silicon epitaxial layer 104.
  • HCl may also be flowed as described previously.
  • the second silicon epitaxial layer 106 may be formed, for example, by flowing a silicon source at a flow rate of about 50-150 seem for silane (or a flow rate of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 to 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) .
  • HCl may also be flowed.
  • the second silicon epitaxial layer 106 may have a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used.
  • a deposition time of about 1 second to about 100 seconds, and in one or more embodiments about 5 seconds, may be used.
  • An epitaxial layer stack 108 thereby is formed, in which the carbon-containing epitaxial layer 104 is encapsulated between non-carbon-containing epitaxial layers 102, 106 (e.g., epitaxial layers that are formed without an intentional carbon source) .
  • the substrate 100 may be exposed to C12 and/or another etchant so as to etch at least the second silicon epitaxial layer 106, and/or any other films formed on the substrate 100 (e.g., polycrystalline silicon formed on polycrystalline and/or amorphous layers present on the substrate 100 and/or monocrystalline silicon formed on the carbon-containing silicon epitaxial layer 104).
  • the substrate 100 may be exposed to a C12 flow rate of about 30-50 seem and a nitrogen carrier gas flow rate of 20 slm (although larger or smaller C12 and/or nitrogen carrier gas flow rates may be used) .
  • HCl may also be flowed.
  • the process chamber being employed may be purged (e.g., with nitrogen and/or another inert gas for about 20 seconds or for some other suitable time period) to remove any C12 and/or any other unwanted species/by-products from the chamber.
  • the cap epitaxial layer 106 and/or seed layer 102 prevent a reaction from occurring between an etchant and carbon in the carbon-containing epitaxial layer 104.
  • CI 2 may be employed as the etchant because the underlying carbon-containing layer is not exposed to the CI2 during etching. Accordingly, the carbon-containing epitaxial layer 102 will not have a pitted surface morphology, but rather a smooth surface morphology.
  • Deposition and etching sequences may be repeated until the desired total epitaxial layer stack thickness is achieved as shown, for example, in FIG. ID.
  • the sequence of non-carbon-containing silicon layer deposition/carbon-containing silicon layer deposition/non- carbon-containing silicon layer deposition/etching may be repeated about 80 times to yield a total epitaxial layer stack thickness of about 600 A.
  • deposition of an underlying seed layer may be eliminated, so that the formation sequence may be carbon-containing silicon layer deposition/non-carbon-containing silicon layer deposition/etching repeated a number of times to yield the desired total epitaxial layer stack thickness.
  • the epitaxial layer stack (which includes carbon-containing epitaxial layers and non-carbon- containing epitaxial layers) may have a thickness in a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1,500 A, and more preferably from about 300 A to about 1,000 A. In one particular embodiment, a layer stack of about 600 A may be used.
  • average carbon concentration of the final epitaxial layer stack may be controlled and/or determined.
  • the carbon in the carbon- containing epitaxial layer (s) may quickly and uniformly diffuse along a depth of the stacked layers (e.g., the seed layer, carbon-containing layer and cap layer) , even though carbon is only deposited during the carbon-containing epitaxial layer formation step.
  • the stacked layers e.g., the seed layer, carbon-containing layer and cap layer
  • FIG. 2 is a graph 200 illustrating a concentration of carbon throughout stacked layers of a non-carbon- containing seed epitaxial layer, a carbon-containing epitaxial layer and a non-carbon-containing cap epitaxial layer formed in accordance with the present invention (such as in FIG. 1C) .
  • a concentration of carbon generally indicated by a line 202, is uniformly distributed throughout a depth of the stacked layers, (where the X-axis represents a depth of the stacked layers and the Y-axis represents carbon distribution throughout the stacked layers) .
  • the concentration of carbon within the stacked layers may be controlled by controlling the relative thicknesses of the carbon- containing layer and/or of the seed and/or cap layers, as well as initial carbon concentration within the carbon- containing layer.
  • final carbon concentration can be estimated from the relative thickness of the carbon- containing epitaxial layer to the non-carbon-containing epitaxial layer (s) .
  • FIG. 3 is a graph 300 illustrating the dependence of substitutional carbon (SC) concentration on the deposition time of the carbon- containing epitaxial layer for fixed deposition times of the seed and cap layers (e.g., in FIG. 1C) .
  • SC substitutional carbon
  • the concentration of carbon within the stack layers is proportional to the deposition time of the carbon-containing epitaxial layer.
  • the concentration of carbon within a seed layer/carbon- containing layer/cap layer stack or "sandwich” may be controlled by controlling the deposition time of the carbon- containing epitaxial layer.
  • the target carbon concentration of an epitaxial layer stack may be in the range from about 200 parts per million (ppm) to about 5 atomic percent (at%) , preferably from about 0.5 at% to about 2 at%, for example about 1.5 at%. Other target concentrations may be used.
  • the carbon concentration may be graded within an epitaxial layer (such as layer 104 in FIGS. 1A-1D) .
  • Carbon incorporated in carbon-containing silicon layers is generally located in interstitial sites of the crystalline lattice immediately following the deposition of the silicon-containing layer.
  • the initial carbon concentration, or in other words, the as-deposited carbon content in a carbon-containing layer may be about 10 at% or less, preferably less than about 5 at% and more preferably from about 0.5 at% to about 3 at%, for example, about 2 at%.
  • an epitaxial layer may incorporate at least a portion, if not all of the interstitial carbon into substitutional sites of the crystalline lattice.
  • the total carbon concentration of the epitaxial layer stack includes all carbon, whether interstitiaXly or substitutionally incorporated in the stack.
  • High resolution X-ray diffraction (XRD) may be used to determine substitutional carbon concentration and thickness.
  • Secondary Ion Mass Spectroscopy (SIMS) may be used to determine the total (substitutional and interstitial) carbon concentration in the epitaxial layer stack.
  • Substitutional carbon concentration may be equal to or lower than the total carbon concentration.
  • a suitable annealing process may include a spike anneal, such as a rapid thermal process (RTP) , laser annealing or thermal annealing with an atmosphere of gas, such as oxygen, nitrogen, hydrogen, argon, helium or combinations thereof.
  • RTP rapid thermal process
  • gas such as oxygen, nitrogen, hydrogen, argon, helium or combinations thereof.
  • an annealing process may be conducted at a temperature from about 800 0 C to about 1,200 0 C, preferably from about 1,050 0 C to about l,100°C. Such an annealing process may occur immediately after the capping non-carbon-containing layer 106 is deposited or after a variety of other process steps (e.g., such as after the entire film stack is deposited).
  • FIG. 4 is a flowchart of an exemplary method 400 for forming an epitaxial layer stack having a target carbon concentration in accordance with the present invention.
  • a substrate is loaded into a process chamber and is heated to a temperature of . about 800 0 C or less.
  • a lower temperature range may be used during epitaxial film formation, such as less than 750 0 C, less than 700 0 C or less than 650 0 C.
  • a carbon-containing epitaxial layer is formed on the substrate.
  • the initial carbon concentration, thickness and/or deposition time of the carbon-containing epitaxial layer may be selected based on the target carbon concentration for the epitaxial layer stack.
  • a non-carbon-containing epitaxial layer is formed on the carbon-containing epitaxial layer.
  • the non-carbon-containing epitaxial layer is thick enough to protect the underlying carbon-containing layer from subsequent etching.
  • step 404 the substrate is etched using an etchant gas such as HCl and/or CI 2 .
  • an etchant gas such as HCl and/or CI 2 .
  • the non- carbon-containing epitaxial layer protects the underlying carbon-containing epitaxial layer from the etchant gas.
  • a purge step (not shown) may be employed following etching so as to remove any etchant gas and/or other undesirable gases from the process chamber being employed.
  • step 405 a determination is made whether the desired epitaxial layer stack thickness has been reached. If so, the process ends in step 406; otherwise, the process returns to step 402 to deposit additional epitaxial material on the substrate.
  • a process cycle may include (1) a non-carbon-containing silicon (Si) layer deposition step; (2) a carbon-containing silicon (Si:C) layer deposition step; (3) a non-carbon-containing silicon (Si) layer deposition step; (4) an etch step; and (5) a purge step.
  • the process cycle may be repeated numerous times to achieve an overall epitaxial layer stack thickness. In one particular embodiment, the process cycle may be repeated about 80 times to obtain about 600 angstroms of epitaxial material for an epitaxial layer stack.
  • each deposition of Si or Si:C may result in about 5 to 30 angstroms of epitaxial material, a portion of which is etched away by a subsequent etch step (e.g., about 15-25 angstroms) .
  • the remaining epitaxial material e.g., on a Si moat
  • the remaining epitaxial material may be about 600 angstroms (with little or no deposition on dielectric regions of the substrate) .
  • an epitaxial layer stack thickness range of about 30 to 100 nanometers may be used.
  • a substitutional carbon concentration range for an epitaxial layer stack and/or for an as-deposited Si: C layer may be about 0.5-2.0 at%.
  • the total stack carbon concentration is reduced depending on the thickness of the Si layers compared to thickness of the Si:C layer.
  • substitutional carbon concentration may be equal to or lower than total carbon concentration.
  • Exemplary gas flow ranges include silicon source flow rates of about 5-500 seem for dichlorosilane, silane, disilane, or high order silane, carbon source flow rates of about 1-30 seem for mono methylsilane, and carrier gas flow rates of about 3-30 slm for H2 or N2.
  • an exemplary flow rate for HCl is about 20-1000 seem and for C12 is about 10-500 seem.
  • HCl may be flowed during each process step (except the purge step) at approximately the same flow rate ⁇ e.g., about 300 seem or another suitable rate)
  • C12 may be flowed only during the etching step (e.g., at about 30 seem or another suitable rate) .
  • Disilane may be flowed during each deposition step (e.g., at about 7 seem or another suitable rate), and methylsilane may be flowed during the Si:C deposition step (e.g., at about 2.2 seem or another suitable rate) .
  • N2 carrier gas may be flowed at about 20 slm or another suitable rate during each process cycle step, and increased to about 30 slm or another suitable rate during each purge step.
  • about 5 angstroms of Si may be deposited during the first Si deposition step (e.g., about a 4 second deposition), about 9 angstroms of Si: C may be deposited during the Si:C deposition step (e.g., about a 7 second deposition) , about 13 angstroms of Si may be deposited during the second Si deposition step (e.g., about a 10 second deposition) , and about 19 angstroms of epitaxial material may be removed during the etching step (e.g., about a 13 second etch) .
  • a suitable purge time may be used (e.g., about 10 seconds) .
  • a process temperature of about 600 0 C and a chamber pressure of about 10 Torr during deposition and purging, and about 13 Torr during etching may be employed. As stated, other process conditions may be used.

Abstract

In a first aspect, a method is provided for forming an epitaxial layer stack on a substrate. The method includes (1) selecting a target carbon concentration for the epitaxial layer stack; (2) forming a carbon-containing silicon layer on the substrate, the carbon-containing silicon layer having at least one of an initial carbon concentration, a thickness and a deposition time selected based on the selected target carbon concentration; and (3) forming a non-carbon-containing silicon layer on the carbon- containing silicon layer prior to etching. Numerous other aspects are provided.

Description

METHODS OF FORMING CARBON-CONTAINING SILICON EPITAXIAL LAYERS
The present application claims priority to U.S. Provisional Patent Application Serial No. 60/834,773 filed July 31, 2006, and entitled "METHODS OF FORMING CARBON- CONTAINING SILICON EPITAXIAL LAYERS" (Attorney Docket No. 1-0595/L) which is hereby incorporated herein by reference in its entirety for all purposes.
CROSS REFERENCE TO RELATED APPLICATIONS
The present application is related to the following co-pending applications, each of which is hereby incorporated by reference herein in its entirety: U.S. Patent Application ' Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618); and
U.S. Patent Application Serial No. 11/227,974, filed September 14, 2005 (Docket No. 9618/P01) .
FIELD OF THE INVENTION The present invention relates to semiconductor device manufacturing, and more specifically to methods of forming carbon-containing silicon epitaxial layers.
BACKGROUND As smaller transistors are manufactured, ultra shallow source/drain junctions are becoming more challenging to produce. Generally, sub-100 nm CMOS (complementary metal-oxide semiconductor) devices require a junction depth to be less than 30 nm. Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions. Generally, selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas. Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices .
Generally, a selective epitaxy process involves a deposition reaction and an etch reaction. The deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer. During the deposition process, the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer. However, the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material. For example, a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on a spacer.
Selective epitaxy deposition of silicon-containing materials has become a useful technique during formation of elevated source/drain and source/drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices. Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown epilayer, such as a silicon germanium (SiGe) material. Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy. On the other hand, the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further. In order to compensate for junction consumption, an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
However, current selective epitaxy processes have some drawbacks. In order to maintain selectivity during present epitaxy processes, chemical concentrations of the precursors, as well as reaction temperatures must be regulated and adjusted throughout the deposition process. If not enough silicon precursor is administered, then the etching reaction may dominate and the overall process is slowed down. Also, harmful over etching of substrate features may occur. If not enough etchant precursor is administered, then the deposition reaction may dominate reducing the selectivity to form monocrystalline and polycrystalline materials across the substrate surface. Also, current selective epitaxy processes usually require a high reaction temperature, such as about 8000C, 1,0000C or higher. Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface. Furthermore, some epitaxial films and/or processes are prone to morphological deficiencies such as pitting or surface roughness in the films.
Therefore, there is a need to have a process for selectively and epitaxially depositing silicon and silicon- containing compounds. Furthermore, the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate and maintaining a process temperature, such as about 8000C or less. Finally, the process should result in a low defect film or film stack (e.g., with little pitting, dislocations, roughness, point defects, etc.). SUMMARY OF THE INVENTION
In a first aspect of the invention, a method is provided for forming an epitaxial layer stack on a substrate. The method includes (1) selecting a target carbon concentration for the epitaxial layer stack; (2) forming a carbon-containing silicon layer on the substrate, the carbon-containing silicon layer having at least one of an initial carbon concentration, a thickness and a deposition time selected based on the selected target carbon concentration; and (3) forming a non-carbon-containing silicon layer on the carbon-containing silicon layer prior to etching.
In a second aspect of the invention, a method is provided for forming an epitaxial layer stack. The method includes (1) selecting a target carbon concentration for the epitaxial layer stack; and (2) forming the epitaxial layer stack by depositing alternating carbon-containing silicon layers and non-carbon-containing silicon layers. The target carbon concentration is achieved based on at least one of a total thickness, an initial carbon concentration, and a deposition time for the carbon-containing silicon layers. In a third aspect of the invention, a method is provided for controlling carbon concentration in an epitaxial layer stack formed on a substrate. The method includes (1) determining a desired carbon concentration for the epitaxial layer stack; and (2) forming the epitaxial layer stack by (a) forming a carbon-containing epitaxial layer on the substrate; and (b) forming a non-carbon- containing cap layer on the carbon-containing epitaxial layer. A thickness of the carbon-containing epitaxial layer is selected based on the desired carbon concentration for the epitaxial layer stack. Numerous other aspects are provided. Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings .
BRIEF DESCRIPTION OF DRAWINGS
FIGS. 1A-1D illustrate cross-sectional views of a substrate during formation of an epitaxial layer stack in accordance with the present invention.
FIG. 2 is a graph illustrating a concentration of carbon throughout stacked layers of a non-carbon-containing seed epitaxial layer, a carbon-containing epitaxial layer and a non-carbon-containing cap epitaxial layer formed in accordance with the present invention.
FIG. 3 is a graph illustrating the dependence of substitutional carbon (SC) concentration on the deposition time of a carbon-containing epitaxial layer for fixed deposition times of seed and cap epitaxial layers in accordance with the present invention.
FIG. 4 is a flowchart of an exemplary method for forming an epitaxial layer stack having a target carbon concentration in accordance with the present invention.
DETAILED DESCRIPTION
During a selective epitaxial growth process on a silicon substrate patterned with dielectric films, formation of single-crystal semiconductor occurs only on exposed silicon surfaces (e.g., not on dielectric surfaces). Selective epitaxial growth processes may include simultaneous etch-deposition processes as well as alternating gas supply processes. In a simultaneous etch- deposition process, both etchant species and deposition species are flowed simultaneously. As such, an epitaxial layer is simultaneously deposited and etched during its formation . In contrast, previously incorporated U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618), describes an alternating gas supply (AGS) process for forming epitaxial layers on a substrate. During an AGS process, an epitaxial deposition process is conducted on a substrate, and then an etching process is conducted on the substrate. The cycle of an epitaxial deposition process followed by an etching process is repeated until a desired thickness of an epitaxial layer is formed.
A deposition process may include exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas. The deposition gas may also include a germanium source and/or a carbon source, as well as a dopant source. Common dopants may include arsenic, boron, phosphorus, antimony, gallium, aluminum and other elements.
During the deposition process, an epitaxial layer is formed on the monocrystalline surface (s) of the substrate while a polycrystalline layer is formed on secondary surfaces, such as amorphous and/or polycrystalline surfaces. Subsequently, the substrate is exposed to an etching gas.
The etching gas includes a carrier gas and an etchant . The etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline silicon- containing material on the secondary surfaces. Exemplary silicon-containing materials which can be deposited include silicon, silicon germanium, silicon carbon, silicon germanium carbon, dopant variants thereof and the like. Conventional silicon epitaxial film formation processes employ hydrogen (H2) , hydrogen chloride (HCl) and a silicon source such as dichlorosilane and are performed at a substrate temperature above about 700 degrees Celsius (e.g., to dissociate HCl and/or the silicon source) . One approach to reduce the epitaxial film formation temperature is to employ chlorine (CI2) in place of HCl, as CI2 dissociates efficiently at lower temperatures (e.g., about 600 degrees Celsius or less) . Because of incompatibility between hydrogen and Cl2, a carrier gas other than hydrogen, such as nitrogen, may be employed with CI2. Similarly, a silicon source having a lower dissociation temperature may be employed (e.g., silane (SiH4), disilane (SiHβ) , etc.).
The use of CI2 as the etchant gas for a silicon epitaxial film formation process may lead to poor surface morphology of the resultant silicon epitaxial film. While not wishing to be bound by any particular theory, it is believed that Cl2 may over aggressively attack a silicon epitaxial film surface, producing pitting or the like. The use of Cl2 has been found to be particularly problematic when the silicon epitaxial film contains carbon.
The present invention provides methods for employing Cl2 as an etchant gas during a silicon epitaxial film formation process that may improve epitaxial film surface morphology. The inventive methods may be used, for example, with the alternating gas supply (AGS) process described in U.S. Patent Application Serial No. 11/001,774, filed December 1, 2004 (Docket No. 9618) .
In some embodiments, any carbon-containing silicon epitaxial films may be "encapsulated" prior to being exposed to Cl2 during an etch phase. A carbon-containing silicon epitaxial film may be encapsulated, for example, via a silicon epitaxial film formed without a carbon source (a "non-carbon-containing silicon epitaxial film") .
As an example, formation of a carbon-containing silicon epitaxial layer stack in accordance with the present invention, and employing an AGS process, is described below with reference to FIGS. 1A-1D. With reference to FIG. IA, a cross-sectional view of a substrate 100 is illustrated having a seed epitaxial layer 102 (e.g., a silicon (Si) epitaxial layer) formed on the substrate 100. In some embodiments, the seed epitaxial layer 102 may be eliminated. To form the seed epitaxial layer 102, the substrate 100 may be placed in a processing chamber, and heated to a desired substrate and/or process temperature. An exemplary epitaxial film chamber may be found in the Epi Centura® system and the Poly Gen® system available from Applied Materials, Inc., located in Santa Clara, California, although other epitaxial film chambers and/or systems may be used. In at least one embodiment of the invention, a substrate and/or process temperature of less than about 700 degrees Celsius may be employed to improve carbon incorporation within any silicon epitaxial layer formed within the process chamber. In a particular embodiment, a substrate and/or process temperature range of between about 550 to 650 degrees Celsius may be used, and in yet another embodiment, a substrate and/or process temperature of less than about 600 degrees Celsius may be used. Other substrate and/or process temperatures may be used, including substrate and/or process temperatures above 700 degrees Celsius.
After the desired substrate and/or process temperature has been reached, the substrate 100 is exposed to at least a silicon source (without a carbon source) so as to form the seed epitaxial layer 102. For example, the substrate 100 may be exposed to a silicon source such as silane or disilane, and a carrier gas such as nitrogen. A dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses). During the epitaxial film formation process, the epitaxial layer 102 may be formed on any monocrystalline surface of the substrate 100 while a polycrystalline layer may be formed on any polycrystalline layer and/or any amorphous layer present on the substrate 100 (as previously described) .
The seed epitaxial layer 102 may be formed, for example, by flowing a silicon source at a flow rate of about 50-150 seem for silane (or a flow rate of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 - 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) . HCl may also be flowed if desired.
In at least one embodiment, the seed epitaxial layer
102 may have a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used. For example, a deposition time of about 1 second to about 100 seconds, and in one or more embodiments about 5 seconds, may be used.
After the seed epitaxial layer 102 is formed (if used at all) , the substrate 100 is exposed to at least a silicon source and a carbon source so as to form a carbon-containing silicon epitaxial layer 104 (FIG. IB) on the substrate 100 over the seed epitaxial layer 102. For example, the substrate 100 may be exposed to a silicon source such as silane or disilane, a carbon source such as methane, and a carrier gas such as nitrogen. A dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses). During the epitaxial film formation process, a carbon-containing epitaxial layer may be formed on any monocrystalline surface of the substrate 100 while a polycrystalline layer may be formed on any polycrystalline layer and/or any amorphous layer present on the substrate 100 (as previously described) .
In at least one embodiment, a carbon source flow rate of approximately 1 - 5 seem for methane may be used with a silicon source at a flow rate of about 50 - 150 seem for silane {or of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 - 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) . HCl may also be flowed if desired.
The carbon-containing epitaxial layer 104 may have, for example, a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used. For example, a deposition time of about 1 second to about 50 seconds, and in one or more embodiments about 10 seconds, may be used.
After the carbon-containing silicon epitaxial layer 104 is formed, the substrate 100 is exposed to at least a silicon source (without a carbon source) so as to form a second silicon epitaxial layer 106 (e.g., a capping layer as shown in FIG. 1C) on the substrate 100 over the carbon-containing silicon epitaxial layer 104. For example, the substrate 100 may be exposed to a silicon source such as silane or disilane, and a carrier gas such as nitrogen. A dopant source such as phosphorous or boron, a germanium source or the like also may be used (as may any other suitable sources and/or gasses) . The presence of the second silicon epitaxial layer 106 over the carbon-containing silicon epitaxial layer 104 may diminish the interaction of chlorine with carbon (and/or hydrogen) in the carbon- containing silicon epitaxial layer 104. HCl may also be flowed as described previously.
The second silicon epitaxial layer 106 may be formed, for example, by flowing a silicon source at a flow rate of about 50-150 seem for silane (or a flow rate of about 10 - 40 seem for disilane) and a nitrogen carrier gas at a flow rate of about 20 to 25 slm (although larger or smaller silicon source and/or carrier gas flow rates may be used) . HCl may also be flowed.
In at least one embodiment, the second silicon epitaxial layer 106 may have a thickness of about 2 angstroms to about 100 angstroms, although other thicknesses may be used. For example, a deposition time of about 1 second to about 100 seconds, and in one or more embodiments about 5 seconds, may be used.
An epitaxial layer stack 108 thereby is formed, in which the carbon-containing epitaxial layer 104 is encapsulated between non-carbon-containing epitaxial layers 102, 106 (e.g., epitaxial layers that are formed without an intentional carbon source) .
After formation of the second silicon epitaxial layer 106, the substrate 100 may be exposed to C12 and/or another etchant so as to etch at least the second silicon epitaxial layer 106, and/or any other films formed on the substrate 100 (e.g., polycrystalline silicon formed on polycrystalline and/or amorphous layers present on the substrate 100 and/or monocrystalline silicon formed on the carbon-containing silicon epitaxial layer 104). For example, in at least one embodiment, the substrate 100 may be exposed to a C12 flow rate of about 30-50 seem and a nitrogen carrier gas flow rate of 20 slm (although larger or smaller C12 and/or nitrogen carrier gas flow rates may be used) . HCl may also be flowed.
Following etching, the process chamber being employed may be purged (e.g., with nitrogen and/or another inert gas for about 20 seconds or for some other suitable time period) to remove any C12 and/or any other unwanted species/by-products from the chamber. The cap epitaxial layer 106 and/or seed layer 102 prevent a reaction from occurring between an etchant and carbon in the carbon-containing epitaxial layer 104. As such, CI2 may be employed as the etchant because the underlying carbon-containing layer is not exposed to the CI2 during etching. Accordingly, the carbon-containing epitaxial layer 102 will not have a pitted surface morphology, but rather a smooth surface morphology.
Deposition and etching sequences may be repeated until the desired total epitaxial layer stack thickness is achieved as shown, for example, in FIG. ID. For example, the sequence of non-carbon-containing silicon layer deposition/carbon-containing silicon layer deposition/non- carbon-containing silicon layer deposition/etching may be repeated about 80 times to yield a total epitaxial layer stack thickness of about 600 A. In other embodiments, deposition of an underlying seed layer may be eliminated, so that the formation sequence may be carbon-containing silicon layer deposition/non-carbon-containing silicon layer deposition/etching repeated a number of times to yield the desired total epitaxial layer stack thickness.
While the above examples illustrate particular embodiments, in general, the epitaxial layer stack (which includes carbon-containing epitaxial layers and non-carbon- containing epitaxial layers) may have a thickness in a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1,500 A, and more preferably from about 300 A to about 1,000 A. In one particular embodiment, a layer stack of about 600 A may be used. By controlling the (1) film thickness of the encapsulated carbon-containing epitaxial layer (s) relative to the non-carbon-containing epitaxial material; and (2) carbon concentration in the carbon-containing epitaxial layer (s), average carbon concentration of the final epitaxial layer stack may be controlled and/or determined. For example, in some embodiments, the carbon in the carbon- containing epitaxial layer (s) may quickly and uniformly diffuse along a depth of the stacked layers (e.g., the seed layer, carbon-containing layer and cap layer) , even though carbon is only deposited during the carbon-containing epitaxial layer formation step.
FIG. 2 is a graph 200 illustrating a concentration of carbon throughout stacked layers of a non-carbon- containing seed epitaxial layer, a carbon-containing epitaxial layer and a non-carbon-containing cap epitaxial layer formed in accordance with the present invention (such as in FIG. 1C) . As may be seen with reference to FIG. 2, a concentration of carbon, generally indicated by a line 202, is uniformly distributed throughout a depth of the stacked layers, (where the X-axis represents a depth of the stacked layers and the Y-axis represents carbon distribution throughout the stacked layers) . As such, according to some embodiments of the present invention, the concentration of carbon within the stacked layers may be controlled by controlling the relative thicknesses of the carbon- containing layer and/or of the seed and/or cap layers, as well as initial carbon concentration within the carbon- containing layer.
In some embodiments, final carbon concentration can be estimated from the relative thickness of the carbon- containing epitaxial layer to the non-carbon-containing epitaxial layer (s) . For example, FIG. 3 is a graph 300 illustrating the dependence of substitutional carbon (SC) concentration on the deposition time of the carbon- containing epitaxial layer for fixed deposition times of the seed and cap layers (e.g., in FIG. 1C) . As may be seen with reference to line 302 in FIG. 3, the concentration of carbon within the stack layers is proportional to the deposition time of the carbon-containing epitaxial layer. Thus, according to some embodiments of the present invention, the concentration of carbon within a seed layer/carbon- containing layer/cap layer stack or "sandwich" may be controlled by controlling the deposition time of the carbon- containing epitaxial layer. In one or more embodiments, the target carbon concentration of an epitaxial layer stack may be in the range from about 200 parts per million (ppm) to about 5 atomic percent (at%) , preferably from about 0.5 at% to about 2 at%, for example about 1.5 at%. Other target concentrations may be used. In some embodiments, the carbon concentration may be graded within an epitaxial layer (such as layer 104 in FIGS. 1A-1D) .
Carbon incorporated in carbon-containing silicon layers is generally located in interstitial sites of the crystalline lattice immediately following the deposition of the silicon-containing layer. The initial carbon concentration, or in other words, the as-deposited carbon content in a carbon-containing layer may be about 10 at% or less, preferably less than about 5 at% and more preferably from about 0.5 at% to about 3 at%, for example, about 2 at%. Either by annealing (described below) or by natural diffusion during (subsequent) process steps, an epitaxial layer may incorporate at least a portion, if not all of the interstitial carbon into substitutional sites of the crystalline lattice. The total carbon concentration of the epitaxial layer stack includes all carbon, whether interstitiaXly or substitutionally incorporated in the stack. High resolution X-ray diffraction (XRD) may be used to determine substitutional carbon concentration and thickness. Secondary Ion Mass Spectroscopy (SIMS) may be used to determine the total (substitutional and interstitial) carbon concentration in the epitaxial layer stack. Substitutional carbon concentration may be equal to or lower than the total carbon concentration. A suitable annealing process may include a spike anneal, such as a rapid thermal process (RTP) , laser annealing or thermal annealing with an atmosphere of gas, such as oxygen, nitrogen, hydrogen, argon, helium or combinations thereof. In some embodiments, an annealing process may be conducted at a temperature from about 8000C to about 1,2000C, preferably from about 1,0500C to about l,100°C. Such an annealing process may occur immediately after the capping non-carbon-containing layer 106 is deposited or after a variety of other process steps (e.g., such as after the entire film stack is deposited). FIG. 4 is a flowchart of an exemplary method 400 for forming an epitaxial layer stack having a target carbon concentration in accordance with the present invention. With reference to FIG. 4, in step 401, a substrate is loaded into a process chamber and is heated to a temperature of . about 800 0C or less. In some embodiments, a lower temperature range may be used during epitaxial film formation, such as less than 7500C, less than 7000C or less than 6500C.
In step 402, a carbon-containing epitaxial layer is formed on the substrate. The initial carbon concentration, thickness and/or deposition time of the carbon-containing epitaxial layer may be selected based on the target carbon concentration for the epitaxial layer stack. Thereafter, in step 403 a non-carbon-containing epitaxial layer is formed on the carbon-containing epitaxial layer. In some embodiments, the non-carbon-containing epitaxial layer is thick enough to protect the underlying carbon-containing layer from subsequent etching.
In step 404, the substrate is etched using an etchant gas such as HCl and/or CI2. As stated, the non- carbon-containing epitaxial layer protects the underlying carbon-containing epitaxial layer from the etchant gas. A purge step (not shown) may be employed following etching so as to remove any etchant gas and/or other undesirable gases from the process chamber being employed. In step 405, a determination is made whether the desired epitaxial layer stack thickness has been reached. If so, the process ends in step 406; otherwise, the process returns to step 402 to deposit additional epitaxial material on the substrate.
In another exemplary embodiment, a process cycle may include (1) a non-carbon-containing silicon (Si) layer deposition step; (2) a carbon-containing silicon (Si:C) layer deposition step; (3) a non-carbon-containing silicon (Si) layer deposition step; (4) an etch step; and (5) a purge step. The process cycle may be repeated numerous times to achieve an overall epitaxial layer stack thickness. In one particular embodiment, the process cycle may be repeated about 80 times to obtain about 600 angstroms of epitaxial material for an epitaxial layer stack. In this case, each deposition of Si or Si:C may result in about 5 to 30 angstroms of epitaxial material, a portion of which is etched away by a subsequent etch step (e.g., about 15-25 angstroms) . After about 80 repetitions, the remaining epitaxial material (e.g., on a Si moat) may be about 600 angstroms (with little or no deposition on dielectric regions of the substrate) . In other embodiments, an epitaxial layer stack thickness range of about 30 to 100 nanometers may be used. In some embodiments, a substitutional carbon concentration range for an epitaxial layer stack and/or for an as-deposited Si: C layer may be about 0.5-2.0 at%. When the Si: C layer is sandwiched within Si layers, the total stack carbon concentration is reduced depending on the thickness of the Si layers compared to thickness of the Si:C layer. Depending on process conditions, substitutional carbon concentration may be equal to or lower than total carbon concentration. <
Exemplary gas flow ranges include silicon source flow rates of about 5-500 seem for dichlorosilane, silane, disilane, or high order silane, carbon source flow rates of about 1-30 seem for mono methylsilane, and carrier gas flow rates of about 3-30 slm for H2 or N2. During etching, an exemplary flow rate for HCl is about 20-1000 seem and for C12 is about 10-500 seem.
In one particular embodiment, HCl may be flowed during each process step (except the purge step) at approximately the same flow rate {e.g., about 300 seem or another suitable rate) , and C12 may be flowed only during the etching step (e.g., at about 30 seem or another suitable rate) . Disilane may be flowed during each deposition step (e.g., at about 7 seem or another suitable rate), and methylsilane may be flowed during the Si:C deposition step (e.g., at about 2.2 seem or another suitable rate) . N2 carrier gas may be flowed at about 20 slm or another suitable rate during each process cycle step, and increased to about 30 slm or another suitable rate during each purge step. In some embodiments, about 5 angstroms of Si may be deposited during the first Si deposition step (e.g., about a 4 second deposition), about 9 angstroms of Si: C may be deposited during the Si:C deposition step (e.g., about a 7 second deposition) , about 13 angstroms of Si may be deposited during the second Si deposition step (e.g., about a 10 second deposition) , and about 19 angstroms of epitaxial material may be removed during the etching step (e.g., about a 13 second etch) . A suitable purge time may be used (e.g., about 10 seconds) . A process temperature of about 600 0C and a chamber pressure of about 10 Torr during deposition and purging, and about 13 Torr during etching may be employed. As stated, other process conditions may be used.
The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above disclosed apparatus and methods which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. Accordingly, while the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims

THE INVENTION CLAIMED IS:
1. A method of forming an epitaxial layer stack on a substrate comprising: selecting a target carbon concentration for the epitaxial layer stack; forming a carbon-containing silicon layer on the substrate, the carbon-containing silicon layer having at least one of an initial carbon concentration, a thickness and a deposition time selected based on the selected target carbon concentration; and forming a non-carbon-containing silicon layer on the carbon-containing silicon layer prior to etching.
2. The method of claim 1 wherein the target carbon concentration is between about 200 ppm and 5 at% .
3. The method of claim 1 wherein the initial carbon concentration is between about 0.5 at% and 10 at% .
4. The method of claim 1 further comprising forming a non-carbon-containing epitaxial layer between the carbon- containing silicon layer and the substrate.
5. The method of claim 1 wherein the epitaxial layer stack has a thickness of between about 10 A and 2000 A.
6. The method of claim 1 wherein the initial carbon concentration is greater than or equal to the target carbon concentration .
7. The method of claim 1 further comprising etching the epitaxial layer stack after forming the non-carbon- containing silicon layer on the carbon-containing silicon layer .
8. The method of claim 7 wherein etching the epitaxial layer stack includes etching the epitaxial layer stack in an etchant.gas that includes CI2.
9. The method of claim 7 wherein the non-carbon- containing silicon layer has a thickness that prevents a reaction from occurring between the etchant gas and the carbon-containing silicon layer.
10. The method of claim 1 wherein forming at least one of the carbon-containing silicon layer and the non-carbon- containing silicon layer is performed at a temperature less than or about 700 0C.
11. A method of forming an epitaxial layer stack comprising : selecting a target carbon concentration for the epitaxial layer stack; and forming the epitaxial layer stack by depositing alternating carbon-containing silicon layers and non-carbon- containing silicon layers; wherein the target carbon concentration is achieved based on at least one of a total thickness, an initial carbon concentration, and a deposition time for the carbon-containing silicon layers.
12. The method of claim 11 wherein the target carbon concentration is between about 200 ppm and 5 at%.
13. The method of claim 11 wherein the initial carbon concentration of each carbon-containing silicon layer is between about 0.5 at% and 10 at%.
14. The method of claim 11 further comprising forming a non-carbon-containing epitaxial layer between a first carbon-containing silicon layer and the substrate.
15. The method of claim 11 wherein a thickness of the epitaxial layer stack is between about 10 A and 2000 A.
16. A method of controlling carbon concentration in an epitaxial layer stack formed on a substrate comprising: determining a desired carbon concentration for the epitaxial layer stack; and forming the epitaxial layer stack by: forming a carbon-containing epitaxial layer on the substrate; and forming a non-carbon-containing cap layer on the carbon-containing epitaxial layer; wherein a thickness of the carbon-containing epitaxial layer is selected based on the desired carbon concentration for the epitaxial layer stack.
17. The method of claim 16 further comprising forming a seed epitaxial layer between the carbon-containing epitaxial layer and the substrate.
18. The method of claim 16 wherein the target carbon concentration is between about 200 ppm and 5 at% .
19. The method of claim 16 wherein the carbon- containing epitaxial layer has a thickness of between about 2 angstroms and 100 angstroms.
20. The method of claim 16 further comprising etching the epitaxial layer stack using Cl2-
21. The method of claim 16 further comprising forming additional, alternating carbon-containing layers and non- carbon-containing layers for the epitaxial layer stack.
PCT/US2007/017193 2006-07-31 2007-07-31 Methods of forming carbon-containing silicon epitaxial layers WO2008016650A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020097002917A KR101160930B1 (en) 2006-07-31 2007-07-31 Methods of forming carbon-containing silicon epitaxial layers
DE112007001814T DE112007001814T5 (en) 2006-07-31 2007-07-31 A method of forming carbonaceous silicon epitaxial layers
JP2009522864A JP5090451B2 (en) 2006-07-31 2007-07-31 Method for forming carbon-containing silicon epitaxial layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US83477306P 2006-07-31 2006-07-31
US60/834,773 2006-07-31

Publications (2)

Publication Number Publication Date
WO2008016650A2 true WO2008016650A2 (en) 2008-02-07
WO2008016650A3 WO2008016650A3 (en) 2008-04-10

Family

ID=38997702

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/017193 WO2008016650A2 (en) 2006-07-31 2007-07-31 Methods of forming carbon-containing silicon epitaxial layers

Country Status (7)

Country Link
US (1) US8029620B2 (en)
JP (1) JP5090451B2 (en)
KR (1) KR101160930B1 (en)
CN (2) CN101496153A (en)
DE (1) DE112007001814T5 (en)
TW (1) TWI379347B (en)
WO (1) WO2008016650A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2555235A1 (en) * 2011-08-02 2013-02-06 Nxp B.V. Method of manufacturing an IC comprising a plurality of bipolar transistors and IC comprising a plurality of bipolar transistors

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8685845B2 (en) * 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
CN102386067B (en) * 2010-08-31 2013-12-18 中国科学院上海微系统与信息技术研究所 Epitaxial growth method for effectively restraining self-doping effect
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (en) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
JP5741382B2 (en) 2011-09-30 2015-07-01 東京エレクトロン株式会社 Thin film forming method and film forming apparatus
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
JP5792101B2 (en) * 2012-03-15 2015-10-07 東京エレクトロン株式会社 Method for forming laminated semiconductor film
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (en) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN107731735B (en) * 2017-11-21 2020-02-14 长江存储科技有限责任公司 SEG preparation process for improving SEG growth form through mild wet etching
JP2023184044A (en) * 2022-06-17 2023-12-28 信越半導体株式会社 Method for manufacturing semiconductor wafer and semiconductor wafer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030079677A1 (en) * 2001-10-30 2003-05-01 Pyi Seung Ho Method for fabricating a semiconductor epitaxial wafer having doped carbon and a semiconductor epitaxial wafer
US20060115933A1 (en) * 2004-12-01 2006-06-01 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Family Cites Families (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62243144A (en) * 1986-04-15 1987-10-23 Dainippon Printing Co Ltd Optical recording medium and optical recording method
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4865659A (en) * 1986-11-27 1989-09-12 Sharp Kabushiki Kaisha Heteroepitaxial growth of SiC on Si
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5112429A (en) * 1990-08-17 1992-05-12 Costas Dan N Labeling apparatus
JP2880322B2 (en) 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
WO1992022922A2 (en) * 1991-06-12 1992-12-23 Case Western Reserve University Process for the controlled growth of single-crystal films of silicon carbide polytypes on silicon carbide wafers
US5227330A (en) 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
JPH05175141A (en) * 1991-12-26 1993-07-13 Fujitsu Ltd Vapor-phase epitaxial growth apparatus and method
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) * 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (en) 1992-11-30 2002-02-12 三菱電機株式会社 Laser thin film forming equipment
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JPH08288215A (en) * 1995-04-17 1996-11-01 Nippon Steel Corp Manufacture of semiconductor substrate and semiconductor substrate
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP2953567B2 (en) * 1997-02-06 1999-09-27 日本電気株式会社 Method for manufacturing semiconductor device
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6022587A (en) 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6019838A (en) * 1998-01-05 2000-02-01 Memc Electronic Materials, Inc. Crystal growing apparatus with melt-doping facility
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
EP1060287B1 (en) 1998-03-06 2005-01-26 ASM America, Inc. Method of depositing silicon with high step coverage
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (en) 1998-06-05 2003-10-17 St Microelectronics Sa LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND MANUFACTURING METHOD THEREOF
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
JP3516623B2 (en) * 1999-01-14 2004-04-05 松下電器産業株式会社 Manufacturing method of semiconductor crystal
JP3723396B2 (en) * 1999-02-23 2005-12-07 サンゴバン・ティーエム株式会社 High purity crystalline inorganic fiber and method for producing the same
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4556329B2 (en) 1999-04-20 2010-10-06 ソニー株式会社 Thin film forming equipment
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FR2801420B1 (en) 1999-11-23 2002-04-12 St Microelectronics Sa LOW FREQUENCY LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND HIGH CURRENT GAIN, AND MANUFACTURING METHOD THEREOF
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (en) 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP2002198525A (en) 2000-12-27 2002-07-12 Toshiba Corp Semiconductor device and its manufacturing method
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3547419B2 (en) 2001-03-13 2004-07-28 株式会社東芝 Semiconductor device and manufacturing method thereof
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6576535B2 (en) * 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
TWI307912B (en) 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030066486A1 (en) 2001-08-30 2003-04-10 Applied Materials, Inc. Microwave heat shield for plasma chamber
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003060982A2 (en) * 2001-12-21 2003-07-24 Memc Electronic Materials, Inc. Ideal oxygen precipitating silicon wafers with nitrogen/carbon stabilized oxygen precipitate nucleation centers and process for making the same
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6716719B2 (en) 2002-05-29 2004-04-06 Micron Technology, Inc. Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
JP3872027B2 (en) 2003-03-07 2007-01-24 株式会社東芝 Cleaning method and semiconductor manufacturing apparatus
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
CN100454200C (en) 2003-06-09 2009-01-21 喜开理株式会社 Relative pressure control system and relative flow control system
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP2005167064A (en) 2003-12-04 2005-06-23 Sharp Corp Nonvolatile semiconductor storage device
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (en) 2004-03-26 2005-11-30 삼성전자주식회사 Trench capacitor using SiGe layer and method of fabricating the same
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (en) 2004-08-23 2006-08-02 삼성전자주식회사 Method for etching substrate and method for menufacturing semiconductor device using the same
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
US7560322B2 (en) 2004-10-27 2009-07-14 Northrop Grumman Systems Corporation Method of making a semiconductor structure for high power semiconductor devices
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
CN101283121B (en) 2005-10-05 2012-10-03 应用材料公司 Methods and apparatus for epitaxial film formation
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070286956A1 (en) 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030079677A1 (en) * 2001-10-30 2003-05-01 Pyi Seung Ho Method for fabricating a semiconductor epitaxial wafer having doped carbon and a semiconductor epitaxial wafer
US20060115933A1 (en) * 2004-12-01 2006-06-01 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2555235A1 (en) * 2011-08-02 2013-02-06 Nxp B.V. Method of manufacturing an IC comprising a plurality of bipolar transistors and IC comprising a plurality of bipolar transistors
US8901669B2 (en) 2011-08-02 2014-12-02 Nxp, B.V. Method of manufacturing an IC comprising a plurality of bipolar transistors and IC comprising a plurality of bipolar transistors

Also Published As

Publication number Publication date
TWI379347B (en) 2012-12-11
US8029620B2 (en) 2011-10-04
CN101496153A (en) 2009-07-29
JP5090451B2 (en) 2012-12-05
CN103981568A (en) 2014-08-13
TW200818274A (en) 2008-04-16
KR20090037468A (en) 2009-04-15
KR101160930B1 (en) 2012-06-29
WO2008016650A3 (en) 2008-04-10
DE112007001814T5 (en) 2009-06-04
JP2009545886A (en) 2009-12-24
US20080022924A1 (en) 2008-01-31

Similar Documents

Publication Publication Date Title
US8029620B2 (en) Methods of forming carbon-containing silicon epitaxial layers
US7588980B2 (en) Methods of controlling morphology during epitaxial layer formation
US9312131B2 (en) Selective epitaxial formation of semiconductive films
TW202135319A (en) Structures with doped semiconductor layers and methods and systems for forming same
JP5295344B2 (en) Selective deposition of silicon-containing films.
US6982208B2 (en) Method for producing high throughput strained-Si channel MOSFETS
US8035129B2 (en) Integrated circuitry
US20070286956A1 (en) Cluster tool for epitaxial film formation
US20120003819A1 (en) Methods and apparatus for selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing material
WO2007140375A2 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
US9460918B2 (en) Epitaxy of high tensile silicon alloy for tensile strain applications
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
US20100055880A1 (en) Selective growth of polycrystalline silicon-containing semiconductor material on a silicon-containing semiconductor surface
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780028487.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07836407

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009522864

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1120070018143

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 1020097002917

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: RU

RET De translation (de og part 6b)

Ref document number: 112007001814

Country of ref document: DE

Date of ref document: 20090604

Kind code of ref document: P

122 Ep: pct application non-entry in european phase

Ref document number: 07836407

Country of ref document: EP

Kind code of ref document: A2