WO2007117524A2 - Method of concurrently patterning a substrate having a plurality of fields and alignment marks - Google Patents

Method of concurrently patterning a substrate having a plurality of fields and alignment marks Download PDF

Info

Publication number
WO2007117524A2
WO2007117524A2 PCT/US2007/008434 US2007008434W WO2007117524A2 WO 2007117524 A2 WO2007117524 A2 WO 2007117524A2 US 2007008434 W US2007008434 W US 2007008434W WO 2007117524 A2 WO2007117524 A2 WO 2007117524A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
template
mold
recited
alignment marks
Prior art date
Application number
PCT/US2007/008434
Other languages
French (fr)
Other versions
WO2007117524A3 (en
Inventor
Sidlgata V. Sreenivasan
Ian M. Mcmackin
Byung-Jin Choi
Christopher M. Melliar-Smith
Original Assignee
Molecular Imprints, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc. filed Critical Molecular Imprints, Inc.
Priority to JP2009504287A priority Critical patent/JP5306989B2/en
Publication of WO2007117524A2 publication Critical patent/WO2007117524A2/en
Publication of WO2007117524A3 publication Critical patent/WO2007117524A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/58Measuring, controlling or regulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C59/022Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing characterised by the disposition or the configuration, e.g. dimensions, of the embossments or the shaping tools therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/703Gap setting, e.g. in proximity printer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/14Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles in several steps
    • B29C2043/141Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles in several steps for making single layer articles
    • B29C2043/142Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles in several steps for making single layer articles by moving a single mould or the article progressively, i.e. portionwise
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/58Measuring, controlling or regulating
    • B29C2043/5825Measuring, controlling or regulating dimensions or shape, e.g. size, thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Definitions

  • Nano-fabrication involves the fabrication of very small structures, e.g., having features on the order of nanometers or smaller.
  • One area in which nano-fabrication has had a sizeable impact is in the processing of integrated circuits.
  • nano-fabrication becomes increasingly important. Nano-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed.
  • Other areas of development in which nano-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • An exemplary nano-fabrication technique is commonly referred to as imprint lithography.
  • Exemplary imprint lithography processes are described in detail in numerous publications, such as United States patent application publication 2004/0065976 filed as United States patent application 10/264,960, entitled “Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability"; United States patent application publication 2004/0065252 filed as United States patent application 10/264,926, entitled “Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"; and United States patent number 6,936,194, entitled “Functional Patterning Material for Imprint Lithography Processes,” all of which are assigned to the assignee of the present invention.
  • States patent application publications and United States patent includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate.
  • the substrate may be positioned upon a stage to obtain a desired position to facilitate patterning thereof.
  • a mold is employed spaced-apart from the substrate with a formable liquid present between the mold and the substrate.
  • the liquid is solidified to form a patterned layer that has a pattern recorded therein that is conforming to a shape of the surface of the mold in contact with the liquid.
  • the mold is then separated from the patterned layer such that the mold and the substrate are spaced-apart.
  • the substrate and the patterned layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the patterned layer.
  • Fig. 1 is a simplified side view of a lithographic system having a template spaced-apart from a substrate
  • Fig. 2 is a simplified side view of the substrate shown in Fig. 1, having a patterned layer positioned thereon;
  • Fig. 3 is a top down view of the template shown in Fig. 1;
  • Fig. 4 is a flow chart of a method of forming the template shown in Fig. 1 ;
  • Fig. 5 is a top down view of a master template formed from e-beam lithography, the master template employed to form template shown in Fig. 1;
  • Fig. 6 is a top down view of an intermediate substrate formed from the master template shown in Fig. 1; the intermediate substrate having a first field formed and a plurality of substrate alignment marks;
  • Fig. 7 is a top down view of the substrate alignment marks shown in Fig. 6;
  • Fig. 8 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a second field of the intermediate substrate;
  • Fig. 9 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a third field of the intermediate substrate;
  • Fig. 10 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a fourth field of the intermediate substrate;
  • Fig. 11 is a top down view of the intermediate substrate, shown in Fig. 6, with a plurality of alignment marks being formed thereon prior to patterning the intermediate substrate;
  • Fig. 12 is a top down view of the master template, the master template having 9 fields associated therewith. [0005] Referring to Fig. 1, a system 10 to form a relief pattern on a substrate 12 is shown. Substrate 12 may be coupled to a substrate chuck 14.
  • Substrate chuck 14 may be any chuck including, but not limited to, vacuum, pin-type, groove-type, or electromagnetic, as described in United States patent 6,873,087 entitled “High-Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes,” which is incorporated herein by reference.
  • substrate chuck 14 may be a chuck as described in United States patent 6,982,783 entitled “Chucking System for Modulating Shapes of Substrates” and United States patent 6,980,282 entitled “Method for Modulating Shapes of Substrates", both of which are incorporated herein by reference.
  • Substrate 12 and substrate chuck 14 may be supported upon a stage 16.
  • stage 16 substrate 12, and substrate chuck 14 may be positioned on a base (not shown). Stage 16 may provide motion about the x and y axes.
  • a template 18 Spaced-apart from substrate 12 is a template 18 having a mold 20 extending therefrom towards substrate 20 with a patterning surface 22 thereon.
  • mesa 20 may be referred to as a mold 20.
  • Mesa 20 may also be referred to as a nanoimprint mold 20.
  • template 18 may be substantially absent of mold 20.
  • Template 18 and/or mold 20 may be formed from such materials including but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and hardened sapphire.
  • patterning surface 22 comprises features defined by a plurality of spaced-apart recesses 24 and protrusions 26.
  • patterning surface 22 may be substantially smooth and/or planar.
  • Patterning surface 20 may define an original pattern that forms the basis of a pattern to be formed on substrate 12.
  • Template 18 may be coupled to a template chuck 28, template chuck 28 being any chuck including, but not limited to, vacuum, pin-type, groove-type, or electromagnetic, as described in United States patent 6,873,087 entitled "High-Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes".
  • substrate chuck 14 may be a chuck as described in United States patent 6,982,783 entitled “Chucking System for Modulating Shapes of Substrates” and United States patent 6,980,282 entitled “Method for Modulating Shapes of Substrates”.
  • Template chuck 28 may be coupled to an imprint head 30 to facilitate movement of template 18 and mold 20.
  • System 10 further comprises a fluid dispense system 32. Fluid dispense system 32 may be in fluid communication with substrate 12 so as to deposit a polymeric material 34 thereon.
  • System 10 may comprise any number of fluid dispensers and fluid dispense system 32 may comprise a plurality of dispensing units therein.
  • Polymeric material 34 may be positioned upon substrate 12 using any known technique, e.g., drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and the like. As shown, polymeric material 34 may be deposited upon substrate 12 as a plurality of spaced-apart droplets 36. Typically, polymeric material 34 is disposed upon substrate 12 before the desired volume is defined between mold 20 and substrate 12. However, polymeric material 34 may fill the volume after the desired volume has been obtained. [0009] Referring to Figs. 1 and 2, system 10 further comprises a source 38 of energy 40 coupled to direct energy 40 along a path 42.
  • a source 38 of energy 40 coupled to direct energy 40 along a path 42.
  • Imprint head 30 and stage 16 are configured to arrange mold 20 and substrate 12, respectively, to be in superimposition and disposed in path 42. Either imprint head 30, stage 16, or both vary a distance between mold 20 and substrate 12 to define a desired volume therebetween such that mold 20 contacts polymeric material 34 and the desired volume is filled by polymeric material 34. More specifically, polymeric material 34 of droplets 36 may ingress and fill recesses 24 of mold 20. After the desired volume is filled with polymeric material 34, source 38 produces energy 40, e.g., broadband ultraviolet radiation that causes polymeric material 34 to solidify and/or cross-link conforming to the shape of a surface 44 of substrate 12 and patterning surface 22, defining a patterned layer 46 on substrate 12. Patterned layer 46 may comprise a residual layer 48 and a plurality of features shown as protrusions 50 and recessions 52. [0010] System 10 may further comprise an actuation system 58 surrounding template
  • Actuation system 58 facilitates alignment and overlay registration by selectively deforming template 18/mold 20. This facilitates correcting various parameters of the pattern shape, i.e., magnification characteristics, skew/orthogonality characteristics, and trapezoidal characteristics.
  • System 10 may be regulated by a processor 54 that is in data communication with stage 16, imprint head 30, fluid dispense system 32, source 38, and actuation system 58 operating on a computer readable program stored in memory 56.
  • mold 20 of template 18 is shown comprising a plurality of dies 60, shown as dies 60a-60d.
  • mold 20 may comprise any number of dies, i.e., 2, 4, 6, 8, or 9 dies.
  • each of dies 60a-60d may have substantially the same relief structure 61 formed therein.
  • formation of dies 60 of mold 20 may be formed employing e-beam lithography.
  • employing e-beam lithography may result in, inter alia, increased formation time of template 18, which may be undesirable.
  • a method of minimizing formation time of dies 60 of mold 20 is described below.
  • a method of forming dies 60 of mold 20 is shown. More specifically at step 100, a master template 62 may be formed employing e-beam lithography. Master template 62 comprises a plurality of sections 64, shown as sections 64a-64d. However, in a further embodiment, master template 62 may comprise any number of sections 64, i.e., 2, 4, 6, 8, or 9 sections. Each section of sections 64 may be separated from an adjacent section of sections 64 by a street 66. Further, each of sections 64 may be separated from a perimeter 68 of master template 62 by a street 70.
  • a section of sections 64 may comprises a mesa 72 having a relief pattern 74 defined therein. As shown, mesa 72 may be positioned in section 64a, however, in a further embodiment, mesa 72 may be positioned in any section of sections 64.
  • Mesa 72 comprises sides 76a, 76b, 76c, and 76d, with side 76a being positioned opposite to side 76c and side 76b being positioned opposite to side 76d.
  • master template 62 may have a thickness of equal to or greater than 4 mm.
  • Master template 62 may further comprise a plurality of alignment forming areas 78 and template alignment marks 80.
  • Alignment forming areas 78 and template alignment marks 80 may be positioned within streets 66 and 70. In a further embodiment, alignment forming areas 78 and template alignment marks 80 may be positioned on a plurality of mesas. In still a further embodiment, alignment forming areas 78 may comprise checkerboard forming alignment marks and template alignment marks 80 may comprise grating alignment marks. In still a further embodiment, template alignment marks 80 may be substantially planar.
  • a first subset of alignment forming areas 78 and template alignment marks 80 defining a first pattern 82a. As shown, positioned proximate each of sides 76a, 76b, 76c, and 76d are two alignment forming areas 78 and two template alignment marks 80. However, in a further embodiment, any number of alignment forming areas 78 and template alignment marks 80 may be positioned proximate sides 76a, 76b, 76c, and 76d.
  • Master template 62 may further comprise alignment forming areas 78 and template alignment marks 80 positioned in streets 66 and 70 proximate to the remaining sections 64 of master template 62. More specifically, a second, third, and fourth subsets of alignment forming areas 78 and template alignment marks 80 may be positioned in streets 66 and 70 proximate to sections 64b, 64c, and 64d, respectively, defining a second pattern 82b, a third pattern 82c, and a fourth pattern 82d, respectively.
  • the first pattern 82a may be substantially the same as the third pattern 82c and the second pattern 82b may be substantially the same as the fourth pattern 82d.
  • first and third patterns 82a and 82c may be differ from the second and fourth patterns 82b and 82d.
  • polymeric material 34 may be positioned on a intermediate substrate 84 by drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and the like.
  • intermediate substrate 84 may comprise a plurality of fields 86, shown as fields 86a- 86d.
  • intermediate substrate 84 may comprises any number of fields 86, i.e. 2, 4, 6, 8, or 9 fields.
  • the number of fields 86 of intermediate substrate 84 may be substantially the same as the number of sections 64 of mater template 62.
  • polymeric material 34 may be positioned on field 86a.
  • polymeric material 34 may be positioned on a plurality of regions 88, with regions laying 88 outside of fields 86a-86d.
  • intermediate substrate 84 may have a thickness of in a range of 0.05 mm to 3 mm.
  • polymeric material 34 of field 86a may fill the desired volume between field 86a of intermediate substrate 84 and mesa 72 of master template 62 and polymeric material 34 of regions 88 may fill the desired volume between regions 88 of substrate and alignment forming areas 78 of master template 62.
  • polymeric material 34 positioned on field 86a and regions 88 of intermediate substrate 84 may be solidified and/or cross-linked and mesa 72 of master template 62 may be separated from polymeric material 34 positioned on field 86a, defining a patterned layer 90a, and may be separated from polymeric material 34 positioned on regions 88, defining substrate alignment marks 92.
  • intermediate substrate 84 having a thickness substantially less than a thickness of master template 62, a separation force may be minimized, which may be desirable.
  • each of substrate alignment marks 92 may further comprise image placement metrology marks 94.
  • Image placement metrology marks 94 may be measured known image placement or image registration systems, e.g., LMS IPRO available from Leica Microsystems of Bannockbum, Illinios.
  • polymeric material 34 may be positioned on field 86b in any of the methods mentioned above with respect to Fig. 6 and step 102.
  • a desired spatial relationship may be obtained between template alignment marks 80 of master template 62 and substrate alignment marks 92 of intermediate substrate 84 such that a desired spatial relationship between master template 62 and intermediate substrate 84 may be obtained, and more specifically, in the present example, between field 86b and mesa 72.
  • a desired spatial relationship between template alignment marks 80 and substrate alignment marks 92 may include template alignment marks 80 and substrate alignment marks 92 being in superimposition; however, in a further embodiment, template alignment marks 80 and substrate alignment marks 92 may be offset in the x-y plane a desired amount to compensate for variations among the first, second, third, and fourth patterns 82a, 82b, 82c, and 82d of alignment forming areas 78 and template alignment marks 80.
  • Alignment between template alignment marks 80 and substrate alignment marks 92 may be determined employing an alignment system as described in United States patent application 11/000,331 entitled “Interferometric Analysis for the Manufacture of Nano-Scale Devices," which is incorporated herein by reference. Further at step 110, polymeric material 34 of field 86b may fill the desired volume between field 86b of intermediate substrate 84 and mesa 72 of master template 62. [0025] At step 112, polymeric material 34 positioned on field 86b of intermediate substrate
  • 84 may be solidified and/or cross-linked and mesa 72 of master template 62 may be separated from polymeric material 34 positioned on intermediate substrate 84, defining a patterned layer 90b on field 86b.
  • polymeric material 34 may be positioned on field 86c in any of the methods mentioned above with respect to Fig. 6 and step 102.
  • a desired spatial relationship may be obtained between template alignment marks 80 of master template 62 and substrate alignment marks 92 of intermediate substrate 84 such that a desired spatial relationship between master template 62 and intermediate substrate 84 may be obtained, and more specifically, in the present example, between field 86c and mesa 72.
  • master template 62 may be rotated about the z-axis, and more specifically, rotated 180° with respect to intermediate substrate 84.
  • polymeric material 34 of field 86c may fill the desired volume between field 86c of intermediate substrate 84 and mesa 72 of master template 62.
  • master template 62 may be rotated prior to positioning polymeric material 34 on fields 86c of intermediate substrate 84.
  • step 118 polymeric material 34 positioned on field 86c of intermediate substrate
  • steps 108, 110, and 112 may be repeated for field 86d of intermediate substrate 84, defining patterned layer 9Od on field 86d. In a further embodiment, steps 108, 110, and 112 may be repeated for any number of fields 86 of intermediate substrate 84.
  • intermediate substrate 84 may be employed to form a pattern in a final substrate 96. More specifically, at step 122, polymeric material 34 may be positioned on final substrate 96 employing any of the methods mentioned above with respect to step 102 and Fig. 6.
  • Final substrate 96 may comprise a plurality of fields 98, shown as fields 98a-98d. However, in a further embodiment, final substrate 96 may comprises any number of fields 98, i.e. 2, 4, 6, 8, or 9 fields.
  • the number of fields 98 of final substrate 96 may be substantially the same as the number of fields 86 of intermediate substrate 84.
  • polymeric material 34 may be positioned on fields 98 of final substrate 96.
  • final substrate 96 may have a thickness of equal to or greater than 4 mm.
  • a desired spatial relationship may be obtained between intermediate substrate 84 and final substrate 96 such that polymeric material 34 on final substrate 96 may fill the desired volume between intermediate substrate 84 and ⁇ nal substrate 96.
  • polymeric material 34 positioned on final substrate 96 may be solidified and/or cross-linked and intermediate substrate 84 may be separated from polymeric material 34 positioned on final substrate 96, defining a plurality of patterned layers 99 in each of fields 98, with each of patterned layers 99 being substantially the same as dies 60 of mold 20, and thus, final substrate 96 may be substantially the same as template 18.
  • each of patterned layer 90 positioned on fields 86 of intermediate substrate 84 may be substantially the same as dies 60 of mold 20 and thus, intermediate substrate 84 may be substantially the same as template 18.
  • master template 62 may have a thickness of approximately 2.29 mm and intermediate substrate 84 may have a thickness of 6.35 mm.
  • substrate alignment marks 92 may be formed on intermediate substrate 84 in a separate step. More specifically, substrate alignment marks 92 may be formed on intermediate substrate 84 prior to forming patterned layer 90 on intermediate substrate 84. To that end, substrate alignment marks 92 may be formed employing a) an optical lithography tool with accurate global inteferometry, such as a 913nm scanner lithography tool available from ASML of the Netherlands or b) an optical lithography tool with excel interferometry, such as the Nanoruler described at htto.7/www.sciencedailv.com/releases/2004/02/040203233840.htm. which is incorporated herein by reference. As a result, alignment between fields 86 of intermediate substrate 84 may be obtained, i.e., field to field alignment.
  • mold 20 may have four dies associated therewith. However, as mentioned above, mold 20 may have any number of dies associated therewith, and thus, master template 62, intermediate substrate 84, and final substrate 96 may scale according. As shown in Fig. 12, master template 62 may have nine sections 64 associated therewith. To that end, each of sections 64 of master template 62 may have a pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto, and more specifically, each section of sections 64 may have a pattern of alignment forming areas 78 and template alignment marks 80 differing from a pattern of alignment forming areas 78 and template alignment marks 80 of surrounding sections of sections 64.
  • sections 64a, 64c, 64e, 64g, and 64i may have a fifth pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto and sections 64b, 64d, 64f, and 64h may have a sixth pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto, with the fifth pattern of alignment forming areas 78 and template alignment marks 80 being substantially the same as the first pattern mentioned above with respect to Fig. 5, and the sixth pattern of alignment forming areas 78 and template alignment marks 80 being substantially the same as the third pattern mentioned above with respect to Fig. 5.
  • each of sections 64e, 64g, and 64i may be patterned in the above-mentioned method analogous to patterning of section 64c and each of sections 64f and 64h may be patterned in the above-mentioned method analogous to patterning of sections 64b and 64d.
  • master template 62, intermediate substrate 84, and final substrate 96 may be substantially flat. More specifically, master template 62, intermediate substrate 84, and final substrate 96 may have a flatness better than lOOnm, preferably better than 50nm, preferably better than 20nm and further preferably better than IOnm over the patterning area. To further minimize the aforementioned mechanical distortions, inter alia, minimize image placement errors, intermediate substrate 84 may conform to master template 62. To that end, master template 62, intermediate substrate 84, and final substrate 96 may be positioned upon a chuck analogous to substrate chuck 14 mentioned above with respect to Fig. 1.
  • a shape of master template 62, intermediate substrate 84, and final substrate 96 may be determined employing an air gauge system (not shown) coupled with an XY stage (not shown); a laser distance sensor system (not shown) coupled with an XY stage (not shown); or a full field 3D profiler (not shown) as described in http://www.zvgo.eom/7/products/metrology.htm. which is incorporated by reference herein.
  • each of master template 62, intermediate substrate 84, and final substrate 96 may be formed from substantially the same material, with the material including but not limited to, fused-silica and ultra-low-expansion glass.
  • a difference in temperature between master template 62, intermediate substrate 84, and final substrate 96 may be less than 0.05 °C, preferably less than 0.01 0 C, and further preferably less than 0.001 °C.
  • master template 62 may have an actuation system coupled thereto analogous to actuation system 58 mentioned above with respect to Fig. 1.
  • final substrate 96 may have an actuation system coupled thereto analogous to actuation system 58 mentioned above with respect to Fig. 1.
  • the above-mentioned methods may be analogously employed in formation of photomasks for photolithography.
  • Photomasks are typically 4X (the relief pattern of the photomask is 5 times the size of the desired features to be formed on the substrate).
  • Advanced photomask that may be employed in photolithography with KrF (248nm) laser and ArF (193nm) laser may further comprise sub-resolution features that are smaller than the primary features. These sub-resolution may be also known as optical proximity correction features or reticle enhanced features.
  • the sub-resolution features do not print; they are designed to enhance the quality of the primary features.
  • the primary features are 4X. For example, for a features of the seize of 50nm on the wafer, the primary photomask features is 200nm.
  • the sub-resolution features may be as small as IX or smaller or as large as approaching 4X.
  • the small sub-resolution features are about 1.5X; for 50 nm wafer features, this translates to 75nm on the photomask.
  • the 4X photomasks are for example are of size 100mm by 100 mm for a 25mm by 35mm wafer field size; and 104mm by 132mm for a 26mm by 33mm wafer field size. These fields typically have 2, 4, 6, or more dies in them each of which have substantially the same pattern requirements.
  • the above-mentioned method may be analogously employed in formation of photomasks for photolithography.

Abstract

A method of patterning a substrate comprising first and second fields with a template, the template having a mold and a plurality of alignment forming areas (78) and a plurality of template alignment marks (80), the method comprising: positioning a material on the first field of the substrate and a plurality of regions of the substrate, the plurality of regions laying outside of the first and second fields; positioning the mold and the substrate such that a desired spatial relationship between the mold and the first field of the substrate is obtained to define a pattern in the material on the first field of the substrate while concurrently defining a plurality of substrate alignment marks with the material in the plurality of regions of the substrate in superimposition with the plurality of alignment forming areas of the template; positioning a material on the second field of the substrate; and positioning the mold and the substrate to obtain a desired spatial relationship between the plurality of template alignment marks and the plurality of substrate alignment marks such that a desired spatial relationship between the mold and the second field of the substrate is obtained to define a pattern in the material on the second field of the substrate.

Description

METHOD OF CONCURRENTLY PATTERNING A SUBSTRATE HAVING A PLURALITY OF FIELDS AND ALIGNMENT MARKS
[0001] Nano-fabrication involves the fabrication of very small structures, e.g., having features on the order of nanometers or smaller. One area in which nano-fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, nano-fabrication becomes increasingly important. Nano-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed. Other areas of development in which nano-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
[0002] An exemplary nano-fabrication technique is commonly referred to as imprint lithography. Exemplary imprint lithography processes are described in detail in numerous publications, such as United States patent application publication 2004/0065976 filed as United States patent application 10/264,960, entitled "Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability"; United States patent application publication 2004/0065252 filed as United States patent application 10/264,926, entitled "Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"; and United States patent number 6,936,194, entitled "Functional Patterning Material for Imprint Lithography Processes," all of which are assigned to the assignee of the present invention. [0003] The imprint lithography technique disclosed in each of the aforementioned United
States patent application publications and United States patent includes formation of a relief pattern in a polymerizable layer and transferring a pattern corresponding to the relief pattern into an underlying substrate. The substrate may be positioned upon a stage to obtain a desired position to facilitate patterning thereof. To that end, a mold is employed spaced-apart from the substrate with a formable liquid present between the mold and the substrate. The liquid is solidified to form a patterned layer that has a pattern recorded therein that is conforming to a shape of the surface of the mold in contact with the liquid. The mold is then separated from the patterned layer such that the mold and the substrate are spaced-apart. The substrate and the patterned layer are then subjected to processes to transfer, into the substrate, a relief image that corresponds to the pattern in the patterned layer.
[0004] Embodiments of the invention are now described with reference to the drawings in which:
Fig. 1 is a simplified side view of a lithographic system having a template spaced-apart from a substrate; Fig. 2 is a simplified side view of the substrate shown in Fig. 1, having a patterned layer positioned thereon;
Fig. 3 is a top down view of the template shown in Fig. 1; Fig. 4 is a flow chart of a method of forming the template shown in Fig. 1 ; Fig. 5 is a top down view of a master template formed from e-beam lithography, the master template employed to form template shown in Fig. 1;
Fig. 6 is a top down view of an intermediate substrate formed from the master template shown in Fig. 1; the intermediate substrate having a first field formed and a plurality of substrate alignment marks; Fig. 7 is a top down view of the substrate alignment marks shown in Fig. 6;
Fig. 8 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a second field of the intermediate substrate; Fig. 9 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a third field of the intermediate substrate;
Fig. 10 is a top down view of the master template, shown in Fig. 1, in superimposition with a portion of the intermediate substrate, shown in Fig. 6, with a mesa of the master template being in superimposition with a fourth field of the intermediate substrate; Fig. 11 is a top down view of the intermediate substrate, shown in Fig. 6, with a plurality of alignment marks being formed thereon prior to patterning the intermediate substrate; and Fig. 12 is a top down view of the master template, the master template having 9 fields associated therewith. [0005] Referring to Fig. 1, a system 10 to form a relief pattern on a substrate 12 is shown. Substrate 12 may be coupled to a substrate chuck 14. Substrate chuck 14 may be any chuck including, but not limited to, vacuum, pin-type, groove-type, or electromagnetic, as described in United States patent 6,873,087 entitled "High-Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes," which is incorporated herein by reference. In a further embodiment, substrate chuck 14 may be a chuck as described in United States patent 6,982,783 entitled "Chucking System for Modulating Shapes of Substrates" and United States patent 6,980,282 entitled "Method for Modulating Shapes of Substrates", both of which are incorporated herein by reference. Substrate 12 and substrate chuck 14 may be supported upon a stage 16. Further, stage 16, substrate 12, and substrate chuck 14 may be positioned on a base (not shown). Stage 16 may provide motion about the x and y axes. [0006] Spaced-apart from substrate 12 is a template 18 having a mold 20 extending therefrom towards substrate 20 with a patterning surface 22 thereon. Further, mesa 20 may be referred to as a mold 20. Mesa 20 may also be referred to as a nanoimprint mold 20. In a further embodiment, template 18 may be substantially absent of mold 20. Template 18 and/or mold 20 may be formed from such materials including but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and hardened sapphire. As shown, patterning surface 22 comprises features defined by a plurality of spaced-apart recesses 24 and protrusions 26. However, in a further embodiment, patterning surface 22 may be substantially smooth and/or planar. Patterning surface 20 may define an original pattern that forms the basis of a pattern to be formed on substrate 12. [0007] Template 18 may be coupled to a template chuck 28, template chuck 28 being any chuck including, but not limited to, vacuum, pin-type, groove-type, or electromagnetic, as described in United States patent 6,873,087 entitled "High-Precision Orientation Alignment and Gap Control Stages for Imprint Lithography Processes". In a further embodiment, substrate chuck 14 may be a chuck as described in United States patent 6,982,783 entitled "Chucking System for Modulating Shapes of Substrates" and United States patent 6,980,282 entitled "Method for Modulating Shapes of Substrates". Template chuck 28 may be coupled to an imprint head 30 to facilitate movement of template 18 and mold 20. [0008] System 10 further comprises a fluid dispense system 32. Fluid dispense system 32 may be in fluid communication with substrate 12 so as to deposit a polymeric material 34 thereon. System 10 may comprise any number of fluid dispensers and fluid dispense system 32 may comprise a plurality of dispensing units therein. Polymeric material 34 may be positioned upon substrate 12 using any known technique, e.g., drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and the like. As shown, polymeric material 34 may be deposited upon substrate 12 as a plurality of spaced-apart droplets 36. Typically, polymeric material 34 is disposed upon substrate 12 before the desired volume is defined between mold 20 and substrate 12. However, polymeric material 34 may fill the volume after the desired volume has been obtained. [0009] Referring to Figs. 1 and 2, system 10 further comprises a source 38 of energy 40 coupled to direct energy 40 along a path 42. Imprint head 30 and stage 16 are configured to arrange mold 20 and substrate 12, respectively, to be in superimposition and disposed in path 42. Either imprint head 30, stage 16, or both vary a distance between mold 20 and substrate 12 to define a desired volume therebetween such that mold 20 contacts polymeric material 34 and the desired volume is filled by polymeric material 34. More specifically, polymeric material 34 of droplets 36 may ingress and fill recesses 24 of mold 20. After the desired volume is filled with polymeric material 34, source 38 produces energy 40, e.g., broadband ultraviolet radiation that causes polymeric material 34 to solidify and/or cross-link conforming to the shape of a surface 44 of substrate 12 and patterning surface 22, defining a patterned layer 46 on substrate 12. Patterned layer 46 may comprise a residual layer 48 and a plurality of features shown as protrusions 50 and recessions 52. [0010] System 10 may further comprise an actuation system 58 surrounding template
18/mold 20 to facilitate alignment and overlay registration between mold 20 and substrate 12. Actuation system 58 facilitates alignment and overlay registration by selectively deforming template 18/mold 20. This facilitates correcting various parameters of the pattern shape, i.e., magnification characteristics, skew/orthogonality characteristics, and trapezoidal characteristics. An example of an actuation system 58 is described in United States patent 7,150,622 entitled "Systems for Magnification and Distortion Correction for Imprint Lithography Processes"; United States patent 7,170,589 entitled "Apparatus to Vary Dimensions of a Substrate During Nano-Scale Manufacturing"; and United States patent 6,916,585 entitled "Method of Varying Template Dimensions to Achieve Alignment During Imprint Lithography"; all of which are incorporated by reference herein. [0011] System 10 may be regulated by a processor 54 that is in data communication with stage 16, imprint head 30, fluid dispense system 32, source 38, and actuation system 58 operating on a computer readable program stored in memory 56.
[0012] Referring to Fig. 3, a top down view of template 18 is shown. More specifically, mold 20 of template 18 is shown comprising a plurality of dies 60, shown as dies 60a-60d. However, in a further embodiment, mold 20 may comprise any number of dies, i.e., 2, 4, 6, 8, or 9 dies. Furthermore, each of dies 60a-60d may have substantially the same relief structure 61 formed therein. To that end, formation of dies 60 of mold 20 may be formed employing e-beam lithography. However, employing e-beam lithography may result in, inter alia, increased formation time of template 18, which may be undesirable. To that end, a method of minimizing formation time of dies 60 of mold 20 is described below.
[0013] Referring to Figs. 3-5, in a first embodiment, a method of forming dies 60 of mold 20 is shown. More specifically at step 100, a master template 62 may be formed employing e-beam lithography. Master template 62 comprises a plurality of sections 64, shown as sections 64a-64d. However, in a further embodiment, master template 62 may comprise any number of sections 64, i.e., 2, 4, 6, 8, or 9 sections. Each section of sections 64 may be separated from an adjacent section of sections 64 by a street 66. Further, each of sections 64 may be separated from a perimeter 68 of master template 62 by a street 70. [0014] A section of sections 64 may comprises a mesa 72 having a relief pattern 74 defined therein. As shown, mesa 72 may be positioned in section 64a, however, in a further embodiment, mesa 72 may be positioned in any section of sections 64. Mesa 72 comprises sides 76a, 76b, 76c, and 76d, with side 76a being positioned opposite to side 76c and side 76b being positioned opposite to side 76d. In an example, master template 62 may have a thickness of equal to or greater than 4 mm. [0015] Master template 62 may further comprise a plurality of alignment forming areas 78 and template alignment marks 80. Alignment forming areas 78 and template alignment marks 80 may be positioned within streets 66 and 70. In a further embodiment, alignment forming areas 78 and template alignment marks 80 may be positioned on a plurality of mesas. In still a further embodiment, alignment forming areas 78 may comprise checkerboard forming alignment marks and template alignment marks 80 may comprise grating alignment marks. In still a further embodiment, template alignment marks 80 may be substantially planar.
[0016] Positioned adjacent mesa 72 are a first subset of alignment forming areas 78 and template alignment marks 80 defining a first pattern 82a. As shown, positioned proximate each of sides 76a, 76b, 76c, and 76d are two alignment forming areas 78 and two template alignment marks 80. However, in a further embodiment, any number of alignment forming areas 78 and template alignment marks 80 may be positioned proximate sides 76a, 76b, 76c, and 76d.
[0017] Master template 62 may further comprise alignment forming areas 78 and template alignment marks 80 positioned in streets 66 and 70 proximate to the remaining sections 64 of master template 62. More specifically, a second, third, and fourth subsets of alignment forming areas 78 and template alignment marks 80 may be positioned in streets 66 and 70 proximate to sections 64b, 64c, and 64d, respectively, defining a second pattern 82b, a third pattern 82c, and a fourth pattern 82d, respectively. The first pattern 82a may be substantially the same as the third pattern 82c and the second pattern 82b may be substantially the same as the fourth pattern 82d. Further, the first and third patterns 82a and 82c may be differ from the second and fourth patterns 82b and 82d. [0018) Referring to Figs. 4-6, at step 102, polymeric material 34 may be positioned on a intermediate substrate 84 by drop dispense, spin-coating, dip coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), thin film deposition, thick film deposition, and the like. More specifically, intermediate substrate 84 may comprise a plurality of fields 86, shown as fields 86a- 86d. However, in a further embodiment, intermediate substrate 84 may comprises any number of fields 86, i.e. 2, 4, 6, 8, or 9 fields. In the present example, the number of fields 86 of intermediate substrate 84 may be substantially the same as the number of sections 64 of mater template 62. To that end, polymeric material 34 may be positioned on field 86a. Furthermore, polymeric material 34 may be positioned on a plurality of regions 88, with regions laying 88 outside of fields 86a-86d. In an example, intermediate substrate 84 may have a thickness of in a range of 0.05 mm to 3 mm. [0019] At step 104, a desired spatial relationship may be obtained between master template
62 and intermediate substrate 84, and more specifically, between field 86a and mesa 72. Further at step 104, polymeric material 34 of field 86a may fill the desired volume between field 86a of intermediate substrate 84 and mesa 72 of master template 62 and polymeric material 34 of regions 88 may fill the desired volume between regions 88 of substrate and alignment forming areas 78 of master template 62. [0020] At step 106, polymeric material 34 positioned on field 86a and regions 88 of intermediate substrate 84 may be solidified and/or cross-linked and mesa 72 of master template 62 may be separated from polymeric material 34 positioned on field 86a, defining a patterned layer 90a, and may be separated from polymeric material 34 positioned on regions 88, defining substrate alignment marks 92. As a result of intermediate substrate 84 having a thickness substantially less than a thickness of master template 62, a separation force may be minimized, which may be desirable.
[0021] Referring to Fig. 7, in a further embodiment, each of substrate alignment marks 92 may further comprise image placement metrology marks 94. Image placement metrology marks 94 may be measured known image placement or image registration systems, e.g., LMS IPRO available from Leica Microsystems of Bannockbum, Illinios. [0022] Referring to Figs. 4, 5, and 8, at step 108, polymeric material 34 may be positioned on field 86b in any of the methods mentioned above with respect to Fig. 6 and step 102. [0023] At step 110, a desired spatial relationship may be obtained between template alignment marks 80 of master template 62 and substrate alignment marks 92 of intermediate substrate 84 such that a desired spatial relationship between master template 62 and intermediate substrate 84 may be obtained, and more specifically, in the present example, between field 86b and mesa 72. A desired spatial relationship between template alignment marks 80 and substrate alignment marks 92 may include template alignment marks 80 and substrate alignment marks 92 being in superimposition; however, in a further embodiment, template alignment marks 80 and substrate alignment marks 92 may be offset in the x-y plane a desired amount to compensate for variations among the first, second, third, and fourth patterns 82a, 82b, 82c, and 82d of alignment forming areas 78 and template alignment marks 80.
[0024] Alignment between template alignment marks 80 and substrate alignment marks 92 may be determined employing an alignment system as described in United States patent application 11/000,331 entitled "Interferometric Analysis for the Manufacture of Nano-Scale Devices," which is incorporated herein by reference. Further at step 110, polymeric material 34 of field 86b may fill the desired volume between field 86b of intermediate substrate 84 and mesa 72 of master template 62. [0025] At step 112, polymeric material 34 positioned on field 86b of intermediate substrate
84 may be solidified and/or cross-linked and mesa 72 of master template 62 may be separated from polymeric material 34 positioned on intermediate substrate 84, defining a patterned layer 90b on field 86b.
[0026] Referring to Figs. 4, 5, and 9, at step 114, polymeric material 34 may be positioned on field 86c in any of the methods mentioned above with respect to Fig. 6 and step 102. [0027] At step 116, a desired spatial relationship may be obtained between template alignment marks 80 of master template 62 and substrate alignment marks 92 of intermediate substrate 84 such that a desired spatial relationship between master template 62 and intermediate substrate 84 may be obtained, and more specifically, in the present example, between field 86c and mesa 72. To that end, to obtain a desired spatial relationship between template alignment marks 80 of master template 62 and substrate alignment marks 92, master template 62 may be rotated about the z-axis, and more specifically, rotated 180° with respect to intermediate substrate 84. As a result, a desired spatial relationship may be obtained between template alignment marks 80 and substrate alignment marks 92. Further at step 116, polymeric material 34 of field 86c may fill the desired volume between field 86c of intermediate substrate 84 and mesa 72 of master template 62. In a further embodiment, master template 62 may be rotated prior to positioning polymeric material 34 on fields 86c of intermediate substrate 84.
[0028] At step 118, polymeric material 34 positioned on field 86c of intermediate substrate
84 may be solidified and/or cross-linked and mesa 72 of master template 62 may be separated from polymeric material 34 positioned on field 86a, defining a patterned layer 90c. [0029] Referring to Figs. 4 and 5, at step 120, steps 108, 110, and 112 may be repeated for field 86d of intermediate substrate 84, defining patterned layer 9Od on field 86d. In a further embodiment, steps 108, 110, and 112 may be repeated for any number of fields 86 of intermediate substrate 84.
[0030] Referring to Figs. 4, 5, and 10, after forming patterned layers 90a, 90b, 90c, and 9Od on fields 86a, 86b, 86c, and 86d, respectively, intermediate substrate 84 may be employed to form a pattern in a final substrate 96. More specifically, at step 122, polymeric material 34 may be positioned on final substrate 96 employing any of the methods mentioned above with respect to step 102 and Fig. 6. Final substrate 96 may comprise a plurality of fields 98, shown as fields 98a-98d. However, in a further embodiment, final substrate 96 may comprises any number of fields 98, i.e. 2, 4, 6, 8, or 9 fields. In the present embodiment, the number of fields 98 of final substrate 96 may be substantially the same as the number of fields 86 of intermediate substrate 84. To that end, polymeric material 34 may be positioned on fields 98 of final substrate 96. In an example, final substrate 96 may have a thickness of equal to or greater than 4 mm.
[0031] At step 124, a desired spatial relationship may be obtained between intermediate substrate 84 and final substrate 96 such that polymeric material 34 on final substrate 96 may fill the desired volume between intermediate substrate 84 and ϋnal substrate 96.
[0032] At step 126, polymeric material 34 positioned on final substrate 96 may be solidified and/or cross-linked and intermediate substrate 84 may be separated from polymeric material 34 positioned on final substrate 96, defining a plurality of patterned layers 99 in each of fields 98, with each of patterned layers 99 being substantially the same as dies 60 of mold 20, and thus, final substrate 96 may be substantially the same as template 18.
[0033] Referring to Figs. 4-6, in a second embodiment, it may be desired to form template
18 from master template 62 in a single patterning step. To that end, each of patterned layer 90 positioned on fields 86 of intermediate substrate 84 may be substantially the same as dies 60 of mold 20 and thus, intermediate substrate 84 may be substantially the same as template 18. In the present example, master template 62 may have a thickness of approximately 2.29 mm and intermediate substrate 84 may have a thickness of 6.35 mm.
[0034] Referring to Fig. 11, in still a further embodiment, substrate alignment marks 92 may be formed on intermediate substrate 84 in a separate step. More specifically, substrate alignment marks 92 may be formed on intermediate substrate 84 prior to forming patterned layer 90 on intermediate substrate 84. To that end, substrate alignment marks 92 may be formed employing a) an optical lithography tool with accurate global inteferometry, such as a 913nm scanner lithography tool available from ASML of the Netherlands or b) an optical lithography tool with excel interferometry, such as the Nanoruler described at htto.7/www.sciencedailv.com/releases/2004/02/040203233840.htm. which is incorporated herein by reference. As a result, alignment between fields 86 of intermediate substrate 84 may be obtained, i.e., field to field alignment.
[0035] Referring to Figs. 3, 5, and 6, to that end, as described above, mold 20 may have four dies associated therewith. However, as mentioned above, mold 20 may have any number of dies associated therewith, and thus, master template 62, intermediate substrate 84, and final substrate 96 may scale according. As shown in Fig. 12, master template 62 may have nine sections 64 associated therewith. To that end, each of sections 64 of master template 62 may have a pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto, and more specifically, each section of sections 64 may have a pattern of alignment forming areas 78 and template alignment marks 80 differing from a pattern of alignment forming areas 78 and template alignment marks 80 of surrounding sections of sections 64. More specifically, sections 64a, 64c, 64e, 64g, and 64i may have a fifth pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto and sections 64b, 64d, 64f, and 64h may have a sixth pattern of alignment forming areas 78 and template alignment marks 80 proximate thereto, with the fifth pattern of alignment forming areas 78 and template alignment marks 80 being substantially the same as the first pattern mentioned above with respect to Fig. 5, and the sixth pattern of alignment forming areas 78 and template alignment marks 80 being substantially the same as the third pattern mentioned above with respect to Fig. 5. Further, each of sections 64e, 64g, and 64i may be patterned in the above-mentioned method analogous to patterning of section 64c and each of sections 64f and 64h may be patterned in the above-mentioned method analogous to patterning of sections 64b and 64d.
[0036] Furthermore, it may be desired to minimize mechanical distortions present in template 18 formed in any of the methods mentioned above. To that end, master template 62, intermediate substrate 84, and final substrate 96 may be substantially flat. More specifically, master template 62, intermediate substrate 84, and final substrate 96 may have a flatness better than lOOnm, preferably better than 50nm, preferably better than 20nm and further preferably better than IOnm over the patterning area. To further minimize the aforementioned mechanical distortions, inter alia, minimize image placement errors, intermediate substrate 84 may conform to master template 62. To that end, master template 62, intermediate substrate 84, and final substrate 96 may be positioned upon a chuck analogous to substrate chuck 14 mentioned above with respect to Fig. 1. To that end, a shape of master template 62, intermediate substrate 84, and final substrate 96 may be determined employing an air gauge system (not shown) coupled with an XY stage (not shown); a laser distance sensor system (not shown) coupled with an XY stage (not shown); or a full field 3D profiler (not shown) as described in http://www.zvgo.eom/7/products/metrology.htm. which is incorporated by reference herein. Moreover, each of master template 62, intermediate substrate 84, and final substrate 96 may be formed from substantially the same material, with the material including but not limited to, fused-silica and ultra-low-expansion glass. Further, a difference in temperature between master template 62, intermediate substrate 84, and final substrate 96 may be less than 0.05 °C, preferably less than 0.01 0C, and further preferably less than 0.001 °C. [0037] To further minimize, if not prevent, errors present formed in any of the methods mentioned above, in the first embodiment mentioned above, master template 62 may have an actuation system coupled thereto analogous to actuation system 58 mentioned above with respect to Fig. 1. In the second embodiment mentioned above, final substrate 96 may have an actuation system coupled thereto analogous to actuation system 58 mentioned above with respect to Fig. 1. [0038] The above-mentioned methods may be analogously employed in formation of photomasks for photolithography. Photomasks are typically 4X (the relief pattern of the photomask is 5 times the size of the desired features to be formed on the substrate). Advanced photomask that may be employed in photolithography with KrF (248nm) laser and ArF (193nm) laser may further comprise sub-resolution features that are smaller than the primary features. These sub-resolution may be also known as optical proximity correction features or reticle enhanced features. The sub-resolution features do not print; they are designed to enhance the quality of the primary features. As mentioned above, the primary features are 4X. For example, for a features of the seize of 50nm on the wafer, the primary photomask features is 200nm. The sub-resolution features may be as small as IX or smaller or as large as approaching 4X. Typically the small sub-resolution features are about 1.5X; for 50 nm wafer features, this translates to 75nm on the photomask. The 4X photomasks are for example are of size 100mm by 100 mm for a 25mm by 35mm wafer field size; and 104mm by 132mm for a 26mm by 33mm wafer field size. These fields typically have 2, 4, 6, or more dies in them each of which have substantially the same pattern requirements. Thus, the above-mentioned method may be analogously employed in formation of photomasks for photolithography.
[0039] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. Therefore, the scope of the invention should not be limited by the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims

CLAIMS:
1. A method of patterning a substrate comprising first and second fields with a template, said template having a mold and a plurality of alignment forming areas and a plurality of template alignment marks, said method comprising: positioning a material on said first field of said substrate and a plurality of regions of said substrate, said plurality of regions laying outside of said first and second fields; positioning said mold and said substrate such that a desired spatial relationship between said mold and said first field of said substrate is obtained to define a pattern in said material on said first field of said substrate while concurrently defining a plurality of substrate alignment marks with said material in said plurality of regions of said substrate in superimposition with said plurality of alignment forming areas of said template; positioning a material on said second field of said substrate; and positioning said mold and said substrate to obtain a desired spatial relationship between said plurality of template alignment marks and said plurality of substrate alignment marks such that a desired spatial relationship between said mold and said second field of said substrate is obtained to define a pattern in said material on said second field of said substrate.
2. The method as recited in claim 1 wherein said template alignment marks comprise grating alignment marks and said substrate alignment marks comprise checkerboard alignment marks.
3. The method as recited in claim 1 wherein said plurality of alignment forming areas of said template are positioned on a plurality of mesas on said template.
4. The method as recited in claim 3 wherein said template comprises a first surface and a second surface positioned opposite to said first surface, with said mold extending from said second surface a first distance and a subset of said plurality of mesas extending from said second surface a second distance, with said first distance being substantially equal to said second distance.
5. The method as recited in claim 1 wherein said plurality of template alignment marks of said template are positioned on a plurality of mesas on said template.
6. The method as recited in claim 5 wherein said template comprises a first surface and a second surface positioned opposite to said first surface, with said mold extending from said second surface a first distance and a subset of said plurality of mesas extending from said second surface a second distance, with said first distance being greater than said second distance.
7. The method as recited in claim 1 wherein said template further comprises a plurality of non patterned mesas, wherein positioning said material further comprising positioning a material in areas of said substrate in superimposition with said plurality of non-patterned mesas.
8. The method as recited in claim 1 wherein said substrate has a thickness in a range of 0.05 mm to 8 mm.
9. The method as recited in claim 1 wherein said substrate has a flatness less than 100 nm.
10. The method as recited in claim 1 wherein said substrate and said template comprise substantially the same composition, with said composition being selected from a set of compositions consisting of fused silica and ultra-low-expansion glass.
11. The method as recited in claim 1 wherein a difference in temperature between said substrate and said template is less than 20C.
12. The method as recited in claim 1 wherein obtaining said desired spatial relationship between said first region and said mold further comprises positioning said mold and said substrate such that said mold is in superimposition with said first region of said substrate.
13. The method as recited in claim 1 wherein obtaining said desired spatial relationship between said second region and said mold further comprises positioning said mold and said substrate such that said mold is in superimposition with said second region of said substrate.
14. The method as recited in claim 1 further comprises coupling said template to a shape modulating chuck.
15. The method as recited in claim 1 further comprises coupling said substrate to a shape modulating chuck.
16. The method as recited in claim 1 further comprises altering a shape of said template via an actuation system coupled thereto.
17. The method as recited in claim 1 further comprises altering a shape of said substrate via an actuation system coupled thereto.
18. The method as recited in claim 1 wherein said substrate further comprises a plurality of fields.
PCT/US2007/008434 2006-04-03 2007-04-03 Method of concurrently patterning a substrate having a plurality of fields and alignment marks WO2007117524A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009504287A JP5306989B2 (en) 2006-04-03 2007-04-03 Method for simultaneously patterning a substrate having a plurality of fields and alignment marks

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US78880606P 2006-04-03 2006-04-03
US60/788,806 2006-04-03

Publications (2)

Publication Number Publication Date
WO2007117524A2 true WO2007117524A2 (en) 2007-10-18
WO2007117524A3 WO2007117524A3 (en) 2008-10-02

Family

ID=38581610

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/008434 WO2007117524A2 (en) 2006-04-03 2007-04-03 Method of concurrently patterning a substrate having a plurality of fields and alignment marks

Country Status (5)

Country Link
US (2) US7780893B2 (en)
JP (1) JP5306989B2 (en)
KR (1) KR20090003153A (en)
TW (1) TW200801794A (en)
WO (1) WO2007117524A2 (en)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US8999218B2 (en) * 2005-06-06 2015-04-07 Canon Kabushiki Kaisha Process for producing member having pattern, pattern transfer apparatus, and mold
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US8850980B2 (en) * 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US8012394B2 (en) * 2007-12-28 2011-09-06 Molecular Imprints, Inc. Template pattern density doubling
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US9005848B2 (en) * 2008-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
US20100022036A1 (en) * 2008-07-25 2010-01-28 Ikuo Yoneda Method for forming pattern, and template
JP2010080630A (en) * 2008-09-25 2010-04-08 Canon Inc Stamping device and method of manufacturing article
US20100078846A1 (en) * 2008-09-30 2010-04-01 Molecular Imprints, Inc. Particle Mitigation for Imprint Lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US20100112310A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Substrate Patterning
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
CN102438841A (en) * 2009-03-23 2012-05-02 因特瓦克公司 A process for optimization of island to trench ratio in patterned media
CN101870151A (en) 2009-04-27 2010-10-27 鸿富锦精密工业(深圳)有限公司 Manufacturing method of optical element and impressing mould
US9005849B2 (en) * 2009-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
JP5809409B2 (en) * 2009-12-17 2015-11-10 キヤノン株式会社 Imprint apparatus and pattern transfer method
KR101772993B1 (en) * 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 Templates having high contrast alignment marks
JP5699461B2 (en) * 2010-07-02 2015-04-08 住友電気工業株式会社 Nanoimprint mold
JP5759003B2 (en) 2010-09-02 2015-08-05 エーファウ・グループ・ゲーエムベーハー Stamping tool, device and method for manufacturing lens wafers
KR101861644B1 (en) 2010-09-24 2018-05-28 캐논 나노테크놀로지즈 인코퍼레이티드 High contrast alignment marks through multiple stage imprinting
CN103189172B (en) 2010-10-26 2015-07-29 Ev集团有限责任公司 For the manufacture of the method and apparatus of lens wafers
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
JP5709558B2 (en) * 2011-02-01 2015-04-30 キヤノン株式会社 Inspection method, imprint apparatus, and article manufacturing method
JP6306501B2 (en) 2011-04-25 2018-04-04 キヤノン ナノテクノロジーズ,インコーポレーテッド Template and method for aligning a template with a substrate
TWI455833B (en) * 2012-02-29 2014-10-11 Microjet Technology Co Ltd 3d image printing apparatus and 3d printing correction method thereof
CN102591142B (en) * 2012-02-29 2013-03-27 青岛理工大学 Nano imprinting device and method for imaging sapphire substrate
JP6071221B2 (en) 2012-03-14 2017-02-01 キヤノン株式会社 Imprint apparatus, mold, imprint method, and article manufacturing method
JP5723337B2 (en) * 2012-09-07 2015-05-27 株式会社東芝 Pattern forming method and pattern forming apparatus
CN105358979A (en) * 2013-03-15 2016-02-24 普林斯顿大学理事会 Analyte detection enhancement by targeted immobilization, surface amplification, and pixelated reading and analysis
US9646896B2 (en) * 2013-07-12 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographic overlay sampling
JP2015146412A (en) * 2014-02-04 2015-08-13 株式会社東芝 Template for imprint and manufacturing method therefor
KR102154561B1 (en) * 2014-04-01 2020-09-10 다이니폰 인사츠 가부시키가이샤 Imprinting mold and imprinting method
JP6361303B2 (en) * 2014-06-13 2018-07-25 大日本印刷株式会社 Imprint mold and imprint apparatus
JP5874782B2 (en) * 2014-06-30 2016-03-02 信越化学工業株式会社 Mold substrate and mold substrate inspection method
JP6385177B2 (en) * 2014-07-16 2018-09-05 キヤノン株式会社 Mold, imprint apparatus, and article manufacturing method
JP5900589B2 (en) * 2014-12-12 2016-04-06 大日本印刷株式会社 Imprint mold, alignment method, imprint method, and imprint apparatus
JP2016134441A (en) * 2015-01-16 2016-07-25 キヤノン株式会社 Imprint device, imprint method, and manufacturing method of article
US10191368B2 (en) * 2015-11-05 2019-01-29 Board Of Regents, The University Of Texas System Multi-field overlay control in jet and flash imprint lithography
US9975364B2 (en) * 2016-07-12 2018-05-22 Hewlett-Packard Development Company, L.P. Determining deformations of slices of an image
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10429743B2 (en) 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
JP7089375B2 (en) * 2018-02-19 2022-06-22 キヤノン株式会社 Flattening device
JP6540848B2 (en) * 2018-03-16 2019-07-10 大日本印刷株式会社 Template for nanoimprint
CN110416103B (en) * 2018-04-28 2021-09-28 上海微电子装备(集团)股份有限公司 Residual gum standard tablet and preparation method thereof
CN110687759B (en) * 2018-07-06 2021-04-02 上海微电子装备(集团)股份有限公司 Mask plate and bonding alignment method
JP2020035924A (en) * 2018-08-30 2020-03-05 キオクシア株式会社 Original plate
KR20200026407A (en) * 2018-08-31 2020-03-11 삼성디스플레이 주식회사 Master stamp for nano imprint and method of manufacturing of the smae
JP7361538B2 (en) 2018-09-10 2023-10-16 キヤノン株式会社 Imprint method and article manufacturing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US20030192041A1 (en) * 2002-04-03 2003-10-09 Mentze Duane E. software distribution system and method
US20040112861A1 (en) * 2002-12-11 2004-06-17 Molecular Imprints, Inc. Method for modulating shapes of substrates

Family Cites Families (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1183056A (en) 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US4022855A (en) 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
DE3022709A1 (en) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück WATERPROOF PHOTOGRAPHIC PAPER AND METHOD FOR THE PRODUCTION THEREOF
US4576900A (en) 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4637904A (en) 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
EP0234632B1 (en) 1986-02-13 1991-01-16 Koninklijke Philips Electronics N.V. Matrix for use in a replica process
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
JPS6334108A (en) 1986-07-30 1988-02-13 Hitachi Ltd Manufacture of substrate for optical disc and device therefor
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JP2823016B2 (en) 1986-12-25 1998-11-11 ソニー株式会社 Method of manufacturing transmission screen
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5288436A (en) 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (en) 1991-05-29 1993-12-24 Solems METHOD, DEVICE AND APPARATUS FOR TREATING A SUBSTRATE WITH A LOW PRESSURE PLASMA.
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (en) 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
JP2867194B2 (en) 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (en) 1992-04-28 2001-04-16 東京エレクトロン株式会社 Plasma processing equipment
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5407763A (en) * 1992-05-28 1995-04-18 Ceridian Corporation Mask alignment mark system
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (en) 1992-12-18 1998-11-05 松下電器産業株式会社 Gap control device and gap control method
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (en) 1994-03-14 1995-09-21 Leybold Ag Device for the transport of substrates
US5573877A (en) 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
DE69509046T2 (en) 1994-11-30 1999-10-21 Applied Materials Inc Plasma reactors for the treatment of semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
JP2690036B2 (en) * 1995-03-23 1997-12-10 工業技術院長 X-ray spectroscopic focusing element
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
JP2002513445A (en) 1996-09-06 2002-05-08 オブデュキャット、アクチボラグ Method for anisotropically etching structures in conductive materials
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (en) 1996-10-23 1998-05-15 Toshiba Corp Liquid crystal display element
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (en) 1997-03-27 2002-06-24 ウシオ電機株式会社 Proximity exposure apparatus with gap setting mechanism
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5888751A (en) * 1997-07-15 1999-03-30 Ludwig Institute For Cancer Research Method for diagnosis and treating cancers, and methods for identifying pathogenic markers in a sample of normal cells
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
JPH11121359A (en) * 1997-10-16 1999-04-30 Canon Inc Exposure method and production of device
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
EP1060299A1 (en) 1998-03-05 2000-12-20 Obducat AB Method of etching
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6239590B1 (en) 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
FI109944B (en) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelectronic component and manufacturing method
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
JP4846888B2 (en) 1998-12-01 2011-12-28 キヤノン株式会社 Alignment method
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6247986B1 (en) 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
US6076827A (en) * 1999-02-01 2000-06-20 Luchsinger; Charles Magnetic shooter with flexible/swiveling shaft
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6344698B2 (en) * 1999-02-22 2002-02-05 International Business Machines Corporation More robust alignment mark design
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
TW419720B (en) * 1999-03-26 2001-01-21 Mosel Vitelic Inc The method of monitoring the overlay accuracy of the stepper and the device using the same
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
JP2000323461A (en) * 1999-05-11 2000-11-24 Nec Corp Fine pattern forming device, its manufacture, and method of forming the same
US6522411B1 (en) 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
KR100549776B1 (en) 1999-07-01 2006-02-06 에이에스엠엘 네델란즈 비.브이. Apparatus and method of image enhancement through spatial filtering
US6780001B2 (en) * 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US6696220B2 (en) 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
CN100504598C (en) 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (en) 2000-08-19 2002-09-05 삼성전자 주식회사 Metal Via Contact of Semiconductor Devices and Method of Forming it
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
US6879162B2 (en) 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (en) 2001-05-22 2002-12-04 Sharp Corp Pattern of metal film and manufacturing method therefor
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US20050064344A1 (en) 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (en) 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP2003202584A (en) 2002-01-08 2003-07-18 Toshiba Corp Liquid crystal display device
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
TW594431B (en) 2002-03-01 2004-06-21 Asml Netherlands Bv Calibration methods, calibration substrates, lithographic apparatus and device manufacturing methods
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
CA2482566C (en) 2002-04-16 2010-07-20 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
MY164487A (en) * 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
JP4563181B2 (en) 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US7070406B2 (en) 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7790231B2 (en) 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
JP2005116978A (en) * 2003-10-10 2005-04-28 Sumitomo Heavy Ind Ltd Nano imprint equipment and method
JP4322096B2 (en) 2003-11-14 2009-08-26 Tdk株式会社 RESIST PATTERN FORMING METHOD, MAGNETIC RECORDING MEDIUM, AND MAGNETIC HEAD MANUFACTURING METHOD
KR100566700B1 (en) 2004-01-15 2006-04-03 삼성전자주식회사 Method for forming mask pattern, template for forming mask pattern and method for forming template
EP1774407B1 (en) 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
JP4937500B2 (en) * 2004-06-15 2012-05-23 大日本印刷株式会社 Imprint method
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7292326B2 (en) 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
JP5198071B2 (en) 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド Exposure method for thermal management in imprint lithography process
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP2006165371A (en) * 2004-12-09 2006-06-22 Canon Inc Transfer apparatus and device manufacturing method
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
JP5213335B2 (en) * 2006-02-01 2013-06-19 キヤノン株式会社 Imprint mold and method for producing structure using the mold
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030192041A1 (en) * 2002-04-03 2003-10-09 Mentze Duane E. software distribution system and method
US20040112861A1 (en) * 2002-12-11 2004-06-17 Molecular Imprints, Inc. Method for modulating shapes of substrates

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BAILEY T.C.: 'Imprint template advances and surface modification, and defect analysis for step and flash imprint lithography' DOCTOR OF PHILOSOPHY, DISSERTATION, THE UNIVERSITY OF TEXAS AT AUSTIN 2003, page 316 *
CHOI B.J. ET AL.: 'Layer-to-Layer Alignment for Step and Flash Imprint Lithography' PROCEEDINGS OF SPIE vol. 4343, 2001, pages 436 - 442 *

Also Published As

Publication number Publication date
US7780893B2 (en) 2010-08-24
JP2009532909A (en) 2009-09-10
TW200801794A (en) 2008-01-01
WO2007117524A3 (en) 2008-10-02
US20100278954A1 (en) 2010-11-04
US20070228610A1 (en) 2007-10-04
JP5306989B2 (en) 2013-10-02
KR20090003153A (en) 2009-01-09

Similar Documents

Publication Publication Date Title
US7780893B2 (en) Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8850980B2 (en) Tessellated patterns in imprint lithography
US7935292B2 (en) Imprinting of partial fields at the edge of the wafer
US8609326B2 (en) Methods for exposure for the purpose of thermal management for imprint lithography processes
US8142850B2 (en) Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7854867B2 (en) Method for detecting a particle in a nanoimprint lithography system
US20100110434A1 (en) Alignment for Edge Field Nano-Imprinting
US11199772B2 (en) Adjacent field alignment
US20070231422A1 (en) System to vary dimensions of a thin template
US20110084417A1 (en) Large area linear array nanoimprinting
WO2007123806A2 (en) Imprint lithography system
WO2006078333A1 (en) Imprint reference template for multilayer or multipattern registration and method therefor
US20100102470A1 (en) Misalignment Management
US9122148B2 (en) Master template replication
US20090148032A1 (en) Alignment Using Moire Patterns
US7874831B2 (en) Template having a silicon nitride, silicon carbide or silicon oxynitride film

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07754879

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009504287

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020087017383

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07754879

Country of ref document: EP

Kind code of ref document: A2