WO2007109491A2 - Selective deposition - Google Patents

Selective deposition Download PDF

Info

Publication number
WO2007109491A2
WO2007109491A2 PCT/US2007/064038 US2007064038W WO2007109491A2 WO 2007109491 A2 WO2007109491 A2 WO 2007109491A2 US 2007064038 W US2007064038 W US 2007064038W WO 2007109491 A2 WO2007109491 A2 WO 2007109491A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
silicon
substrate
deposition
seem
Prior art date
Application number
PCT/US2007/064038
Other languages
French (fr)
Other versions
WO2007109491A3 (en
Inventor
David K. Carlson
Satheesh Kuppurao
Errol Antonio C. Sanchez
Howard Beckford
Yihwan Kim
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2007800091259A priority Critical patent/CN101401202B/en
Priority to JP2009500603A priority patent/JP2009533546A/en
Publication of WO2007109491A2 publication Critical patent/WO2007109491A2/en
Publication of WO2007109491A3 publication Critical patent/WO2007109491A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/969Simultaneous formation of monocrystalline and polycrystalline regions

Definitions

  • Embodiments of the present invention relates to the field of electronic manufacturing processes and devices, more particularly, to methods of depositing silicon-containing films while forming electronic devices.
  • CMOS complementary metal-oxide semiconductor
  • CMOS complementary metal-oxide semiconductor
  • Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions.
  • silicon-containing materials e.g., Si, SiGe and SiC
  • Selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas.
  • Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
  • a selective epitaxy process involves a deposition reaction and an etch reaction.
  • the deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer.
  • the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer.
  • the deposited polycrystalline layer is etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material.
  • a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on the spacer.
  • Selective epitaxy deposition of silicon-containing materials has become a useful technique during formation of elevated source/drain and source/drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices.
  • Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with selectively grown epilayers, such as a silicon germanium (SiGe) material.
  • junction depth can be defined accurately by silicon etching and selective epitaxy.
  • the ultra shallow source/drain junction inevitably results in increased series resistance.
  • junction consumption during suicide formation increases the series resistance even further.
  • an elevated source/drain is epitaxially and selectively grown on the junction.
  • the elevated source/drain layer is undoped silicon.
  • the present invention provides a method of epitaxially depositing a layer on a substrate.
  • a method for epitaxially forming a silicon-containing material on a substrate surface utilizes halogen containing gas as both an etching gas as well as a carrier gas through adjustments of the process chamber temperature and the pressure. It is beneficial to utilize HCI as the halogen containing gas because converting HCI from a carrier gas to an etching gas can easily be performed by adjusting the chamber pressure.
  • a method of epitaxially forming a silicon-containing material on a substrate surface involves positioning into a process chamber a substrate having a monocrystalline surface and at least a second surface.
  • the second surface can be an amorphous surface, a polycrystalline surface or combinations thereof.
  • the substrate is exposed to a deposition gas to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface.
  • the deposition gas has a silicon source and a carrier gas comprising a halogen containing compound.
  • the substrate is exposed to an etching gas to etch the polycrystalline layer and the epitaxial layer.
  • the polycrystalline layer is etched at a faster rate than the epitaxial layer.
  • the halogen containing compound comprises HCI and HCI is used as both a carrier gas during deposition and an etching gas.
  • a halogen containing gas is used as a carrier gas during deposition but an etching gas comprising chlorinated hydrocarbon is used.
  • a method of epitaxially forming a silicon- containing material on a substrate positioned in a process chamber is disclosed.
  • the substrate includes a monocrystalline surface and a second surface selected from the group consisting of an amorphous surface, a polycrystalline surface and combinations thereof.
  • the method involves controlling a flow of halogen containing gas into the process chamber to a first flow rate to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface, and controlling a flow rate of halogen containing gas into the process chamber to a second flow rate to etch the polycrystalline layer and the epitaxial layer.
  • Figure 1 is a flow chart describing a process to selectively and epitaxially deposit silicon-containing materials in one embodiment described herein;
  • Figures 2A-2E show schematic illustrations of fabrication techniques for a source/drain extension device within a MOSFET
  • Figures 3A-C show several devices containing selectively and epitaxially deposited silicon-containing layers by applying embodiments described herein;
  • Figure 4 is a flow chart describing a process to selectively and epitaxially deposit silicon-containing materials in another embodiment described herein;
  • Figures 5A-5C are graphs showing the HCI flow rates, total pressure, and source gas flow rates versus time.
  • Embodiments of the invention provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices.
  • the epitaxial process also referred to as the alternating gas supply (AGS) process, includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown.
  • the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas.
  • the deposition gas may also include a germanium source or carbon source, as well as a dopant source.
  • an epitaxial layer is formed on the monocrystalline surface of the substrate while a polycrystalline layer is formed on secondary surfaces, such as amorphous and/or polycrystalline surfaces.
  • the substrate is exposed to an etching gas.
  • the etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride. The etching gas removes silicon-containing materials deposited during the deposition process.
  • the polycrystalline layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline silicon-containing material on the secondary surfaces. A cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon-containing materials.
  • the silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and dopant variants thereof.
  • deposition processes may be conducted at lower temperatures than etching reactions, since etchants often need a high temperature to be activated.
  • silane may be thermally decomposed to deposit silicon at about 500 0 C or less, while hydrogen chloride requires an activation temperature of about 700 0 C or higher to act as an effective etchant. Therefore, if hydrogen chloride is used during an AGS process, the overall process temperature is dictated by the higher temperature required to activate the etchant.
  • an inert gas such as a noble gas or nitrogen
  • a traditional carrier gas such as hydrogen.
  • an inert carrier gas may increase the deposition rate of the silicon-containing material.
  • hydrogen may be used as a carrier gas, during the deposition process, hydrogen has a tendency to adsorb or react to the substrate to form hydrogen-terminated surfaces. A hydrogen- terminated surface reacts much slower to epitaxial growth than a bare silicon surface. Therefore, the use of an inert carrier gas increases the deposition rate by not adversely effecting the deposition reaction.
  • a halogen containing gas can be used as the carrier gas. Under very specific conditions, the halogen containing gas will not etch the material. The halogen containing gas will act as an inert gas.
  • the benefit of using the halogen containing gas as a carrier gas is that the halogen containing gas can be tailored for use as an etchant during the etching phase or as an inert carrier gas for the deposition phase. The tailoring of the halogen containing gas for either use is controlled by the temperature and pressure. By keeping the halogen containing gas below the etching threshold, the halogen containing gas will function as an effective carrier gas. The etching threshold varies depending upon the specific halogen containing gas used.
  • Diatomic halogens could be used, but they need to be used at low process chamber temperatures (i.e. less than about 550 0 C) and very low concentrations. It is possible to use a mixture of a diatomic halogen and a halogen containing gas.
  • the halogen containing gas is selected from HCI, HBr, and HI, with HCI being most preferred.
  • a small amount of CI 2 can be added to the HCI to increase the reactivity of the HCI during the etching phase.
  • a small amount of H 2 or N 2 or any inert gas such as Ar or He can be added to control the reactivity of the HCI for the deposition phase.
  • the specific parameters include a process chamber operating temperature of between about 500 0 C and about 650 0 C and a process chamber operating pressure of about 1 Torr to about 100 Torr.
  • HCI does not attack the growing film as much as Cl 2 .
  • HCI more selectively etches.
  • HCI etch efficiency is normally drastically reduced.
  • HCI acts as an inert gas.
  • HCI will not etch efficiently.
  • FIG. 5A-5C show the comparison of the HCI flow, total chamber pressure, and source gas flow over time. Source gas is provided to the chamber during the deposition phase.
  • the HCI gas is constantly provided, but during the deposition phase, the HCI is provided at a lower flow rate (i.e. about 60 to about 600 seem).
  • the total chamber pressure during the deposition phase is about 1 to about 100 Torr. A small amount of etching may occur during the deposition phase, but it will be minimal.
  • the source gas is shut off and the HCI gas flow is increased (i.e. about 2,000 to about 20,000 seem).
  • the total chamber pressure is increased to about 30 to about 100 Torr.
  • no deposition will occur.
  • the deposition phase and the etching phase are about equal in length.
  • the deposition phase lasts for about 5 to about 25 seconds and the etching phase lasts about 10 to about 30 seconds.
  • the source gas can contain Si, Ge, C, and combinations thereof.
  • chlorinated hydrocarbon it is understood to comprise a hydrocarbon containing a C-Cl bond.
  • exemplary chlorinated hydrocarbons includes chloroalkyls (i.e. methyl chloride, dichloromethane, chloroform, carbon tetrachloride, ethyl chloride, etc.), chloroalkenes, chlorine substituted phenyls, and chloroalkynes, for example.
  • the C-Cl bond strength should be weaker than the Si-Cl bond strength so that the chlorine will be substitutional and not interstitial.
  • the nature of the hydrocarbon to which Cl is bonded determines the bond strength of the available chlorine.
  • the chlorinated hydrocarbon can be used with any silicon and carbon source gas either simultaneously (i.e. co-flowed) or alternately (i.e. cyclic deposition/etching scheme).
  • the chlorinated hydrocarbon can be used at any process chamber temperature or pressure.
  • the chlorinated hydrocarbon can be a gas, liquid, or solid, but preferably is has a high vapor pressure. Also the chlorinated hydrocarbon should be of a high purity.
  • the chlorinated hydrocarbon is used as an etchant in a process where HCI is used as an inert carrier gas during the deposition phase.
  • the chlorinated hydrocarbon may be used as an etchant in combination with any inert carrier or background gas during the deposition phase.
  • the chlorinated hydrocarbon enables the etching phase to occur at process chamber pressures of about 50 Torr or less.
  • silicon-containing materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium and/or aluminum.
  • silicon-containing material compound, film or layer, usually in part per million (ppm) concentrations.
  • Compounds or alloys of silicon- containing materials may be represented by an abbreviation, such as Si for silicon, SiGe, for silicon germanium, SiC for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials.
  • Figure 1 illustrates an example of epitaxial process 100 used to deposit a silicon-containing layer.
  • the process 100 includes step 110 for loading a patterned substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure.
  • Step 120 provides a deposition process to form an epitaxial layer on a monocrystalline surface of the substrate while forming a polycrystalline layer on the amorphous and/or polycrystalline surfaces of the substrate.
  • the deposition process is terminated.
  • Step 140 provides an etching process to etch the surface of the substrate.
  • the polycrystalline layer is etched at a faster rate than the epitaxial layer.
  • the etching step either minimizes or completely removes the polycrystalline layer while removing only a marginal portion of the epitaxial layer.
  • the etching process is terminated.
  • the thickness of the epitaxial layer and the polycrystalline layer, if any, is determined during step 160. If the predetermined thickness of the epitaxial layer or the polycrystalline layer is achieved, then epitaxial process 100 is terminated at step 170. However, if the predetermined thickness is not achieved, then steps 120- 160 are repeated as a cycle until the predetermined thickness is achieved.
  • a patterned substrate is loaded into a process chamber during step 110.
  • Patterned substrates are substrates that include electronic features formed into or onto the substrate surface.
  • the patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non- monocrystalline, such as polycrystalline or amorphous surfaces.
  • Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon.
  • Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • Epitaxial process 100 begins by adjusting the process chamber containing the patterned substrate to a predetermined temperature and pressure during step 110.
  • the temperature is tailored to the particular conducted process.
  • the process chamber is maintained at a consistent temperature throughout epitaxial process 100. However, some steps may be performed at varying temperatures.
  • the process chamber is kept at a temperature in the range from about 250 0 C to about 1 ,000 0 C, preferably from about 500 0 C to about 800 0 C and more preferably from about 550 0 C to about 750 0 C.
  • the appropriate temperature to conduct epitaxial process 100 may depend on the particular precursors used to deposit and/or etch the silicon-containing materials during steps 120 and 140.
  • HCI as both a carrier gas and as an etching gas
  • the process chamber pressure switches between a defined high pressure during the etching step and a defined low pressure during the deposition step.
  • the deposition process is conducted during step 120.
  • the patterned substrate is exposed to a deposition gas to form an epitaxial layer on the monocrystalline surface while forming a polycrystalline layer on the secondary surfaces.
  • the substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, preferably from about 5 seconds to about 25 seconds.
  • the specific exposure time of the deposition process is determined in relation to the exposure time during the etching process in step 140, as well as particular precursors and temperature used in the process.
  • the substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer while forming a minimal thickness of a polycrystalline layer that may be easily etched away during subsequent step 140.
  • the deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a germanium source and/or a carbon source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorous, gallium and/or aluminum.
  • the silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem.
  • Silicon sources useful in the deposition gas to deposit silicon-containing compounds include silanes, halogenated silanes and organosilanes.
  • Silanes include silane (SiH 4 ) and higher silanes with the empirical formula Si x H(2 X+ 2), such as disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), and tetrasilane (Si 4 Hi 0 ), as well as others.
  • Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon- containing compound.
  • the preferred silicon sources include silane, dichlorosilane and disilane.
  • the silicon source is usually provided into the process chamber along with a carrier gas.
  • the carrier gas has a flow rate from about 60 to about 600 seem.
  • Carrier gases may include nitrogen (N 2 ), hydrogen (H 2 ), argon, helium and combinations thereof.
  • HCI is the preferred carrier gas.
  • a carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process 100. Usually the carrier gas is the same throughout each of the steps 110-150. However, some embodiments may use different carrier gases in particular steps.
  • HCI may be used as a carrier gas with the silicon source in step 120 and with the etchant in step 140.
  • the deposition gas used during step 120 may also contain at least one secondary elemental source, such as a germanium source and/or a carbon source.
  • the germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium material.
  • the germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Germanium sources useful to deposit silicon-containing compounds include germane (GeH 4 ), higher germanes and organogermanes.
  • Higher germanes include compounds with the empirical formula Ge x H(2 X+ 2), such as digermane (Ge 2 H 6 ), trigermane (Ge 3 H 8 ) and tetragermane (Ge 4 H 10 ), as well as others.
  • Organogermanes include compounds such as methylgermane ((CH 3 )GeH 3 ), dimethylgermane ((CHa) 2 GeH 2 ), ethylgermane ((CH 3 CH 2 )GeH 3 ), methyldigermane ((CH 3 )Ge 2 H 5 ), dimethyldigermane ((CH 3 ) 2 Ge 2 H 4 ) and hexamethyldigermane ((CH 3 ) 6 Ge 2 ).
  • Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon-containing compounds, namely SiGe and SiGeC compounds.
  • the germanium concentration in the epitaxial layer is in the range from about 1 at% to about 30 at%, for example, about 20 at%.
  • the germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
  • a carbon source may be added during step 120 to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material.
  • a carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include methylsilane (CH 3 SiH 3 ), dimethylsilane ((CHs) 2 SiH 2 ), ethylsilane (CH 3 CH 2 SiH 3 ), methane (CH 4 ), ethylene (C 2 H 4 ), ethyne (C 2 H 2 ), propane (C 3 H 8 ), propene (C 3 H 6 ), butyne (C 4 H 6 ), as well as others.
  • the carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 at%, preferably from about 1 at% to about 3 at%, for example 1.5 at%.
  • the carbon concentration may be graded within an epitaxial layer, preferably graded with a higher carbon concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
  • a germanium source and a carbon source may both be added during step 120 into the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium carbon material.
  • the deposition gas used during step 120 may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorous, gallium or aluminum.
  • Dopants provide the deposited silicon- containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic.
  • the silicon- containing compound is doped p-type, such as by using diborane to add boron at a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • the p-type dopant has a concentration of at least 5x10 19 atoms/cm 3 .
  • the p-type dopant is in the range from about 1x10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 .
  • the silicon-containing compound is doped n-type, such as with phosphorous and/or arsenic to a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • a dopant source is usually provided into the process chamber during step 120 at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Alkylphosphines include trimethylphosphine ((CH 3 ) 3 P), dimethylphosphine ((CH 3 ) 2 PH), triethylphosphine ((CH 3 CH 2 ) 3 P) and diethylphosphine ((CH 3 CH 2 ) 2 PH).
  • Examples of aluminum and gallium dopant sources include trimethylaluminum (Me 3 AI), triethylaluminum (Et 3 AI), dimethylaluminumchloride (Me 2 AICI), aluminum chloride (AICI 3 ), trimethylgallium (Me 3 Ga), triethylgallium (Et 3 Ga), dimethylgalliumchloride (Me 2 GaCI) and gallium chloride (GaCI 3 ).
  • step 130 the deposition process is terminated.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates.
  • the etching process in step 140 is immediately started without purging and/or evacuating the process chamber.
  • the etching process in step 140 removes silicon-containing materials deposited during step 120 from the substrate surface.
  • the etching process removes both epitaxial or monocrystalline materials and amorphous or polycrystalline materials. Polycrystalline layers, if any, deposited on the substrate surface are removed at a faster rate than the epitaxial layers.
  • the time duration of the etching process is balanced with the time duration of the deposition process to result in net deposition of the epitaxial layer selectively formed on desired areas of the substrate. Therefore, the net result of the deposition process in step 120 and etching process in step 140 is to form selective and epitaxially grown silicon-containing material while minimizing, if any, growth of polycrystalline silicon-containing material.
  • the substrate is exposed to the etching gas for a period of time in the range from about 10 seconds to about 30 seconds.
  • a chlorinated carbon etchant it can be provided into the process chamber at a rate in the range from about 10 seem to about 700 seem, preferably from about 50 seem to about 500 seem, and more preferably from about 100 seem to about 400 seem, for example, about 200 seem.
  • HCI it can be provided at about 2,000 to about 20,000 seem.
  • the etching process is terminated during step 150.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess etching gas, reaction by-products and other contaminates.
  • step 160 is immediately started without purging and/or evacuating the process chamber.
  • the thicknesses of the epitaxial layer and the polycrystalline layer may be determined during step 160. If the predetermined thicknesses are achieved, then epitaxial process 100 is terminated at step 170. However, if the predetermined thicknesses are not achieved, then steps 120-160 are repeated as a cycle until the desired thicknesses are achieved.
  • the epitaxial layer is usually grown to have a thickness at a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1 ,500 A, and more preferably from about 400 A to about 1 ,200 A, for example, about 800 A.
  • the polycrystalline layer is usually deposited with a thickness, if any, in a range from an atomic layer to about 500 A.
  • the desired or predetermined thickness of the epitaxial silicon-containing layer or the polycrystalline silicon-containing layer is specific to a particular fabrication process.
  • the epitaxial layer may reach the predetermined thickness while the polycrystalline layer is too thick.
  • the excess polycrystalline layer may be further etched by repeating steps 140-160 while skipping steps 120 and 130.
  • a source/drain extension is formed within a MOSFET device wherein the silicon-containing layers are epitaxially and selectively deposited on the surface of the substrate.
  • Figure 2A depicts a source/drain region 232 formed by implanting ions into the surface of a substrate 230. The segments of source/drain region 232 are bridged by the gate 236 formed on gate oxide layer 235 and spacer 234.
  • a portion of the source/drain region 232 is etched and wet-cleaned to produce a recess 238, as in Figure 2B. Etching of the gate 236 may be avoided by depositing a hardmask prior to etching the portion of source/drain region 232.
  • Figure 2C illustrates one embodiment of an epitaxial process described herein, in which a silicon-containing epitaxial layer 240 and optional polycrystalline layer 242 are simultaneously and selectively deposited without depositing on the spacer 234.
  • Polycrystalline layer 242 is optionally formed on gate 236 by adjusting the deposition and etching processes in steps 120 and 140 of epitaxial process 100.
  • polycrystalline layer 242 is continually etched away from gate 236 as epitaxial layer 240 is deposited on the source/drain region 232.
  • silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiGe-containing layers with a germanium concentration in a range from about 1 at% to about 50 at%, preferably about 24 at% or less.
  • Multiple SiGe-containing layers containing varying amounts of silicon and germanium may be stacked to form silicon-containing epitaxial layer 240 with a graded elemental concentration.
  • a first SiGe-layer may be deposited with a germanium concentration in a range from about 15 at% to about 25 at% and a second SiGe-layer may be deposited with a germanium concentration in a range from about 25 at% to about 35 at%.
  • silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiC-containing layers with a carbon concentration in a range from about 200 ppm to about 5 at%, preferably about 3 at% or less, preferably, from about 1 at% to about 2 at%, for example, about 1.5 at%.
  • silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiGeC-containing layers with a germanium concentration in the range from about 1 at% to about 50 at%, preferably about 24 at% or less and a carbon concentration at about 200 ppm to about 5 at%, preferably about 3 at% or less, more preferably from about 1 at% to about 2 at%, for example, about 1.5 at%.
  • Multiple layers containing Si, SiGe, SiC or SiGeC may be deposited in varying order to form graded elemental concentrations within the silicon-containing epitaxial layer 240.
  • the silicon-containing layers are doped with a dopant (e.g., boron, arsenic, phosphoric, gallium or aluminum) having a concentration in the range from about 1x10 19 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 , preferably from about 5x10 19 atoms/cm 3 to about 2x10 20 atoms/cm 3 .
  • Dopants added to individual layers of the silicon-containing material form graded dopants.
  • silicon- containing epitaxial layer 240 is formed by depositing a first SiGe-containing layer with a dopant concentration (e.g., boron) in a range from about 5x10 19 atoms/cm 3 to about 1 x10 20 atoms/cm 3 and a second SiGe-containing layer with a dopant concentration (e.g., boron) in a range from about 1 x10 20 atoms/cm 3 to about 2x10 20 atoms/cm 3 .
  • a dopant concentration e.g., boron
  • Carbon incorporated in SiC-containing layers and SiGeC-containing layers is located in interstitial sites of the crystalline lattice immediately following the deposition of the silicon-containing layer.
  • the interstitial carbon content is about 10 at% or less, preferably less than about 5 at% and more preferably from about 1 at% to about 3 at%, for example, about 2 at%.
  • the silicon-containing epitaxial layer 240 may be annealed to incorporate at least a portion, if not all of the interstitial carbon into substitutional sites of the crystalline lattice.
  • the annealing process may include a spike anneal, such as rapid thermal process (RTP), laser annealing or thermal annealing with an atmosphere of gas, such as oxygen, nitrogen, hydrogen, argon, helium or combinations thereof.
  • RTP rapid thermal process
  • the annealing process is conducted at a temperature from about 800 0 C to about 1 ,200 0 C, preferably from about 1 ,050 0 C to about 1 ,100 0 C.
  • the annealing process may occur immediately after the silicon- containing layer is deposited or after a variety of other process steps the substrate will endure.
  • Figure 2D shows a spacer 244, a nitride spacer (e.g., Si 3 N 4 ) deposited on the spacer 234.
  • Spacer 244 is usually deposited in a different chamber by a CVD or ALD technique. Therefore, the substrate is removed from the process chamber that was used to deposit silicon-containing epitaxial layer 240. During the transfer between the two chambers, the substrate may be exposed to ambient conditions, such as the temperature, pressure or the atmospheric air containing water and oxygen.
  • the spacer 244, or performing other semiconductor process ⁇ e.g., anneal, deposition or implant
  • the substrate may be exposed to ambient conditions a second time prior to depositing elevated layer 248.
  • an epitaxial layer (not shown) with no or minimal germanium ⁇ e.g., less than about 5 at%) is deposited on the top of epitaxial layer 240 before exposing the substrate to ambient conditions since native oxides are easier to remove from epitaxial layers containing minimal germanium concentrations than from an epitaxial layer formed with a germanium concentration greater than about 5 at%.
  • Figure 2E depicts another example in which an elevated layer 248 comprised of a silicon-containing material is selectively and epitaxially deposited on epitaxial layer 240 ⁇ e.g., doped-SiGe). During the deposition process, polycrystalline layer 242 is further grown, deposited or etched away on the gate 236.
  • an elevated layer 248 comprised of a silicon-containing material is selectively and epitaxially deposited on epitaxial layer 240 ⁇ e.g., doped-SiGe).
  • polycrystalline layer 242 is further grown, deposited or etched away on the gate 236.
  • elevated layer 248 is epitaxial deposited silicon containing little or no germanium or carbon.
  • elevated layer 248 does contain germanium and/or carbon.
  • elevated layer 248 may have about 5 at% or less of germanium.
  • elevated layer 248 may have about 2 at% or less of carbon.
  • Elevated layer 248 may also be doped with a dopant, such as boron, arsenic, phosphorous, aluminum or gallium.
  • Silicon-containing compounds are utilized within embodiments of the processes to deposit silicon-containing layers used for Bipolar device fabrication ⁇ e.g., base, emitter, collector, emitter contact), BiCMOS device fabrication ⁇ e.g., base, emitter, collector, emitter contact) and CMOS device fabrication ⁇ e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug).
  • Other embodiments of processes teach the growth of silicon-containing layers that can be used as gate, base contact, collector contact, emitter contact, elevated source/drain and other uses.
  • FIGS 3A-3C show the processes are extremely useful for depositing selective, epitaxial silicon-containing layers in MOSFET and bipolar transistors as depicted in Figures 3A-3C.
  • Figures 3A-3B show the epitaxially grown silicon-containing compounds on a MOSFET device.
  • the silicon-containing compound is deposited on the source/drain features of the device.
  • the silicon-containing compound adheres and grows from the crystal lattice of the underlying layer and maintains this arrangement as the silicon-containing compound is grown to a desired thickness.
  • Figure 3A demonstrates the silicon-containing compound deposited as a recessed source/drain layer
  • Figure 3B shows silicon-containing compounds deposited as recessed source/drain layer and an elevated source/drain layer.
  • the source/drain region 312 is formed by ion implantation.
  • the substrate 310 is doped n-type while the source/drain region 312 is doped p-type.
  • Silicon- containing epitaxial layer 313 is selectively grown on the source/drain region 312 and/or directly on substrate 310.
  • Silicon-containing epitaxial layer 314 is selectively grown on the silicon-containing layer 313 according to aspects herein.
  • a gate oxide layer 318 bridges the segmented silicon-containing layer 313.
  • Gate oxide layer 318 is composed of silicon dioxide, silicon oxynitride or hafnium oxide.
  • a spacer 316 which is usually an isolation material such as a nitride/oxide stack (e.g., Si 3 N 4 ZSiO 2 ZSi 3 N 4 ).
  • Gate layer 322 e.g., polysilicon
  • gate layer 322 may have a spacer 316 and off-set layers 320 (e.g., Si 3 N 4 ) disposed on either side.
  • Figure 3C depicts the deposited silicon-containing epitaxial layer 334 as a base layer of a bipolar transistor.
  • Silicon-containing epitaxial layer 334 is selectively grown with the various embodiments of the invention.
  • Silicon-containing epitaxial layer 334 is deposited on an n-type collector layer 332 previously deposited on substrate 330.
  • the transistor further includes isolation layer 333 ⁇ e.g., Si ⁇ 2 or Si 3 N 4 ), contact layer 336 (e.g., heavily doped poly-Si), off-set layer 338 ⁇ e.g., Si 3 N 4 ), and a second isolation layer 340 (e.g., SiO 2 or Si 3 N 4 ).
  • Figure 4 illustrates an epitaxial process 400 that may be used to selectively deposit silicon-containing materials/layer.
  • Epitaxial process 400 includes at least two deposition processes followed by an etching process.
  • the first deposition process includes a deposition gas containing a silicon source while the second deposition process includes a deposition gas containing a secondary elemental source, such as germanium, carbon or a dopant (e.g., boron, arsenic, phosphorous, gallium or aluminum).
  • Similar process parameters used in epitaxial process 100 are used in epitaxial process 400, such as temperatures, pressures, flow rates, carrier gases and precursors.
  • Epitaxial process 400 includes step 410 for loading a patterned substrate into the process chamber and adjusting the process chamber to a predetermined temperature.
  • Step 420 provides a first deposition process to form an epitaxial layer on a monocrystalline surface while forming a polycrystalline layer on secondary surfaces, such as amorphous and/or polycrystalline surfaces.
  • the epitaxial layer and the monocrystalline layer are formed from a deposition gas containing a silicon source.
  • the first deposition process is terminated.
  • Step 440 provides a second deposition process to continue growing the epitaxial layer on a monocrystalline surface and continue forming the polycrystalline layer on the secondary surface.
  • the epitaxial layer and the polycrystalline layer are further grown by exposing the substrate surface to a deposition gas containing a secondary elemental source.
  • the second deposition process is terminated.
  • Step 460 provides an etching process to etch the exposed silicon-containing layers. The etching process either minimizes or completely removes the polycrystalline layer while removing only a marginal portion of the epitaxial layer as a result of the rate at which each material is removed.
  • the etching process is terminated.
  • the thicknesses of the epitaxial layer and the polycrystalline layer, if any, are determined during step 480. If the predetermined thickness is achieved, then epitaxial process 400 is terminated at step 490. However, if the predetermined thickness of either layer is not achieved, then steps 420-480 are repeated as a cycle until the predetermined thicknesses are achieved.
  • Epitaxial process 400 starts at step 410 by adjusting the process chamber containing the patterned substrate to a predetermined temperature.
  • the temperature and pressure is tailored to the particular process conducted.
  • the process chamber is maintained at a consistent temperature throughout epitaxial process 400. However, some steps may be performed at varying temperatures.
  • the process chamber is kept at a temperature in the range from about 500 0 C to about 650 0 C.
  • the appropriate temperature to conduct epitaxial process 400 may depend on the particular precursors used to deposit and/or etch the silicon- containing materials during steps 420-480.
  • the process chamber is usually maintained with a pressure from about 1 to about 100 Torr.
  • the first deposition process is conducted during step 420.
  • the patterned substrate is exposed to a first deposition gas to form an epitaxial layer on the monocrystalline surface while forming a polycrystalline layer on the secondary surfaces.
  • the substrate is exposed to the first deposition gas for a period of time of about 5 to about 25 seconds.
  • the specific exposure time of the deposition process is determined in relation to the exposure time during the etching process in step 460, as well as particular precursors and temperature used in the process.
  • the substrate is exposed to the first deposition gas long enough to form the maximized thickness of an epitaxial layer while forming the minimized thickness of a polycrystalline layer that may be easily etched away during subsequent step 460.
  • the first deposition gas contains at least a silicon source and a carrier gas.
  • the first deposition gas may also contain a secondary elemental source and/or a dopant compound, but preferably, the secondary elemental source and the dopant compound are in the second deposition gas. Therefore, in one aspect, the first deposition gas may contain a silicon source, a secondary elemental source and a dopant source. In another aspect, the first deposition gas may contain a silicon source and a secondary elemental source. In yet another aspect, the first deposition gas may contain a silicon source and a dopant source. In an alternative embodiment, the first deposition gas may also include at least one etchant, such as hydrogen chloride or chlorine.
  • the silicon source is usually provided into the process chamber at a rate in the range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem.
  • the preferred silicon sources include silane, dichlorosilane and disilane.
  • the silicon source is usually provided into the process chamber in a carrier gas.
  • the carrier gas has a flow rate from about 60 to about 600 seem.
  • Carrier gases may include nitrogen (ISI 2 ), hydrogen (H2), argon, helium, HCI and combinations thereof.
  • HCI is the preferred carrier gas because of its ability to function as an etchant or an inert under specific conditions.
  • the first deposition process is terminated.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates.
  • the second deposition process in step 440 is immediately started without purging and/or evacuating the process chamber.
  • the deposition gas used during step 440 contains a carrier gas and at least one secondary elemental source, such as a germanium source, a carbon source and/or a dopant compound.
  • a silicon source may be included in the second deposition gas.
  • the secondary elemental source is added to the process chamber with the carrier gas to continue the growth of the silicon-containing compounds deposited during step 420.
  • the silicon-containing compounds may have varied compositions controlled by the specific secondary elemental source and the concentration of the secondary elemental source.
  • a secondary elemental source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Germanium sources, carbon sources and dopant compounds are selected from the aforementioned precursors discussed above.
  • step 450 the second deposition process is terminated.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates.
  • the etching process in step 460 is immediately started without purging and/or evacuating the process chamber.
  • the etching process in step 460 removes materials deposited during steps 420 and 440 from the substrate surface.
  • the etching process removes both epitaxial or monocrystalline materials and amorphous and/or polycrystalline materials. Polycrystalline layers, if any, deposited on the substrate surface is removed at a faster rate than the epitaxial layers.
  • the time duration of the etching process is balanced with the time duration of the two deposition processes. Therefore, the net result of the deposition processes in steps 420 and 440 and etching process in step 460 is to form selective and epitaxially grown silicon- containing material while minimizing, if any, growth of polycrystalline silicon- containing material.
  • the substrate is exposed to the etching gas for a period of time in a range from about 10 seconds to about 30 seconds.
  • the etching process is terminated during step 470.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess etching gas, reaction by-products and other contaminates.
  • step 480 is immediately started without purging and/or evacuating the process chamber.
  • the thicknesses of epitaxial layer and the polycrystalline layer may be determined during step 480. If the predetermined thicknesses are achieved, then epitaxial process 400 is ended at step 490. However, if the predetermined thicknesses are not achieved, then steps 420-480 are repeated as a cycle until the desired thicknesses are achieved.
  • the epitaxial layer is usually grown to have a thickness at a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1 ,500 A, and more preferably from about 400 A to about 1 ,200 A, for example, about 800 A.
  • the polycrystalline layer is usually deposited to have a thickness, if any, at a range from about an atomic layer to about 500 A.
  • the desired or predetermined thickness of the epitaxial silicon-containing layer or the polycrystalline silicon-containing layer is specific to a particular fabrication process.
  • the epitaxial layer may reach the predetermined thickness while the polycrystalline layer is too thick.
  • the excess polycrystalline layer may be further etched by repeating steps 140-160 while omitting steps 460 and 470.
  • steps 420, 440 and 460 may be individually omitted while proceeding through epitaxial process 400. By skipping steps 420, 440 and 460, the elemental concentration and the thicknesses of deposited silicon-containing materials may be controlled.
  • Embodiments of the invention teach processes to deposit silicon- containing compounds on a variety of substrates.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon ⁇ e.g., Si ⁇ 100> and Si ⁇ 111 >), silicon oxide, silicon germanium, doped or undoped wafers and patterned or non- patterned wafers.
  • Substrates have a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD).
  • silicon-containing compounds deposited by process described herein include a germanium concentration within the range from about 0 at% to about 95 at%. In another embodiment, a germanium concentration is within the range from about 1 at% to about 30 at%, preferably from about 15 at% to about 30 at%, for example, about 20 at%. Silicon-containing compounds also include a carbon concentration within the range from about 0 at% to about 5 at%. In other aspects, a carbon concentration is within the range from about 200 ppm to about 3 at%, preferably about 1.5 at%. [0075] The silicon-containing compound films of germanium and/or carbon are produced by various processes of the invention and can have consistent, sporadic or graded elemental concentrations.
  • Graded silicon germanium films are disclosed in United States Patent No. 6,770,134 and United States Patent Application Serial No. 10/014,466, published as United States Patent Publication 20020174827, both assigned to Applied Materials, Inc., and are incorporated herein by reference in entirety for the purpose of describing methods of depositing graded silicon- containing compound films.
  • a silicon source ⁇ e.g., SiH 4
  • a germanium source e.g., GeH 4
  • the ratio of silicon source and germanium source can be varied in order to provide control of the elemental concentrations, such as silicon and germanium, while growing graded films.
  • a silicon source and a carbon source are used to selectively and epitaxially deposit silicon carbon containing films.
  • the ratio of silicon source and carbon source can be varied in order to provide control of the elemental concentration while growing homogenous or graded films.
  • a silicon source, a germanium source and a carbon source are used to selectively and epitaxially deposit silicon germanium carbon containing films.
  • the ratios of silicon, germanium and carbon sources are independently varied in order to provide control of the elemental concentration while growing homogenous or graded films.
  • MOSFET devices formed by processes described herein may contain a PMOS component or a NMOS component.
  • the PMOS component, with a p-type channel has holes that are responsible for channel conduction
  • the NMOS component, with a n-type channel has electrons that are responsible channel conduction. Therefore, for example, a silicon-containing material such as SiGe may be deposited in a recessed area to form a PMOS component.
  • a silicon-containing film such as SiC may be deposited in a recessed area to form a NMOS component.
  • SiGe is used for PMOS application for several reasons. A SiGe material incorporates more boron than silicon alone, thus the junction resistivity may be lowered.
  • the SiGe/silicide layer interface at the substrate surface has a lower Schottky barrier than the Si/silicide interface.
  • SiGe grown epitaxially on the top of silicon has compressive stress inside the film because the lattice constant of SiGe is larger than that of silicon. The compressive stress is transferred in the lateral dimension to create compressive strain in the PMOS channel and to increase mobility of the holes.
  • SiC can be used in the recessed areas to create tensile stress in the channel, since the lattice constant of SiC is smaller than that of silicon. The tensile stress is transferred into the channel and increases the electron mobility.
  • a first silicon-containing layer is formed with a first lattice strain value and a second silicon-containing layer is formed with a second lattice strain value.
  • a SiC layer with a thickness from about 50 A to about 200 A is deposited on the substrate surface and sequentially, a SiGe layer with a thickness from about 150 A to about 1 ,000 A is deposited on the SiC layer.
  • the SiC layer may be epitaxially grown and has less strain than the SiGe layer epitaxially grown on the SiC layer.
  • silicon-containing compound films are selectively and epitaxially deposited by chemical vapor deposition (CVD) processes.
  • Chemical vapor deposition processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes.
  • Chemical vapor deposition includes the use of many techniques, such as plasma-assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD) 1 hot-wire (HWCVD), reduced-pressure CVD (RP-CVD), ultra-high vacuum CVD (UHV-CVD) and others.
  • the preferred process is to use thermal CVD to epitaxially grow or deposit the silicon-containing compound, whereas the silicon- containing compound includes silicon, SiGe, SiC, SiGeC, doped variants thereof and combinations thereof.
  • the processes of the invention can be carried out in equipment known in the art of ALE, CVD and ALD.
  • the apparatus may contain multiple gas lines to maintain the deposition gas and the etching gas separated prior to entering the process chamber. Thereafter, the gases are brought into contact with a heated substrate on which the silicon-containing compound films are grown.
  • Hardware that can be used to deposit silicon-containing films includes the Epi Centura ® system and the Poly Gen ® system available from Applied Materials, Inc., located in Santa Clara, California.
  • An ALD apparatus is disclosed in United States Patent Serial No. 10/032,284, filed December 21 , 2001 , published as United States Patent Publication No.

Abstract

A method for epitaxially forming a silicon-containing material on a substrate surface utilizes a halogen containing gas as both an etching gas as well as a carrier gas through adjustments of the process chamber temperature and pressure. It is beneficial to utilize HCl as the halogen containing gas because converting HCl from a carrier gas to an etching gas can easily be performed by adjusting the chamber pressure.

Description

SELECTIVE DEPOSITION
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the present invention relates to the field of electronic manufacturing processes and devices, more particularly, to methods of depositing silicon-containing films while forming electronic devices.
Description of the Related Art
[0002] As smaller transistors are manufactured, ultra shallow source/drain junctions are becoming more challenging to produce. Sub-100 nm CMOS (complementary metal-oxide semiconductor) devices require a junction depth to be less than 30 nm. Selective epitaxial deposition is often utilized to form epilayers of silicon-containing materials (e.g., Si, SiGe and SiC) into the junctions. Selective epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas. Selective epitaxy can be used within semiconductor devices, such as elevated source/drains, source/drain extensions, contact plugs or base layer deposition of bipolar devices.
[0003] A selective epitaxy process involves a deposition reaction and an etch reaction. The deposition and etch reactions occur simultaneously with relatively different reaction rates to an epitaxial layer and to a polycrystalline layer. During the deposition process, the epitaxial layer is formed on a monocrystalline surface while a polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and/or an amorphous layer. However, the deposited polycrystalline layer is etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of an etchant gas, the net selective process results in deposition of epitaxy material and limited, or no, deposition of polycrystalline material. For example, a selective epitaxy process may result in the formation of an epilayer of silicon-containing material on a monocrystalline silicon surface while no deposition is left on the spacer. [0004] Selective epitaxy deposition of silicon-containing materials has become a useful technique during formation of elevated source/drain and source/drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices. Source/drain extension features are manufactured by etching a silicon surface to make a recessed source/drain feature and subsequently filling the etched surface with selectively grown epilayers, such as a silicon germanium (SiGe) material. Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy. On the other hand, the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further. In order to compensate for junction consumption, an elevated source/drain is epitaxially and selectively grown on the junction. Typically, the elevated source/drain layer is undoped silicon.
[0005] However, current selective epitaxy processes have some drawbacks. In order to maintain selectivity during present epitaxy processes, chemical concentrations of the precursors, as well as reaction temperatures must be regulated and adjusted throughout the deposition process. If not enough silicon precursor is administered, then the etching reaction may dominate and the overall process is slowed down. Also, harmful over etching of substrate features may occur. If not enough etchant precursor is administered, then the deposition reaction may dominate reducing the selectivity to form monocrystalline and polycrystalline materials across the substrate surface. Also, current selective epitaxy processes usually require a high reaction temperature, such as about 8000C, 1 ,0000C or higher. Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface.
[0006] Therefore, there is a need to have a process for selectively and epitaxially depositing silicon and silicon-containing compounds with optional dopants. Furthermore, the process should be versatile to form silicon-containing compounds with varied elemental concentrations while having a fast deposition rate.
SUMMARY OF THE INVENTION
[0007] The present invention provides a method of epitaxially depositing a layer on a substrate. A method for epitaxially forming a silicon-containing material on a substrate surface utilizes halogen containing gas as both an etching gas as well as a carrier gas through adjustments of the process chamber temperature and the pressure. It is beneficial to utilize HCI as the halogen containing gas because converting HCI from a carrier gas to an etching gas can easily be performed by adjusting the chamber pressure.
[0008] In a first embodiment, a method of epitaxially forming a silicon-containing material on a substrate surface is disclosed. The method involves positioning into a process chamber a substrate having a monocrystalline surface and at least a second surface. The second surface can be an amorphous surface, a polycrystalline surface or combinations thereof. The substrate is exposed to a deposition gas to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface. The deposition gas has a silicon source and a carrier gas comprising a halogen containing compound. Subsequently the substrate is exposed to an etching gas to etch the polycrystalline layer and the epitaxial layer. The polycrystalline layer is etched at a faster rate than the epitaxial layer.
[0009] According to one aspect of the first embodiment, the halogen containing compound comprises HCI and HCI is used as both a carrier gas during deposition and an etching gas.
[0010] According to another aspect of the first embodiment, a halogen containing gas is used as a carrier gas during deposition but an etching gas comprising chlorinated hydrocarbon is used. [0011] In a second embodiment, a method of epitaxially forming a silicon- containing material on a substrate positioned in a process chamber is disclosed. The substrate includes a monocrystalline surface and a second surface selected from the group consisting of an amorphous surface, a polycrystalline surface and combinations thereof. The method involves controlling a flow of halogen containing gas into the process chamber to a first flow rate to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface, and controlling a flow rate of halogen containing gas into the process chamber to a second flow rate to etch the polycrystalline layer and the epitaxial layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0013] Figure 1 is a flow chart describing a process to selectively and epitaxially deposit silicon-containing materials in one embodiment described herein;
[0014] Figures 2A-2E show schematic illustrations of fabrication techniques for a source/drain extension device within a MOSFET;
[0015] Figures 3A-C show several devices containing selectively and epitaxially deposited silicon-containing layers by applying embodiments described herein;
[0016] Figure 4 is a flow chart describing a process to selectively and epitaxially deposit silicon-containing materials in another embodiment described herein; and
[0017] Figures 5A-5C are graphs showing the HCI flow rates, total pressure, and source gas flow rates versus time. DETAILED DESCRIPTION
[0018] Embodiments of the invention provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices. A patterned substrate containing a monocrystalline surface {e.g., silicon or silicon germanium) and at least a secondary surface, such as an amorphous surface and/ or a polycrystalline surface (e.g., oxide or nitride), is exposed to an epitaxial process to form an epitaxial layer on the monocrystalline surface while forming limited or no polycrystalline layer on the secondary surfaces. The epitaxial process, also referred to as the alternating gas supply (AGS) process, includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown.
[0019] The deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas. The deposition gas may also include a germanium source or carbon source, as well as a dopant source. During the deposition process, an epitaxial layer is formed on the monocrystalline surface of the substrate while a polycrystalline layer is formed on secondary surfaces, such as amorphous and/or polycrystalline surfaces. Subsequently, the substrate is exposed to an etching gas. The etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride. The etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline silicon-containing material on the secondary surfaces. A cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon-containing materials. The silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and dopant variants thereof.
[0020] In general, deposition processes may be conducted at lower temperatures than etching reactions, since etchants often need a high temperature to be activated. For example, silane may be thermally decomposed to deposit silicon at about 5000C or less, while hydrogen chloride requires an activation temperature of about 7000C or higher to act as an effective etchant. Therefore, if hydrogen chloride is used during an AGS process, the overall process temperature is dictated by the higher temperature required to activate the etchant.
[0021] In one example of an AGS process, an inert gas, such as a noble gas or nitrogen, is used as a carrier gas during the deposition and etching processes, instead of a traditional carrier gas, such as hydrogen. The use of an inert carrier gas has several attributes during an AGS process. For one, an inert carrier gas may increase the deposition rate of the silicon-containing material. While hydrogen may be used as a carrier gas, during the deposition process, hydrogen has a tendency to adsorb or react to the substrate to form hydrogen-terminated surfaces. A hydrogen- terminated surface reacts much slower to epitaxial growth than a bare silicon surface. Therefore, the use of an inert carrier gas increases the deposition rate by not adversely effecting the deposition reaction.
[0022] It has been found that a halogen containing gas can be used as the carrier gas. Under very specific conditions, the halogen containing gas will not etch the material. The halogen containing gas will act as an inert gas. The benefit of using the halogen containing gas as a carrier gas is that the halogen containing gas can be tailored for use as an etchant during the etching phase or as an inert carrier gas for the deposition phase. The tailoring of the halogen containing gas for either use is controlled by the temperature and pressure. By keeping the halogen containing gas below the etching threshold, the halogen containing gas will function as an effective carrier gas. The etching threshold varies depending upon the specific halogen containing gas used.
[0023] Diatomic halogens could be used, but they need to be used at low process chamber temperatures (i.e. less than about 5500C) and very low concentrations. It is possible to use a mixture of a diatomic halogen and a halogen containing gas. Preferably the halogen containing gas is selected from HCI, HBr, and HI, with HCI being most preferred. A small amount of CI2 can be added to the HCI to increase the reactivity of the HCI during the etching phase. A small amount of H2 or N2 or any inert gas such as Ar or He can be added to control the reactivity of the HCI for the deposition phase. The specific parameters include a process chamber operating temperature of between about 5000C and about 6500C and a process chamber operating pressure of about 1 Torr to about 100 Torr. The benefits of using HCI instead of Cl2 are several. HCI does not attack the growing film as much as Cl2. HCI more selectively etches. There are fewer defects on the resulting film using HCI as opposed to Cl2.
[0024] At the processing chamber deposition temperatures (i.e. between about 5000C and about 6500C), HCI etch efficiency is normally drastically reduced. Thus, for the processing chamber deposition temperatures of 5000C - 6500C, HCI acts as an inert gas. When operating at these low processing chamber temperatures, HCI will not etch efficiently. To etch using HCI at these low processing chamber temperatures, it is necessary to increase the pressure.
[0025] By modulating the HCI flow between low (i.e. about 60 to about 600 seem) and high (i.e. about 2,000 to about 20,000 seem), an alternating deposition/etching cycle is achieved. The deposition step is an inefficient etch, or growth step where the HCI flow is low. The efficient etch step occurs at high HCI flow. By modulating the flow, high pressure etching is performed between the low pressure deposition steps with little change in the pumping speed. The pressure control is not greatly burdened. Figures 5A-5C show the comparison of the HCI flow, total chamber pressure, and source gas flow over time. Source gas is provided to the chamber during the deposition phase. HCI gas is constantly provided, but during the deposition phase, the HCI is provided at a lower flow rate (i.e. about 60 to about 600 seem). The total chamber pressure during the deposition phase is about 1 to about 100 Torr. A small amount of etching may occur during the deposition phase, but it will be minimal. During the etching phase, the source gas is shut off and the HCI gas flow is increased (i.e. about 2,000 to about 20,000 seem). The total chamber pressure is increased to about 30 to about 100 Torr. During the etch phase, no deposition will occur. The deposition phase and the etching phase are about equal in length. The deposition phase lasts for about 5 to about 25 seconds and the etching phase lasts about 10 to about 30 seconds. The source gas can contain Si, Ge, C, and combinations thereof.
[0026] Sometimes, it is preferable to operate at low chamber deposition temperatures (i.e. about 5000C to about 6500C), but also at low chamber pressures (i.e. less than about 50 Torr). At low pressures, however, HCI will not effectively etch. Adding Cl2 at the low temperatures and pressures is not an option because it is too aggressive. Chlorinated hydrocarbons are an attractive alternative.
[0027] By chlorinated hydrocarbon, it is understood to comprise a hydrocarbon containing a C-Cl bond. Examples of exemplary chlorinated hydrocarbons includes chloroalkyls (i.e. methyl chloride, dichloromethane, chloroform, carbon tetrachloride, ethyl chloride, etc.), chloroalkenes, chlorine substituted phenyls, and chloroalkynes, for example.
[0028] In order for a chlorinated hydrocarbon to be effective at etching silicon based films, the C-Cl bond strength should be weaker than the Si-Cl bond strength so that the chlorine will be substitutional and not interstitial. The nature of the hydrocarbon to which Cl is bonded determines the bond strength of the available chlorine. The chlorinated hydrocarbon can be used with any silicon and carbon source gas either simultaneously (i.e. co-flowed) or alternately (i.e. cyclic deposition/etching scheme). The chlorinated hydrocarbon can be used at any process chamber temperature or pressure. The chlorinated hydrocarbon can be a gas, liquid, or solid, but preferably is has a high vapor pressure. Also the chlorinated hydrocarbon should be of a high purity.
[0029] In the above example, the chlorinated hydrocarbon is used as an etchant in a process where HCI is used as an inert carrier gas during the deposition phase. However, the chlorinated hydrocarbon may be used as an etchant in combination with any inert carrier or background gas during the deposition phase. The chlorinated hydrocarbon enables the etching phase to occur at process chamber pressures of about 50 Torr or less. [0030] Throughout the application, the terms "silicon-containing" materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium and/or aluminum. Other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing material, compound, film or layer, usually in part per million (ppm) concentrations. Compounds or alloys of silicon- containing materials may be represented by an abbreviation, such as Si for silicon, SiGe, for silicon germanium, SiC for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials.
[0031] Figure 1 illustrates an example of epitaxial process 100 used to deposit a silicon-containing layer. The process 100 includes step 110 for loading a patterned substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure. Step 120 provides a deposition process to form an epitaxial layer on a monocrystalline surface of the substrate while forming a polycrystalline layer on the amorphous and/or polycrystalline surfaces of the substrate. During step 130, the deposition process is terminated. Step 140 provides an etching process to etch the surface of the substrate. Preferably, the polycrystalline layer is etched at a faster rate than the epitaxial layer. The etching step either minimizes or completely removes the polycrystalline layer while removing only a marginal portion of the epitaxial layer. During step 150, the etching process is terminated. The thickness of the epitaxial layer and the polycrystalline layer, if any, is determined during step 160. If the predetermined thickness of the epitaxial layer or the polycrystalline layer is achieved, then epitaxial process 100 is terminated at step 170. However, if the predetermined thickness is not achieved, then steps 120- 160 are repeated as a cycle until the predetermined thickness is achieved.
[0032] A patterned substrate is loaded into a process chamber during step 110. Patterned substrates are substrates that include electronic features formed into or onto the substrate surface. The patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non- monocrystalline, such as polycrystalline or amorphous surfaces. Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon. Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
[0033] Epitaxial process 100 begins by adjusting the process chamber containing the patterned substrate to a predetermined temperature and pressure during step 110. The temperature is tailored to the particular conducted process. The process chamber is maintained at a consistent temperature throughout epitaxial process 100. However, some steps may be performed at varying temperatures. The process chamber is kept at a temperature in the range from about 2500C to about 1 ,0000C, preferably from about 5000C to about 8000C and more preferably from about 5500C to about 7500C. The appropriate temperature to conduct epitaxial process 100 may depend on the particular precursors used to deposit and/or etch the silicon-containing materials during steps 120 and 140. When using HCI as both a carrier gas and as an etching gas, the process chamber pressure switches between a defined high pressure during the etching step and a defined low pressure during the deposition step.
[0034] The deposition process is conducted during step 120. The patterned substrate is exposed to a deposition gas to form an epitaxial layer on the monocrystalline surface while forming a polycrystalline layer on the secondary surfaces. The substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, preferably from about 5 seconds to about 25 seconds. The specific exposure time of the deposition process is determined in relation to the exposure time during the etching process in step 140, as well as particular precursors and temperature used in the process. The substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer while forming a minimal thickness of a polycrystalline layer that may be easily etched away during subsequent step 140. [0035] The deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a germanium source and/or a carbon source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorous, gallium and/or aluminum.
[0036] The silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem. Silicon sources useful in the deposition gas to deposit silicon-containing compounds include silanes, halogenated silanes and organosilanes. Silanes include silane (SiH4) and higher silanes with the empirical formula SixH(2X+2), such as disilane (Si2H6), trisilane (Si3H8), and tetrasilane (Si4Hi0), as well as others. Halogenated silanes include compounds with the empirical formula X'ySixH(2χ+2-y), where X' = F, Cl, Br or I, such as hexachlorodisilane (Si2CI6), tetrachlorosilane (SiCI4), dichlorosilane (CI2SiH2) and trichlorosilane (CI3SiH). Organosilanes include compounds with the empirical formula RySiχH(2χ+2-y), where R = methyl, ethyl, propyl or butyl, such as methylsilane ((CH3)SiH3), dimethylsilane ((CHs)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2). Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon- containing compound. The preferred silicon sources include silane, dichlorosilane and disilane.
[0037] The silicon source is usually provided into the process chamber along with a carrier gas. The carrier gas has a flow rate from about 60 to about 600 seem. Carrier gases may include nitrogen (N2), hydrogen (H2), argon, helium and combinations thereof. HCI is the preferred carrier gas. A carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process 100. Usually the carrier gas is the same throughout each of the steps 110-150. However, some embodiments may use different carrier gases in particular steps. HCI may be used as a carrier gas with the silicon source in step 120 and with the etchant in step 140.
[0038] The deposition gas used during step 120 may also contain at least one secondary elemental source, such as a germanium source and/or a carbon source. The germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium material. The germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Germanium sources useful to deposit silicon-containing compounds include germane (GeH4), higher germanes and organogermanes. Higher germanes include compounds with the empirical formula GexH(2X+2), such as digermane (Ge2H6), trigermane (Ge3H8) and tetragermane (Ge4H10), as well as others. Organogermanes include compounds such as methylgermane ((CH3)GeH3), dimethylgermane ((CHa)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CH3)2Ge2H4) and hexamethyldigermane ((CH3)6Ge2). Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon-containing compounds, namely SiGe and SiGeC compounds. The germanium concentration in the epitaxial layer is in the range from about 1 at% to about 30 at%, for example, about 20 at%. The germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
[0039] Alternatively, a carbon source may be added during step 120 to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material. A carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include methylsilane (CH3SiH3), dimethylsilane ((CHs)2SiH2), ethylsilane (CH3CH2SiH3), methane (CH4), ethylene (C2H4), ethyne (C2H2), propane (C3H8), propene (C3H6), butyne (C4H6), as well as others. The carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 at%, preferably from about 1 at% to about 3 at%, for example 1.5 at%. In one embodiment, the carbon concentration may be graded within an epitaxial layer, preferably graded with a higher carbon concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer. Alternatively, a germanium source and a carbon source may both be added during step 120 into the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon germanium carbon material.
[0040] The deposition gas used during step 120 may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorous, gallium or aluminum. Dopants provide the deposited silicon- containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic. In one example, the silicon- containing compound is doped p-type, such as by using diborane to add boron at a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3. In one example, the p-type dopant has a concentration of at least 5x1019 atoms/cm3. In another example, the p-type dopant is in the range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3. In another example, the silicon-containing compound is doped n-type, such as with phosphorous and/or arsenic to a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3.
[0041] A dopant source is usually provided into the process chamber during step 120 at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Boron-containing dopants useful as a dopant source include boranes and organoboranes. Boranes include borane, diborane (B2H6), triborane, tetraborane and pentaborane, while alkylboranes include compounds with the empirical formula RXBH(3-X), where R = methyl, ethyl, propyl or butyl and x = 1 , 2 or 3. Alkylboranes include t rim ethyl bo ran e ((CH3)3B), dimethylborane ((CH3)2BH), triethylborane ((CH3CH2)3B) and diethylborane ((CH3CH2^BH). Dopants may also include arsine (AsH3), phosphine (PH3) and alkylphosphines, such as with the empirical formula RχPH(3-X), where R = methyl, ethyl, propyl or butyl and x = 1 , 2 or 3. Alkylphosphines include trimethylphosphine ((CH3)3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CH3CH2)3P) and diethylphosphine ((CH3CH2)2PH). Aluminum and gallium dopant sources may include alkylated and/or halogenated derivates, such as described with the empirical formula RXMX(3-X), where M = Al or Ga, R = methyl, ethyl, propyl or butyl, X = Cl or F and x = 0, 1 , 2 or 3. Examples of aluminum and gallium dopant sources include trimethylaluminum (Me3AI), triethylaluminum (Et3AI), dimethylaluminumchloride (Me2AICI), aluminum chloride (AICI3), trimethylgallium (Me3Ga), triethylgallium (Et3Ga), dimethylgalliumchloride (Me2GaCI) and gallium chloride (GaCI3).
[0042] During step 130, the deposition process is terminated. In one example, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates. In another example, once the deposition process has terminated, the etching process in step 140 is immediately started without purging and/or evacuating the process chamber.
[0043] The etching process in step 140 removes silicon-containing materials deposited during step 120 from the substrate surface. The etching process removes both epitaxial or monocrystalline materials and amorphous or polycrystalline materials. Polycrystalline layers, if any, deposited on the substrate surface are removed at a faster rate than the epitaxial layers. The time duration of the etching process is balanced with the time duration of the deposition process to result in net deposition of the epitaxial layer selectively formed on desired areas of the substrate. Therefore, the net result of the deposition process in step 120 and etching process in step 140 is to form selective and epitaxially grown silicon-containing material while minimizing, if any, growth of polycrystalline silicon-containing material.
[0044] During step 140, the substrate is exposed to the etching gas for a period of time in the range from about 10 seconds to about 30 seconds. For a chlorinated carbon etchant it can be provided into the process chamber at a rate in the range from about 10 seem to about 700 seem, preferably from about 50 seem to about 500 seem, and more preferably from about 100 seem to about 400 seem, for example, about 200 seem. For HCI, it can be provided at about 2,000 to about 20,000 seem.
[0045] The etching process is terminated during step 150. In one example, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess etching gas, reaction by-products and other contaminates. In another example, once the etching process has terminated, step 160 is immediately started without purging and/or evacuating the process chamber.
% *- |Pi>^k „ The thicknesses of the epitaxial layer and the polycrystalline layer may be determined during step 160. If the predetermined thicknesses are achieved, then epitaxial process 100 is terminated at step 170. However, if the predetermined thicknesses are not achieved, then steps 120-160 are repeated as a cycle until the desired thicknesses are achieved. The epitaxial layer is usually grown to have a thickness at a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1 ,500 A, and more preferably from about 400 A to about 1 ,200 A, for example, about 800 A. The polycrystalline layer is usually deposited with a thickness, if any, in a range from an atomic layer to about 500 A. The desired or predetermined thickness of the epitaxial silicon-containing layer or the polycrystalline silicon-containing layer is specific to a particular fabrication process. In one example, the epitaxial layer may reach the predetermined thickness while the polycrystalline layer is too thick. The excess polycrystalline layer may be further etched by repeating steps 140-160 while skipping steps 120 and 130.
[0047] In one example, as depicted in Figures 2A-2E, a source/drain extension is formed within a MOSFET device wherein the silicon-containing layers are epitaxially and selectively deposited on the surface of the substrate. Figure 2A depicts a source/drain region 232 formed by implanting ions into the surface of a substrate 230. The segments of source/drain region 232 are bridged by the gate 236 formed on gate oxide layer 235 and spacer 234. In order to form a source/drain extension, a portion of the source/drain region 232 is etched and wet-cleaned to produce a recess 238, as in Figure 2B. Etching of the gate 236 may be avoided by depositing a hardmask prior to etching the portion of source/drain region 232.
[0048] Figure 2C illustrates one embodiment of an epitaxial process described herein, in which a silicon-containing epitaxial layer 240 and optional polycrystalline layer 242 are simultaneously and selectively deposited without depositing on the spacer 234. Polycrystalline layer 242 is optionally formed on gate 236 by adjusting the deposition and etching processes in steps 120 and 140 of epitaxial process 100. Alternatively, polycrystalline layer 242 is continually etched away from gate 236 as epitaxial layer 240 is deposited on the source/drain region 232.
[0049] In another example, silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiGe-containing layers with a germanium concentration in a range from about 1 at% to about 50 at%, preferably about 24 at% or less. Multiple SiGe-containing layers containing varying amounts of silicon and germanium may be stacked to form silicon-containing epitaxial layer 240 with a graded elemental concentration. For example, a first SiGe-layer may be deposited with a germanium concentration in a range from about 15 at% to about 25 at% and a second SiGe-layer may be deposited with a germanium concentration in a range from about 25 at% to about 35 at%.
[0050] In another example, silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiC-containing layers with a carbon concentration in a range from about 200 ppm to about 5 at%, preferably about 3 at% or less, preferably, from about 1 at% to about 2 at%, for example, about 1.5 at%. In another embodiment, silicon-containing epitaxial layer 240 and polycrystalline layer 242 are SiGeC-containing layers with a germanium concentration in the range from about 1 at% to about 50 at%, preferably about 24 at% or less and a carbon concentration at about 200 ppm to about 5 at%, preferably about 3 at% or less, more preferably from about 1 at% to about 2 at%, for example, about 1.5 at%.
[0051] Multiple layers containing Si, SiGe, SiC or SiGeC may be deposited in varying order to form graded elemental concentrations within the silicon-containing epitaxial layer 240. The silicon-containing layers are doped with a dopant (e.g., boron, arsenic, phosphoric, gallium or aluminum) having a concentration in the range from about 1x1019 atoms/cm3 to about 2.5x1021 atoms/cm3, preferably from about 5x1019 atoms/cm3 to about 2x1020 atoms/cm3. Dopants added to individual layers of the silicon-containing material form graded dopants. For example, silicon- containing epitaxial layer 240 is formed by depositing a first SiGe-containing layer with a dopant concentration (e.g., boron) in a range from about 5x1019 atoms/cm3 to about 1 x1020 atoms/cm3 and a second SiGe-containing layer with a dopant concentration (e.g., boron) in a range from about 1 x1020 atoms/cm3 to about 2x1020 atoms/cm3.
[0052] Carbon incorporated in SiC-containing layers and SiGeC-containing layers is located in interstitial sites of the crystalline lattice immediately following the deposition of the silicon-containing layer. The interstitial carbon content is about 10 at% or less, preferably less than about 5 at% and more preferably from about 1 at% to about 3 at%, for example, about 2 at%. The silicon-containing epitaxial layer 240 may be annealed to incorporate at least a portion, if not all of the interstitial carbon into substitutional sites of the crystalline lattice. The annealing process may include a spike anneal, such as rapid thermal process (RTP), laser annealing or thermal annealing with an atmosphere of gas, such as oxygen, nitrogen, hydrogen, argon, helium or combinations thereof. The annealing process is conducted at a temperature from about 8000C to about 1 ,2000C, preferably from about 1 ,0500C to about 1 ,1000C. The annealing process may occur immediately after the silicon- containing layer is deposited or after a variety of other process steps the substrate will endure.
[0053] During the next step, Figure 2D shows a spacer 244, a nitride spacer (e.g., Si3N4) deposited on the spacer 234. Spacer 244 is usually deposited in a different chamber by a CVD or ALD technique. Therefore, the substrate is removed from the process chamber that was used to deposit silicon-containing epitaxial layer 240. During the transfer between the two chambers, the substrate may be exposed to ambient conditions, such as the temperature, pressure or the atmospheric air containing water and oxygen. Upon depositing the spacer 244, or performing other semiconductor process {e.g., anneal, deposition or implant), the substrate may be exposed to ambient conditions a second time prior to depositing elevated layer 248. In one embodiment, an epitaxial layer (not shown) with no or minimal germanium {e.g., less than about 5 at%) is deposited on the top of epitaxial layer 240 before exposing the substrate to ambient conditions since native oxides are easier to remove from epitaxial layers containing minimal germanium concentrations than from an epitaxial layer formed with a germanium concentration greater than about 5 at%.
[0054] Figure 2E depicts another example in which an elevated layer 248 comprised of a silicon-containing material is selectively and epitaxially deposited on epitaxial layer 240 {e.g., doped-SiGe). During the deposition process, polycrystalline layer 242 is further grown, deposited or etched away on the gate 236.
[0055] In a preferred embodiment, elevated layer 248 is epitaxial deposited silicon containing little or no germanium or carbon. However, in an alternative embodiment, elevated layer 248 does contain germanium and/or carbon. For example, elevated layer 248 may have about 5 at% or less of germanium. In another example, elevated layer 248 may have about 2 at% or less of carbon. Elevated layer 248 may also be doped with a dopant, such as boron, arsenic, phosphorous, aluminum or gallium.
[0056] Silicon-containing compounds are utilized within embodiments of the processes to deposit silicon-containing layers used for Bipolar device fabrication {e.g., base, emitter, collector, emitter contact), BiCMOS device fabrication {e.g., base, emitter, collector, emitter contact) and CMOS device fabrication {e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug). Other embodiments of processes teach the growth of silicon-containing layers that can be used as gate, base contact, collector contact, emitter contact, elevated source/drain and other uses.
[0057] The processes are extremely useful for depositing selective, epitaxial silicon-containing layers in MOSFET and bipolar transistors as depicted in Figures 3A-3C. Figures 3A-3B show the epitaxially grown silicon-containing compounds on a MOSFET device. The silicon-containing compound is deposited on the source/drain features of the device. The silicon-containing compound adheres and grows from the crystal lattice of the underlying layer and maintains this arrangement as the silicon-containing compound is grown to a desired thickness. Figure 3A demonstrates the silicon-containing compound deposited as a recessed source/drain layer, while Figure 3B shows silicon-containing compounds deposited as recessed source/drain layer and an elevated source/drain layer.
[0058] The source/drain region 312 is formed by ion implantation. The substrate 310 is doped n-type while the source/drain region 312 is doped p-type. Silicon- containing epitaxial layer 313 is selectively grown on the source/drain region 312 and/or directly on substrate 310. Silicon-containing epitaxial layer 314 is selectively grown on the silicon-containing layer 313 according to aspects herein. A gate oxide layer 318 bridges the segmented silicon-containing layer 313. Gate oxide layer 318 is composed of silicon dioxide, silicon oxynitride or hafnium oxide. Partially encompassing the gate oxide layer 318 is a spacer 316, which is usually an isolation material such as a nitride/oxide stack (e.g., Si3N4ZSiO2ZSi3N4). Gate layer 322 (e.g., polysilicon) may have a protective layer 319, such as silicon dioxide, along the perpendicular sides, as in Figure 3A. Alternately, gate layer 322 may have a spacer 316 and off-set layers 320 (e.g., Si3N4) disposed on either side.
[0059] In another example, Figure 3C depicts the deposited silicon-containing epitaxial layer 334 as a base layer of a bipolar transistor. Silicon-containing epitaxial layer 334 is selectively grown with the various embodiments of the invention. Silicon-containing epitaxial layer 334 is deposited on an n-type collector layer 332 previously deposited on substrate 330. The transistor further includes isolation layer 333 {e.g., Siθ2 or Si3N4), contact layer 336 (e.g., heavily doped poly-Si), off-set layer 338 {e.g., Si3N4), and a second isolation layer 340 (e.g., SiO2 or Si3N4).
[0060] In an alternative embodiment, Figure 4 illustrates an epitaxial process 400 that may be used to selectively deposit silicon-containing materials/layer. Epitaxial process 400 includes at least two deposition processes followed by an etching process. The first deposition process includes a deposition gas containing a silicon source while the second deposition process includes a deposition gas containing a secondary elemental source, such as germanium, carbon or a dopant (e.g., boron, arsenic, phosphorous, gallium or aluminum). Similar process parameters used in epitaxial process 100 are used in epitaxial process 400, such as temperatures, pressures, flow rates, carrier gases and precursors.
[0061] Epitaxial process 400 includes step 410 for loading a patterned substrate into the process chamber and adjusting the process chamber to a predetermined temperature. Step 420 provides a first deposition process to form an epitaxial layer on a monocrystalline surface while forming a polycrystalline layer on secondary surfaces, such as amorphous and/or polycrystalline surfaces. The epitaxial layer and the monocrystalline layer are formed from a deposition gas containing a silicon source. During step 430, the first deposition process is terminated. Step 440 provides a second deposition process to continue growing the epitaxial layer on a monocrystalline surface and continue forming the polycrystalline layer on the secondary surface. The epitaxial layer and the polycrystalline layer are further grown by exposing the substrate surface to a deposition gas containing a secondary elemental source. At step 450, the second deposition process is terminated. Step 460 provides an etching process to etch the exposed silicon-containing layers. The etching process either minimizes or completely removes the polycrystalline layer while removing only a marginal portion of the epitaxial layer as a result of the rate at which each material is removed. During step 470, the etching process is terminated. The thicknesses of the epitaxial layer and the polycrystalline layer, if any, are determined during step 480. If the predetermined thickness is achieved, then epitaxial process 400 is terminated at step 490. However, if the predetermined thickness of either layer is not achieved, then steps 420-480 are repeated as a cycle until the predetermined thicknesses are achieved.
[0062] Epitaxial process 400 starts at step 410 by adjusting the process chamber containing the patterned substrate to a predetermined temperature. The temperature and pressure is tailored to the particular process conducted. The process chamber is maintained at a consistent temperature throughout epitaxial process 400. However, some steps may be performed at varying temperatures. The process chamber is kept at a temperature in the range from about 5000C to about 6500C. The appropriate temperature to conduct epitaxial process 400 may depend on the particular precursors used to deposit and/or etch the silicon- containing materials during steps 420-480. The process chamber is usually maintained with a pressure from about 1 to about 100 Torr.
[0063] The first deposition process is conducted during step 420. The patterned substrate is exposed to a first deposition gas to form an epitaxial layer on the monocrystalline surface while forming a polycrystalline layer on the secondary surfaces. The substrate is exposed to the first deposition gas for a period of time of about 5 to about 25 seconds. The specific exposure time of the deposition process is determined in relation to the exposure time during the etching process in step 460, as well as particular precursors and temperature used in the process. The substrate is exposed to the first deposition gas long enough to form the maximized thickness of an epitaxial layer while forming the minimized thickness of a polycrystalline layer that may be easily etched away during subsequent step 460.
[0064] The first deposition gas contains at least a silicon source and a carrier gas. The first deposition gas may also contain a secondary elemental source and/or a dopant compound, but preferably, the secondary elemental source and the dopant compound are in the second deposition gas. Therefore, in one aspect, the first deposition gas may contain a silicon source, a secondary elemental source and a dopant source. In another aspect, the first deposition gas may contain a silicon source and a secondary elemental source. In yet another aspect, the first deposition gas may contain a silicon source and a dopant source. In an alternative embodiment, the first deposition gas may also include at least one etchant, such as hydrogen chloride or chlorine.
[0065] The silicon source is usually provided into the process chamber at a rate in the range from about 5 seem to about 500 seem, preferably from about 10 seem to about 300 seem, and more preferably from about 50 seem to about 200 seem, for example, about 100 seem. The preferred silicon sources include silane, dichlorosilane and disilane.
[0066] The silicon source is usually provided into the process chamber in a carrier gas. The carrier gas has a flow rate from about 60 to about 600 seem. Carrier gases may include nitrogen (ISI2), hydrogen (H2), argon, helium, HCI and combinations thereof. HCI is the preferred carrier gas because of its ability to function as an etchant or an inert under specific conditions.
[0067] During step 430, the first deposition process is terminated. In one example, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates. In another example, once the first deposition process has terminated, the second deposition process in step 440 is immediately started without purging and/or evacuating the process chamber.
[0068] The deposition gas used during step 440 contains a carrier gas and at least one secondary elemental source, such as a germanium source, a carbon source and/or a dopant compound. Alternatively, a silicon source may be included in the second deposition gas. The secondary elemental source is added to the process chamber with the carrier gas to continue the growth of the silicon-containing compounds deposited during step 420. The silicon-containing compounds may have varied compositions controlled by the specific secondary elemental source and the concentration of the secondary elemental source. A secondary elemental source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Germanium sources, carbon sources and dopant compounds are selected from the aforementioned precursors discussed above.
[0069] During step 450, the second deposition process is terminated. In one example, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminates. In another example, once the second deposition process has terminated, the etching process in step 460 is immediately started without purging and/or evacuating the process chamber.
[0070] The etching process in step 460 removes materials deposited during steps 420 and 440 from the substrate surface. The etching process removes both epitaxial or monocrystalline materials and amorphous and/or polycrystalline materials. Polycrystalline layers, if any, deposited on the substrate surface is removed at a faster rate than the epitaxial layers. The time duration of the etching process is balanced with the time duration of the two deposition processes. Therefore, the net result of the deposition processes in steps 420 and 440 and etching process in step 460 is to form selective and epitaxially grown silicon- containing material while minimizing, if any, growth of polycrystalline silicon- containing material. During step 460, the substrate is exposed to the etching gas for a period of time in a range from about 10 seconds to about 30 seconds.
[0071] The etching process is terminated during step 470. In one example, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess etching gas, reaction by-products and other contaminates. In another example, once the etching process has terminated, step 480 is immediately started without purging and/or evacuating the process chamber.
[0072] The thicknesses of epitaxial layer and the polycrystalline layer may be determined during step 480. If the predetermined thicknesses are achieved, then epitaxial process 400 is ended at step 490. However, if the predetermined thicknesses are not achieved, then steps 420-480 are repeated as a cycle until the desired thicknesses are achieved. The epitaxial layer is usually grown to have a thickness at a range from about 10 A to about 2,000 A, preferably from about 100 A to about 1 ,500 A, and more preferably from about 400 A to about 1 ,200 A, for example, about 800 A. The polycrystalline layer is usually deposited to have a thickness, if any, at a range from about an atomic layer to about 500 A. The desired or predetermined thickness of the epitaxial silicon-containing layer or the polycrystalline silicon-containing layer is specific to a particular fabrication process. In one example, the epitaxial layer may reach the predetermined thickness while the polycrystalline layer is too thick. The excess polycrystalline layer may be further etched by repeating steps 140-160 while omitting steps 460 and 470. Likewise, in other examples, steps 420, 440 and 460 may be individually omitted while proceeding through epitaxial process 400. By skipping steps 420, 440 and 460, the elemental concentration and the thicknesses of deposited silicon-containing materials may be controlled.
[0073] Embodiments of the invention teach processes to deposit silicon- containing compounds on a variety of substrates. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon {e.g., Si<100> and Si<111 >), silicon oxide, silicon germanium, doped or undoped wafers and patterned or non- patterned wafers. Substrates have a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD).
[0074] In one embodiment, silicon-containing compounds deposited by process described herein include a germanium concentration within the range from about 0 at% to about 95 at%. In another embodiment, a germanium concentration is within the range from about 1 at% to about 30 at%, preferably from about 15 at% to about 30 at%, for example, about 20 at%. Silicon-containing compounds also include a carbon concentration within the range from about 0 at% to about 5 at%. In other aspects, a carbon concentration is within the range from about 200 ppm to about 3 at%, preferably about 1.5 at%. [0075] The silicon-containing compound films of germanium and/or carbon are produced by various processes of the invention and can have consistent, sporadic or graded elemental concentrations. Graded silicon germanium films are disclosed in United States Patent No. 6,770,134 and United States Patent Application Serial No. 10/014,466, published as United States Patent Publication 20020174827, both assigned to Applied Materials, Inc., and are incorporated herein by reference in entirety for the purpose of describing methods of depositing graded silicon- containing compound films. In one example, a silicon source {e.g., SiH4) and a germanium source (e.g., GeH4) are used to selectively and epitaxially deposit silicon germanium containing films. In this example, the ratio of silicon source and germanium source can be varied in order to provide control of the elemental concentrations, such as silicon and germanium, while growing graded films. In another example, a silicon source and a carbon source (e.g., CH3SiH3) are used to selectively and epitaxially deposit silicon carbon containing films. The ratio of silicon source and carbon source can be varied in order to provide control of the elemental concentration while growing homogenous or graded films. In another example, a silicon source, a germanium source and a carbon source are used to selectively and epitaxially deposit silicon germanium carbon containing films. The ratios of silicon, germanium and carbon sources are independently varied in order to provide control of the elemental concentration while growing homogenous or graded films.
[0076] MOSFET devices formed by processes described herein may contain a PMOS component or a NMOS component. The PMOS component, with a p-type channel, has holes that are responsible for channel conduction, while the NMOS component, with a n-type channel, has electrons that are responsible channel conduction. Therefore, for example, a silicon-containing material such as SiGe may be deposited in a recessed area to form a PMOS component. In another example, a silicon-containing film such as SiC may be deposited in a recessed area to form a NMOS component. SiGe is used for PMOS application for several reasons. A SiGe material incorporates more boron than silicon alone, thus the junction resistivity may be lowered. Also, the SiGe/silicide layer interface at the substrate surface has a lower Schottky barrier than the Si/silicide interface. [0077] Further, SiGe grown epitaxially on the top of silicon has compressive stress inside the film because the lattice constant of SiGe is larger than that of silicon. The compressive stress is transferred in the lateral dimension to create compressive strain in the PMOS channel and to increase mobility of the holes. For NMOS application, SiC can be used in the recessed areas to create tensile stress in the channel, since the lattice constant of SiC is smaller than that of silicon. The tensile stress is transferred into the channel and increases the electron mobility. Therefore, in one embodiment, a first silicon-containing layer is formed with a first lattice strain value and a second silicon-containing layer is formed with a second lattice strain value. For example, a SiC layer with a thickness from about 50 A to about 200 A is deposited on the substrate surface and sequentially, a SiGe layer with a thickness from about 150 A to about 1 ,000 A is deposited on the SiC layer. The SiC layer may be epitaxially grown and has less strain than the SiGe layer epitaxially grown on the SiC layer.
[0078] In embodiments described herein, silicon-containing compound films are selectively and epitaxially deposited by chemical vapor deposition (CVD) processes. Chemical vapor deposition processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes. Chemical vapor deposition includes the use of many techniques, such as plasma-assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD)1 hot-wire (HWCVD), reduced-pressure CVD (RP-CVD), ultra-high vacuum CVD (UHV-CVD) and others. In one embodiment, the preferred process is to use thermal CVD to epitaxially grow or deposit the silicon-containing compound, whereas the silicon- containing compound includes silicon, SiGe, SiC, SiGeC, doped variants thereof and combinations thereof.
[0079] The processes of the invention can be carried out in equipment known in the art of ALE, CVD and ALD. The apparatus may contain multiple gas lines to maintain the deposition gas and the etching gas separated prior to entering the process chamber. Thereafter, the gases are brought into contact with a heated substrate on which the silicon-containing compound films are grown. Hardware that can be used to deposit silicon-containing films includes the Epi Centura® system and the Poly Gen® system available from Applied Materials, Inc., located in Santa Clara, California. An ALD apparatus is disclosed in United States Patent Serial No. 10/032,284, filed December 21 , 2001 , published as United States Patent Publication No. 20030079686, assigned to Applied Materials, Inc., and entitled, "Gas Delivery Apparatus and Methods for ALD," and is incorporated herein by reference in entirety for the purpose of describing the apparatus. Other apparatuses include batch, high- temperature furnaces, as known in the art.
[0080] The processes of the present invention can be performed by a computer readable program executable to perform the methods discussed above.
[0081] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method of epitaxially forming a silicon-containing material on a substrate surface, comprising: positioning into a process chamber a substrate comprising a monocrystalline surface and a second surface selected from the group consisting of an amorphous surface, a polycrystalline surface and combinations thereof; exposing the substrate to a deposition gas to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface, wherein the deposition gas comprises a silicon source and a carrier gas comprising a halogen containing compound; and exposing the substrate to an etching gas to etch the polycrystalline layer and the epitaxial layer.
2. The method as claimed in claim 1 , wherein said halogen containing compound comprises HCI, HBr, HI, or combinations thereof.
3. The method as claimed in claim 2, wherein said halogen containing compound comprises HCI.
4. The method as claimed in claim 1 , wherein the deposition gas additionally comprises Cl2, H2, N2, an inert gas, or combinations thereof.
5. The method as claimed in claim 1 , wherein said halogen containing compound flows into said chamber at a rate of about 60 seem to about 600 seem during said exposing said substrate to said deposition gas.
6. The method as claimed in claim 1 , wherein said etching gas comprises said halogen containing compound.
7. The method as claimed in claim 6, wherein said halogen containing compound flows into said chamber alternately between a rate of about 60 seem to about 600 seem during said exposing said substrate to said deposition gas and a rate of about 2,000 seem to about 20,000 seem during said exposing said substrate to said etching gas.
8. The method as claimed in claim 1 , wherein a chamber pressure during said exposing the substrate to an etching gas is about 30 Torr to about 100 Torr.
9. The method as claimed in claim 1 , wherein a deposition cycle comprises repeating the exposing the substrate to the deposition gas and etching gas to form a silicon-containing material with a predetermined thickness.
10. The method as claimed in claim 1 , wherein the deposition cycle is repeated at least twice.
11. The method as claimed in claim 1 , wherein the epitaxial layer comprises a material selected from the group consisting of silicon-germanium, silicon-carbon, silicon-germanium-carbon and combinations thereof.
12. The method as recited in claim 1 , wherein the process chamber temperature is about 500°C to about 6500C during the exposing the substrate to a deposition gas and the exposing the substrate to an etching gas.
13. A method of epitaxially forming a silicon-containing material on a substrate surface, comprising: positioning into a process chamber a substrate comprising a monocrystalline surface and a second surface selected from the group consisting of an amorphous surface, a polycrystalline surface and combinations thereof; exposing the substrate to a deposition gas to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface, wherein the deposition gas comprises a silicon source and a carrier gas; and exposing the substrate to a chlorinated hydrocarbon etching gas at a process chamber temperature below about 650°C to etch the polycrystalline layer and the epitaxial layer.
14. The method as claimed in claim 13, wherein said carrier gas comprises a halogen containing compound.
15. The method as claimed in claim 14, wherein said halogen containing compound comprises HCI, HBr, HI, or combinations thereof.
16. The method as claimed in claim 15, wherein said halogen containing gas comprises HCI.
17. The method as claimed in claim 13, wherein said chlorinated hydrocarbon comprises methyl chloride, dichloromethane, chloroform, carbon tetrachloride, ethyl chloride, a chloroalkene, or combinations thereof.
18. The method as claimed in claim 13, wherein said chlorinated hydrocarbon is flowed to said chamber simultaneously with said deposition gas.
19. The method as claimed in claim 13, wherein said chlorinated hydrocarbon is flowed to said chamber alternately with said deposition gas.
20. The method as claimed in claim 13, wherein said exposing said substrate to said etching gas occurs at a process chamber pressure of about 50 Torr or less.
21. The method as claimed in claim 13, wherein a deposition cycle comprises repeating the exposing the substrate to the deposition gas and etching gas to form a silicon-containing material with a predetermined thickness.
22. The method as claimed in claim 21 , wherein the deposition cycle is repeated at least twice.
23. The method as claimed in claim 13, wherein the epitaxial layer comprises a material selected from the group consisting of silicon-germanium, silicon-carbon, silicon-germanium-carbon and combinations thereof.
24. A method of epitaxially forming a silicon-containing material on a substrate surface, comprising: exposing the substrate to a deposition gas, wherein the deposition gas comprises a silicon source and HCI as a carrier gas; and etching using HCI as an etching gas.
25. The method as claimed in claim 24, wherein the deposition gas additionally comprises Cl2, H2, N2, an inert gas, or combinations thereof.
26. The method as claimed in claim 24, wherein said HCI flows into said chamber at a rate of about 60 seem to about 600 seem during said exposing said substrate to said deposition gas.
27. The method as claimed in claim 24, wherein said HCI flows into said chamber at a rate of about 2,000 seem to about 20,000 seem during said etching.
28. The method as claimed in claim 24, wherein said HCI flows into said chamber alternately between a rate of about 60 seem to about 600 seem during said exposing said substrate to said deposition gas and a rate of about 2,000 seem to about 20,000 seem during said etching.
29. The method as recited in claim 24, wherein the process chamber temperature is about 5000C to about 6500C during the exposing the substrate to a deposition gas and the etching.
30. A method of epitaxially forming a silicon-containing material on a substrate positioned in a process chamber, the substrate including a monocrystalline surface and a second surface selected from the group consisting of an amorphous surface, a polycrystalline surface and combinations thereof, said method comprising: controlling a flow of halogen containing gas into the process chamber to a first flow rate to deposit an epitaxial layer on the monocrystalline surface and a polycrystalline layer on the second surface; and controlling a flow rate of halogen containing gas into the process chamber to a second flow rate to etch the polycrystalline layer and the epitaxial layer.
31. The method as claimed in claim 30, wherein the halogen containing gas at the first flow rate and the halogen containing gas at the second flow rate are the same.
32. The method as claimed in claim 31 , wherein said halogen containing gas comprises HCI.
33. The method as claimed in claim 32, wherein said halogen containing gas flows into said chamber at a rate of about 60 seem to about 600 seem for said first flow rate.
34. The method as claimed in claim 32, wherein said halogen containing compound flows into said chamber at a rate of about 2,000 seem to about 20,000 seem for said second flow rate.
35. The method as recited in claim 30, wherein the process chamber temperature is about 5000C to about 6500C during said method.
36. A computer readable medium having stored therein program instructions for carrying out the method as claimed in claim 30.
PCT/US2007/064038 2006-03-17 2007-03-15 Selective deposition WO2007109491A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2007800091259A CN101401202B (en) 2006-03-17 2007-03-15 Selective deposition
JP2009500603A JP2009533546A (en) 2006-03-17 2007-03-15 Selective deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/378,101 US7560352B2 (en) 2004-12-01 2006-03-17 Selective deposition
US11/378,101 2006-03-17

Publications (2)

Publication Number Publication Date
WO2007109491A2 true WO2007109491A2 (en) 2007-09-27
WO2007109491A3 WO2007109491A3 (en) 2007-12-13

Family

ID=38523167

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/064038 WO2007109491A2 (en) 2006-03-17 2007-03-15 Selective deposition

Country Status (6)

Country Link
US (1) US7560352B2 (en)
JP (1) JP2009533546A (en)
KR (1) KR101037524B1 (en)
CN (1) CN101401202B (en)
TW (1) TWI419204B (en)
WO (1) WO2007109491A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009111368A (en) * 2007-10-05 2009-05-21 Applied Materials Inc Selective formation of silicon carbon epitaxial layer
JP2009164281A (en) * 2007-12-28 2009-07-23 Fujitsu Microelectronics Ltd Manufacturing method of semiconductor device

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866534B2 (en) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド Improved deposition method for semiconductor films.
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
JP2009521801A (en) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド Epitaxial deposition of doped semiconductor materials.
TW200805458A (en) * 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
JP2007281038A (en) * 2006-04-03 2007-10-25 Toshiba Corp Semiconductor device
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7560350B2 (en) * 2006-04-17 2009-07-14 United Microelectronics Corp. Method for forming strained semiconductor device and method for forming source/drain region
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
CN103981568A (en) * 2006-07-31 2014-08-13 应用材料公司 Methods of forming carbon-containing silicon epitaxial layers
KR101369355B1 (en) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 Methods of controlling morphology during epitaxial layer formation
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
KR100764058B1 (en) * 2006-09-20 2007-10-09 삼성전자주식회사 Semiconductor device including a field effect transistor and method of forming the same
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US20090269926A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Polygrain engineering by adding impurities in the gas phase during chemical vapor deposition of polysilicon
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102117741B (en) * 2010-01-06 2013-03-13 上海华虹Nec电子有限公司 Method for improving morphology of interface of germanium-silicon or germanium-silicon-carbon single crystals and polycrystals
WO2012029661A1 (en) 2010-09-01 2012-03-08 株式会社日立国際電気 Method for manufacturing semiconductor device and substrate treatment device
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
CN102569082B (en) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 Method for manufacturing embedded germanium-silicon strain PMOS (P-channel Metal Oxide Semiconductor) structure
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8664069B2 (en) * 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN103832965B (en) * 2012-11-23 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate etching method
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP5864637B2 (en) 2013-03-19 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
JP6068661B2 (en) * 2013-09-30 2017-01-25 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, substrate processing system, and program
CN103556219B (en) * 2013-10-31 2016-04-20 国家电网公司 A kind of Device for epitaxial growth of silicon carbide
CN105993064B (en) * 2013-12-27 2019-12-03 英特尔公司 Selective etch for all around gate framework
US9202812B2 (en) * 2014-03-21 2015-12-01 International Business Machines Corporation Abrupt source/drain junction formation using a diffusion facilitation layer
JP6271356B2 (en) * 2014-07-07 2018-01-31 株式会社東芝 Manufacturing method of semiconductor device
JP6269854B2 (en) * 2014-10-31 2018-01-31 富士電機株式会社 Method for growing silicon carbide epitaxial film
CN105609406B (en) * 2014-11-19 2018-09-28 株式会社日立国际电气 The manufacturing method of semiconductor devices, substrate processing device, gas supply system
KR102427152B1 (en) 2016-12-12 2022-07-28 어플라이드 머티어리얼스, 인코포레이티드 Method of Forming Conformal Epitaxial Semiconductor Cladding Material Over Fin Field Effect Transistor (FINFET) Devices
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20060046442A1 (en) * 2004-09-01 2006-03-02 Nirmal Ramaswamy Method of forming epitaxial silicon-comprising material and a method of forming a vertical transistor

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US590680A (en) * 1897-09-28 gillespie
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2880322B2 (en) 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JPH0992621A (en) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd Method for selective growth of semiconductor thin film
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
JP2002505532A (en) 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド Silicon deposition method with high step coverage
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
JP2000007337A (en) 1998-06-12 2000-01-11 Gerest Inc Tantalum thin film and thin film consisting mainly of tantalum and their production
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (en) * 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP5290488B2 (en) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ Vapor growth of oxides, silicates and phosphates
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6812101B2 (en) * 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
AU2002305733A1 (en) 2001-05-30 2002-12-09 Asm America, Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100430404B1 (en) * 2001-06-02 2004-05-04 삼성전자주식회사 Method Of Forming Singlecrystalline Silicon Pattern Utilizing Structural Selective Epitaxial Growth Technique and Selective Silicon Etching Technique
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) * 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
WO2005046013A1 (en) * 2003-10-31 2005-05-19 Bookham Technology Plc Method for manufacturing gratings in semiconductor materials that readily oxidise
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20060046442A1 (en) * 2004-09-01 2006-03-02 Nirmal Ramaswamy Method of forming epitaxial silicon-comprising material and a method of forming a vertical transistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009111368A (en) * 2007-10-05 2009-05-21 Applied Materials Inc Selective formation of silicon carbon epitaxial layer
DE102008050511B4 (en) * 2007-10-05 2017-02-16 Applied Materials, Inc. Selective formation of a silicon-carbon epitaxial layer
JP2009164281A (en) * 2007-12-28 2009-07-23 Fujitsu Microelectronics Ltd Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
JP2009533546A (en) 2009-09-17
US7560352B2 (en) 2009-07-14
CN101401202B (en) 2011-09-28
KR20080112298A (en) 2008-12-24
TWI419204B (en) 2013-12-11
TW200802547A (en) 2008-01-01
US20060166414A1 (en) 2006-07-27
WO2007109491A3 (en) 2007-12-13
CN101401202A (en) 2009-04-01
KR101037524B1 (en) 2011-05-26

Similar Documents

Publication Publication Date Title
US7560352B2 (en) Selective deposition
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7682940B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
US7132338B2 (en) Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) Methods of selective deposition of heavily doped epitaxial SiGe
US9064960B2 (en) Selective epitaxy process control

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07758579

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009500603

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200780009125.9

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020087025093

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07758579

Country of ref document: EP

Kind code of ref document: A2