WO2007038225A2 - A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology - Google Patents

A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology Download PDF

Info

Publication number
WO2007038225A2
WO2007038225A2 PCT/US2006/036894 US2006036894W WO2007038225A2 WO 2007038225 A2 WO2007038225 A2 WO 2007038225A2 US 2006036894 W US2006036894 W US 2006036894W WO 2007038225 A2 WO2007038225 A2 WO 2007038225A2
Authority
WO
WIPO (PCT)
Prior art keywords
integrated circuit
memory
die
memory module
buffer
Prior art date
Application number
PCT/US2006/036894
Other languages
French (fr)
Other versions
WO2007038225A3 (en
Inventor
Ely Tsern
Original Assignee
Rambus Incorporated
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rambus Incorporated filed Critical Rambus Incorporated
Priority to JP2008532397A priority Critical patent/JP2009510562A/en
Priority to EP06815141A priority patent/EP1929479A2/en
Publication of WO2007038225A2 publication Critical patent/WO2007038225A2/en
Publication of WO2007038225A3 publication Critical patent/WO2007038225A3/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4093Input/output [I/O] data interface arrangements, e.g. data buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C2029/4402Internal storage of test result, quality data, chip identification, repair information
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48095Kinked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15182Fan-in arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA

Definitions

  • the present invention generally relates to integrated circuit devices, high speed signaling of such devices, memory devices, and memory systems.
  • DRAM dynamic random access memory
  • Figure 1 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices;
  • Figure 2 illustrates a memory module topology having a split multi-drop control/address bus
  • Figure 3 illustrates a memory module topology having a single multi-drop control/address bus
  • Figure 4 illustrates a memory module topology that provides data between each integrated circuit buffer device and a memory module connector interface
  • Figure 5 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices with an integrated circuit buffer device for control and address information
  • Figure 6 illustrates termination of a control/address signal path in a memory module topology of Figure 5;
  • Figure 7 illustrates termination of data signal paths in a memory module topology of Figure 5;
  • Figure 8 illustrates termination of a split control/address signal path in a memory module topology of Figure 5
  • Figure 9A illustrates a top view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices
  • Figure 9B illustrates a side view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices
  • Figure 9C illustrates a bottom view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices
  • Figure 10 is a block diagram illustrating a topology of a device having a plurality of integrated circuit memory dies and an integrated circuit buffer die;
  • FIG 11 illustrates a multi-chip package (“MCP”) device having a plurality of integrated circuit memory dies and an integrated circuit buffer die
  • Figure 12 illustrates a packaged device having a plurality of integrated circuit memory dies and another packaged device having a buffer die; both packages are stacked and housed together in a single package-on-package (“POP”) device;
  • MCP multi-chip package
  • POP package-on-package
  • Figure 13 illustrates a device having a plurality of integrated circuit memory devices and a buffer device that are disposed on a flexible tape;
  • Figure 14 illustrates a device having a plurality of integrated circuit memory dies and a buffer die that are disposed side-by-side and housed in a package
  • Figure 15 illustrates a device having a plurality of integrated circuit memory dies and a buffer die that are housed in separate packages and integrated together into a larger POP device
  • Figure 16 illustrates a memory module topology including a serial presence detect device ("SPD");
  • Figure 17 illustrates a memory module topology with each data slice having an SPD;
  • SPD serial presence detect device
  • Figure 18 is a block diagram of an integrated circuit buffer die
  • Figure 19 is a block diagram of a memory device. DETAlLED DESCRIPTION
  • a memory module includes a plurality of signal paths that provide data to a memory module connector from a plurality of respective integrated circuit buffer devices (or dies) that access the data from an associated plurality of integrated circuit memory devices (or dies).
  • each integrated circuit buffer device is also coupled to a bussed signal path that provides control and/or address information that specifies an access to at least one integrated circuit memory device associated with the respective integrated circuit buffer device.
  • a memory module connector includes a control/address interface portion and a data interface portion.
  • a control/address bus couples a plurality of integrated circuit buffer devices to the control/address interface portion.
  • a plurality of data signal paths couple the plurality of respective integrated circuit buffer devices to the data interface portion.
  • Each integrated circuit buffer device includes 1) an interface to couple to at least one integrated circuit memory device, 2) an interface to couple to the control/address bus and 3) an interface to couple to a data signal path in the plurality of data signal paths.
  • a memory module may include a nonvolatile memory location, for example using an electrically erasable programmable read only memory (“EEPROM”) (also known as a Serial Presence Detect (“SPD”) device), to store information regarding parameters and configuration of the memory module.
  • EEPROM electrically erasable programmable read only memory
  • SPD Serial Presence Detect
  • at least one integrated circuit buffer device accesses information stored in the SPD device.
  • a package houses an integrated circuit buffer die and the plurality of integrated circuit memory dies.
  • a plurality of signal paths transfer data (read and/or write data) between the integrated circuit buffer die and the plurality of integrated circuit memory dies.
  • the integrated circuit buffer die provides control signals from an interface of the package to the plurality of integrated circuit memory dies. Data stored in memory arrays of the plurality of integrated circuit memory dies is provided to a signal path disposed on the memory module via the integrated circuit buffer die in response to the control signals.
  • the package may be a multichip package ("MCP").
  • the plurality of integrated circuit memory dies may be housed in common or separate packages.
  • the memory module may include a series of integrated circuit dies (i.e., memory die and buffer die) stacked on top of one another and coupled via a signal path.
  • an integrated circuit buffer device is also referred to as a buffer or buffer device.
  • an integrated circuit memory device is also referred to as a memory device.
  • an integrated circuit memory device is distinguished from a memory die in that a memory die is a monolithic integrated circuit formed from semiconductor materials for storing and/or retrieving data or other memory functions, whereas an integrated circuit memory device is a memory die having at least some form of packaging or interface that allows the memory die to be accessed.
  • an integrated circuit buffer device is distinguished from a buffer die in that a buffer die is a monolithic integrated circuit formed from semiconductor materials and performs at least one or more buffer functions described herein, whereas an integrated circuit buffer device is a buffer die having at least some form of packaging or interface that allows communication with the buffer die.
  • Figs. 1-8 illustrate control/address and data signal path topologies including a plurality of integrated circuit memory devices (or dies) and a plurality of integrat ⁇ d circuit buffer devices (or dies) situated on a memory module.
  • Figs. 10, 18, and 19 also illustrate signal path topologies including integrated circuit memory devices (or dies) and integrated circuit buffer devices (or dies) situated on a memory module as well as the operation of an integrated circuit buffer device (or die) and memory device (or die) in embodiments among other things.
  • Fig. 1 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of associated integrated circuit buffer devices.
  • a memory module 100 includes a plurality of buffer devices 100a-d coupled to a common address/control signal path 121. Each buffer device of the plurality of buffer devices 100a-d provides access to a plurality of respective integrated circuit memory devices 101a-d via signal paths 102a-d and 103.
  • respective data slices a-d are formed by one of buffers 100a-d and sets of memory devices 101 a-d.
  • Buffer devices 100a-d are coupled to signal paths 120a-d, respectively, that transfer data (read and write data) between the buffer devices 100a-d and a memory module connector interface.
  • mask information is transferred to buffer devices 100a-d from a memory module connector interface using signal paths 120a-d, respectively.
  • a data slice is a portion of the memory module data signal path (or bus) that is coupled to the respective integrated circuit buffer device.
  • the data slice may include the full data path or portions of data paths to and from a single memory device disposed on the memory module.
  • Integrated circuit memory devices may be considered as a common class of integrated circuit devices that have a plurality of storage cells, collectively referred to as a memory array.
  • a memory device stores data (which may be retrieved) associated with a particular address provided, for example, as part of a write or read command.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • flash memory examples include request or command decode and array access logic that, among other functions, decodes request and address information, and controls memory transfers between a memory array and signal path.
  • a memory device may include a transmitter circuit to output data for example, synchronously with respect to rising and falling edges of a clock signal, (e.g., in a double data rate type of memory device).
  • the memory device may include a receiver circuit to receive data, for example, synchronously with respect to rising and falling edges of a clock signal or outputs data with a temporal relationship to a clock signal in an embodiment.
  • a receiver circuit also may be included to receive control information synchronously with respect to rising and falling edges of a clock signal.
  • strobe signals may accompany the data propagating to or from a memory device and that data may be captured by a device (e.g., memory device or buffer, or controller) using the strobe signal.
  • an integrated circuit buffer device is an integrated circuit that acts as an interface between a memory module connector interface and at least one integrated circuit memory device.
  • the buffer device may store and/or route data, control information, address information and/or a clock signal to at least one integrated circuit memory device that may be housed in a common or separate package.
  • the buffer isolates, routes and/or translates data, control information and a clock signal, singly or in combination, between a plurality of memory devices and a memory module connector interface.
  • An embodiment of a memory module connector interface is described below and shown in Figs. 9A-C. At least one signal path 121, as shown in Fig.
  • signal path 121 is a multi-drop bus.
  • alternate topologies for transferring control/address information, data and clock signals between one or more buffer devices 100a-d and a memory module connector interface may be used in alternate embodiments.
  • a split multi-drop control/address bus, segmented multi-drop control/address bus, and point-to-point and/or daisy chain topologies for a data bus may be employed.
  • clock signals and/or clock information may be transferred on at least one signal line in signal path 121. These clock signal(s) provide one or more clock signals having a known frequency and/or phase.
  • a clock signal is synchronized with or travels along side the control/address information.
  • an edge of the clock signal has a temporal relationship with an edge of a control/address signal representing the control/address information.
  • a clock signal is generated by a clock source, master device (e.g., controller device) and/or buffer device.
  • a clock signal and/or clock information may be transferred on at least one signal line in respective signal paths 120a-d.
  • Buffer devices 100a-d may receive and/or transmit a clock signal with data on signal paths 120a-b.
  • write data is provided to buffer devices 100a-d on signal paths 120a-d and a clock signal is provided on signal path 120a-d along side write data.
  • a clock signal (such as a clock-to-master ("CTM")) is provided from buffer devices 100a-d on signal path 120a-d along side read data on signal paths 120a-d.
  • a clock signal is synchronized with or travels along side the write and/or read data.
  • An edge of the clock signal has a temporal relationship or is aligned with an edge of a data signal representing write and/or read data.
  • Clock information can be embedded in data, eliminating the use of separate clock signals along with the data signals.
  • a read, write and/or bidirectional strobe signal may be transferred on at least one signal line in respective signal paths 120a-d.
  • Buffer devices 100a-d may receive and/or transmit a strobe signal with data on signal paths 120a-b.
  • write data is provided to buffer devices 100a-d on signal paths 120a-d and a strobe signal is provided on signal path 120a-d along side write data.
  • a strobe signal is provided from buffer devices 100a-d on signal path 120a-d along side read data on signal paths 120a-d.
  • a strobe signal is synchronized with or travels along side the write and/or read data.
  • An edge of the strobe signal has a temporal relationship or is aligned with an edge of a data signal representing write and/or read data.
  • addresses for example, row and/or column addresses
  • a command relates to a memory operation of a particular integrated circuit memory device.
  • a command may include a write command to store write data at a particular memory location in a particular integrated circuit memory device and/or a read command for retrieving read data stored at a particular memory location from a particular integrated circuit memory device.
  • a command may include row commands, column commands such as read or write, mask information, precharge and/or sense command.
  • control information is transferred on signal path 121 over a common set of lines in the form of a time multiplexed packet where particular fields in the packet are used for including command operation codes and/or addresses.
  • packets of read data may be transferred from integrated circuit memory devices via buffers 100a-d on respective signal paths 120a-d to memory module connector interface.
  • a packet represents one or more signals asserted at particular bit windows (or a time interval) for asserting a signal on particular signal lines.
  • memory module 100 communicates (via a memory module connector interface) with a master device (e.g., a processor or controller).
  • Fig. 2 illustrates an embodiment of a memory module topology having a split multi-drop control/address/clock bus.
  • memory module 200 includes a split multi-drop control/address bus 221 coupled to buffers 100a-d and a memory module connector interface.
  • a first portion of bus 221 is terminated by termination 230 and a second portion of bus 221 is terminated by termination 231.
  • the impedance of termination 230 matches the impedance of the first portion of bus 221 (ZO) coupled to buffers 100c-d and the impedance of termination 231 matches the impedance of the second portion of bus 221 (Z1) coupled to buffers 100a-b.
  • impedance ZO equals impedance Zl
  • terminations 230 and 231 singly or in combination, are disposed on memory module 100, buffer devices 100a and 100d or packages used to house buffer devices 100a and 100d.
  • Fig. 3 illustrates a memory module topology having a single multidrop control/address/clock bus terminated by termination 330.
  • the impedance of termination 330 matches the impedance of signal path 121 (or control/address/clock bus).
  • termination 330 singly or in combination, is disposed on memory module 300 or on buffer device 10Od.
  • Fig. 4 illustrates a memory module topology that provides data between each integrated circuit buffer device and a memory module connector interface.
  • each signal path 120a-d is terminated by an associated termination 420a-d, respectively.
  • terminations 420a-d have respective impedances that match the impedance ZO of each of the signal paths 120a-d.
  • terminations 420a-d singly or in combination, are disposed on memory module 400, each of buffer devices 100a-d or packages used to house buffer devices 100a-d.
  • a control/address signal rate ratio of signal path 121 to signal path 103 may be 2:1 (or other multiples such as 4:1 , 8:1 , etc.) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (quarter, eighth, etc) the control/address signaling rate so that relatively lower cost memory devices may be used.
  • a data signal rate of one of signal paths 102a-d to one of signal paths 120a-d may be 2:1 (or other multiple such as 4:1 , 8:1 , etc) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (quarter, eighth, etc.) the data signaling rate so that relatively lower cost memory devices may be used.
  • Fig. 5 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices with an integrated circuit buffer device 501 for control, address and/or clock information.
  • Memory module 500 is similar to memory module 100 except that buffer device 501 is coupled to signal paths 121 and 121a-b.
  • Buffer device 501 outputs control, address and/or clock information to buffer devices 100a-b on signal path 121a and to buffer devices 100c-d on signal path 121b.
  • buffer device 501 copies control, address and/or clock information received on signal path 121 and repeats the control, address and/or clock information on signal paths 121a-b.
  • buffer device 501 is a clocked buffer device that provides a temporal relationship with control and address information provided on signal paths 121a-b.
  • signal paths 121a-b include at least one signal line to provide a clock signal and/or clock information.
  • buffer device 501 includes a clock circuit 1870 as shown in Fig. 18.
  • buffer device 501 receives control information, such as a packet request, that specifies an access to at least one of the integrated circuit memory devices 101a-d and outputs a corresponding control signal (on signal path 121a and/or 121b) to the specified integrated circuit memory device.
  • Fig. 6 illustrates a memory module topology similar to that illustrated in Fig. 5 except that a termination 601 is coupled to signal path 121 on memory module 600.
  • the impedance of termination 601 matches the impedance ZO of signal path 121.
  • termination 601 is disposed on memory module 600, buffer device 501 or a package used to house buffer device 501.
  • Fig. 7 illustrates a memory module topology that provides data to and/or from each integrated circuit buffer device and terminations coupled to signal paths.
  • each signal path 120a-d is terminated by associated terminations 701 a-d, respectively.
  • terminations 701 a-d have respective impedances that match the impedance ZO of each of the signal paths 120a-d. In embodiments, terminations 701 a-d, singly or in combination, are disposed on memory module 700, buffer devices 100a-d or packages used to house buffer devices 100a-d.
  • Fig. 8 illustrates a memory module topology having a split multi- drop signal path between a buffer device for control, address and/or clock information and the plurality of buffer devices.
  • memory module 800 includes a split multi-drop control/address bus 121a-b coupled to buffers 100a-d and a buffer device 501.
  • a first portion of bus 121a is terminated by termination 801 and a second portion of bus 121b is terminated by termination 802.
  • the impedance of termination 801 matches the impedance of the first leg (ZO) and the impedance of termination 802 matches the impedance of the second leg (Z1).
  • impedance ZO equals impedance Zl
  • terminations 801 and 802, singly or in combination are disposed on memory module 800, buffer devices 100a and 100d or packages used to house buffer devices 100a and 100d.
  • a control/address signal rate ratio of signal path 121 to signal path 121a (or 121b) to signal path 103 may be 2:1:1 (or other multiples such as 4:1 :1, 8:1 :1, etc.) so that other multi-drop bus topology embodiments using signal paths 121a (or 121b) and signal path 103 do not have to necessarily operate as high a signal rate as an embodiment that uses signal path 121 as shown in Fig. 1. Also like Fig.
  • a control/address signal rate ratio of signal path 121 to signal path 103 may be 2:1 (or other multiples such as 4:1, 8:1 , etc.) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (or quarter, eighth, etc.) the control/address signaling rate so that relatively lower cost memory devices may be used.
  • a data signal rate of one of signal paths 102a-d to one of signal paths 120a-d may be 2:1 (or other multiple such as 4:1, 8:1 , etc.) so that a memory module connector interface is able to operate as fast as the specified signaling rate while memory devices 101a-d may operate at half (or quarter, eighth, etc.) the data signaling rate so that relatively lower cost memory devices may be used.
  • Fig. 9A illustrates a top view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices coupled to a connector interface.
  • memory module 900 includes a substrate 910 having a standard dual in-line memory module (“DIMM”) form factor or other module form factor standards, such as small outline DIMM (“SO-DIMM”) and very low profile DIMM (“VLP-DIMM”).
  • substrate 910 may be, but is not limited to, a wafer, printed circuit board (“PCB”), package substrate like BT ep ⁇ xy, flex, motherboard, daughterboard or backplane, singly or in combination.
  • PCB printed circuit board
  • memory module 900 includes pairs of memory devices 101a-b and buffer devices 100a-d disposed on a first side of substrate 910. In alternate embodiments, more or less memory devices and buffer devices are used. In an embodiment, pairs of memory devices 101c-d are also disposed on a second side of memory module 900 as shown in a side and bottom view of memory module 900 in Figs. 9B and 9C. In an embodiment, each memory device and buffer device are housed in separate packages. In alternate embodiments, memory devices and buffer devices may be housed in MCP package embodiments described herein.
  • Memory module 900 includes connector interface 920 that has different interface portions for transferring data and control/address/clock signals.
  • a first side of memory module 900 includes connector interface portions 920a-d used to transfer data signals and a connector interface portion 930a used to transfer control/address signals.
  • connector interface portion 930a also transfers a clock signal and/or clock information.
  • a second side of memory module 9QO including connector interface portions 920e-h are used to transfer data signals and a connector interface portion 930b is used to transfer control/address signals.
  • connector interface portion 930b also transfers a clock signal and/or clock information.
  • connector interface 920 is disposed on an edge of substrate 910.
  • a memory module 900 is inserted into a socket 940 disposed on substrate 950.
  • substrate 950 is a main board or PCB with signal paths 960a-b for transferring signals on substrate 950.
  • signal paths 960a and 960b are signal traces or wires.
  • signal paths 960a and 960b are coupled to other sockets disposed on substrate 950 that may have another memory module inserted and/or coupled to a master.
  • connector interface portions include at least one contact or conducting element, such as a metal surface, for inputting and/or outputting an electrical signal.
  • a contact may be in the form of a ball, socket, surface, signal trace, wire, a positively or negatively doped semiconductor region and/or pin, singly or in combination.
  • a connector interface as described herein, such as connector interface 920 is not limited to physically separable interfaces where a male connector or interface engages a female connector (or socket 940) or interface.
  • a connector interface also includes any type of physical interface or connection, such as an interface used in a system-in-a-package ("SIP") where leads, solder balls or connections from a memory module are soldered to a circuit board.
  • memory module 900 is included in an embedded memory subsystem, such as one in a computer graphics card, video game console or a printer. In an alternate embodiment, memory module 900 is situated in a personal computer or server:
  • a master communicates with memory modules illustrated in Figs. 1-9 and 16-17.
  • a master may transmit and/or receive signals to and from the memory modules illustrated in Figs. 1-9 and 16- 17.
  • a master may be a memory controller, peer device or slave device.
  • a master is a memory controller, which may be an integrated circuit device that contains other interfaces or functionality, for example, a Northbridge chip of a chipset.
  • a master may be integrated on a microprocessor or a graphics processor unit (“GPU”) or visual processor unit (“VPU”).
  • a master may be implemented as a field programmable gate array (“FPGA").
  • Memory modules, signal paths, and a master may be included in various systems or subsystems such as personal computers, graphics cards, set-top boxes, cable modems, cell phones, game consoles, digital television sets (for example, high definition television (“HDTV”)), fax machines, cable modems, digital versatile disc (“DVD”) players or network routers.
  • HDTV high definition television
  • DVD digital versatile disc
  • a master, memory modules and signal paths are in one or more integrated monolithic circuits disposed in a common package or separate packages.
  • Fig. 10 is a block diagram illustrating and embodiment of a device 1000 having a plurality of integrated circuit memory devices 101a-d and a buffer 100a.
  • data read and/or write
  • Signal path 1006 is a signal path situated internal to device 1000 and corresponds to signal paths 1113a-d and 1114 shown in Fig. 11.
  • Signal path 1006 is a bus for providing bidirectional data signals between a plurality of integrated circuit memory devices 101a-d and buffer 100a.
  • An example of bidirectional data signals includes signals traveling from one or more of integrated circuit memory devices 101a-d to buffer 100a and also signals traveling from buffer 100a to one or more of integrated circuit memory devices 101a-d).
  • Signal path 1005 is a signal path internal to device 1000 and corresponds to signal paths 1116a-d and 1117 shown in Fig. 11.
  • Signal path 1005 is a bus for providing unidirectional control/address/clock signals from a buffer 100a to a plurality of integrated circuit memory devices 101a-d. In an example of a unidirectional bus, signals travel in only one direction, i.e., in this case, from only buffer 100a to one or more of integrated circuit memory devices 101a-d).
  • Signal path 1005 includes individual control signal lines, for example, a row address strobe line, column address strobe line, etc., and address signal lines. Signal path 1005 may include a fly- by clock line to transfer a clock signal from buffer 100a to integrated circuit memory devices 101a-d. Signal path 1005 may transfer a clock signal from one or more integrated circuit memory devices 101a-d to buffer 100a.
  • buffer 100a communicates with an SPD device to store and retrieve parameters and configuration information regarding device 1000 and/or memory module 900.
  • an SPD 1002 is a non-volatile storage device.
  • Signal path 1004 couples SPD 1002 to buffer 100a.
  • signal path 1004 is an internal signal path for providing bidirectional signals between SPD 1002 and buffer 100a.
  • SPD 1002 is an EEPROM device.
  • other types of SPD 1002 are possible, including but not limited to a manual jumper or switch settings, such as pull-up or pull-down resistor networks tied to a particular logic level (high or low), which may change state when a memory module is added or removed from a system.
  • SPD 1002 is a memory device that includes registers that stores configuration information that can be easily changed via software during system operation, allowing a high degree of flexibility, and making configuration operations that are transparent to an end user.
  • functionality of the SPD mentioned above may be integrated into buffer device 100a using a register set, such as configuration register set 1881.
  • SPD logic and interface 1820c may be preconfigured with information pertaining to the buffer and memory devices connected to the buffer, or may store information pertaining to only one of the memory devices or the buffer device 100a. Control inputs to the buffer may determine when a storage node within the register set will sample the information to preload or preconfigure the SPD logic and interface 1820c.
  • the term register may apply either to a single-bit-wide register or multi-bit-wide register.
  • SPD 1002 stores information relating to configuration information of memory module 900.
  • configuration information may include repair and redundancy information to repair a defective memory device, defective memory cells or peripheral circuits on a memory device, and/or signal path.
  • SPD configuration information includes memory module population topology, such as a number, a position and a type of memory device in a package and/or on a memory module, or rank, if any.
  • SPD configuration information includes a serialization ratio for interfaces in a buffer and/or information regarding configuring the width of a buffer.
  • SPD configuration information includes a first value that represents the desired width of buffer device 100a or includes multiple values that represent the range of possible widths of the buffer device 100a, and a second value that represents the desired width of interface 1820b as illustrated in Fig. 18.
  • SPD configuration information includes timing information or parameters for accessing memory devices, such as a time to access a row or the memory device, a time to access a column of the memory device, a time between a row access and a column access, a time between a row access and a precharge operation, a time between a row sense applied to a first bank of a memory array and a row sense applied to a second bank of the memory array and/or a time between a precharge operation applied to a first bank in a memory array and a precharge operation applied to a second bank of the memory array.
  • the stored timing information may be expressed in terms of time units where a table of values maps specific time units to specific binary codes.
  • a master or a buffer may read SPD configuration information and determine the proper timing information for one or more memory devices. For example, a master may also read information representing the clock frequency of a clock signal from an SPD 1002, and divide the retrieved timing information by a clock period of a clock signal. (The clock period of the clock signal is the reciprocal of the clock frequency of the clock signal). Any remainder resulting from this division may be rounded up to the next whole number of clock cycles of the clock signal.
  • Signal paths 120a and 121 are coupled to buffer 100a.
  • signal path 120a transfers unidirectional control/address/clock signals to buffer 100a.
  • signal path 121 transfers bidirectional or unidirectional data signals to and from buffer 100a.
  • Other interconnect and external connect topologies may also be used for device 1000 in alternate embodiments.
  • buffer 100a may be coupled to a single multi-drop control bus, a split multi-drop control bus, or a segmented multi-drop bus.
  • device 1000 has two separate power sources.
  • Power source V1 supplies power to one or more memory devices (memory devices 101a-d) on memory module 900.
  • Power source V2 supplies power to one or more buffers (buffer 100a) on memory module 900.
  • the buffer 100a has internal power regulation circuits to supply power to the memory devices 101a-d.
  • Fig. 11 illustrates a device 1100 including a plurality of integrated circuit memory dies 1101a-d and a buffer die 1100a housed in or upon a common package 1110 according to embodiments. As described herein in other embodiments and illustrated in Figs. 12-15, a plurality of integrated circuit memory dies 1101 a-d and buffer 1100a are disposed in multiple package type embodiments.
  • a plurality of integrated circuit memory dies 1101a-d and a buffer die 1100a may be stacked, on a flexible tape, side-by-side or positioned in separate packages on a device substrate.
  • Buffer die 1100a is used to provide signals, including control/address/clock information and data, between a plurality of integrated circuit memory dies 1101a-d and a device interface 1111 that includes contacts 1104a-f.
  • one or more contacts 1104a-f is similar to contacts of connector interface 920.
  • Contacts 1104a-f are used to couple device 1100 to substrate 910, and in particular to signal paths 120a and 121 , of memory module 100 in an embodiment.
  • Device interface 1111 also includes signal paths 1118 and 1115 to transfer signals between contacts 1104a-f and buffer 100a via buffer interface 1103. Signals are then transferred between a plurality of memory dies 1101 a-d and buffer die 1100a via buffer interface 1103 and signal paths 1117 (disposed in device interface 1111) and 1116a-d as well as signal paths 1114 (disposed in device interface 1111) and 1113a-d.
  • spacers 1102a-c are positioned between integrated circuit memory dies 1101a-d. In an embodiment, spacers 1102a-c are positioned to dissipate heat.
  • buffer die 1100a is disposed away from a plurality of integrated circuit memory dies 1101 a-d to alleviate heat dissipation near the memory devices.
  • signal paths are coupled to each other and integrated circuit memory dies 1101 a-d by a solder ball or solder structure.
  • Fig. 12 illustrates a stacked package device 1200 having a package 1210 containing a plurality of integrated circuit memory dies 1101a-d and a separate package 1290 having a buffer die 1100a. Both packages 1210 and 1290 are stacked and housed to make device 1200. In an embodiment, a plurality of integrated circuit memory dies has separate packages and is stacked on package 1290. Device 1200 has similar components illustrated in Fig. 11. Buffer die 1100a communicates with a plurality of integrated circuit memory dies 1101a-d as described herein. Device 1200 has memory dies 1101a-d stacked upon buffer die 1100a and separated by contacts 1201a-d. In an embodiment, contacts 1201 a-d are solder balls that couple signal paths 1117 and 1114 to signal paths 1202 and 1203 that are coupled to buffer interface 1103.
  • Fig. 13 illustrates devices 1300 and 1301 having a plurality of integrated circuit memory devices 101a-b (101a-c in device 1301) and a buffer device 100a that are disposed on a flexible tape 1302 according to embodiments.
  • Buffer device 100a communicates with a plurality of integrated circuit memory devices as described herein.
  • Signal path 1305 disposed on or in flexible tape 1302 transfers signals between a plurality of integrated circuit memory devices 101a-c and buffer 100a.
  • Contacts, such as a grid array of balls 1304 couple each integrated circuit memory device in a plurality of integrated circuit memory devices 101a-c and a buffer 100a to signal path 1305 in flexible tape 1302 in an embodiment.
  • Adhesive 1303 may be used to couple a plurality of integrated circuit memory devices 101a-c to each other and to a buffer 100a in an embodiment.
  • Device 1300 and 1301 are disposed in common package in an embodiment.
  • Fig. 14 illustrates a device 1400 having a plurality of integrated circuit memory dies 1101 a-d and 1401 a-d and a buffer die 1100a that are disposed side-by-side and housed in a package 1410.
  • Device 1400 has similar components illustrated in Fig. 11.
  • Buffer die 1100a communicates with a plurality of integrated circuit memory dies 1101 a-d and 1401 a-d as described herein.
  • a plurality of integrated circuit memory dies 1101a-d and 1401a-d and a buffer die 1100a are disposed side-by-side on a substrate 1450 that is coupled to device interface 1411.
  • a plurality of integrated circuit memory dies 1401a-d is separated by spacers 1402a-c.
  • a single integrated circuit memory die 11 Old and a single integrated circuit memory die 1401d are disposed side-by-side with buffer die 1100a.
  • Device interface 1411 includes contacts 1104a-f. Signals are transferred between buffer interface 1103 and contacts 1104a-f by signal paths 1418 and 1415. Signals are transferred between buffer interface 1103 and signal paths 1116a-d (or integrated circuit memory dies 1101a-d) by signal path 1417. Similarly, signals are transferred between buffer interface 1103 and signal paths 1113a-d (or integrated circuit memory dies 1401a-d) by signal path 1414.
  • FIG. 15 illustrates a device 1500 having a plurality of integrated circuit memory dies 1101a-b and a buffer die 1100a that are housed in separate packages 1501 , 1505 and 1520, respectively.
  • Device 1500 has similar components illustrated in Fig. 11.
  • Buffer die 1100a communicates with integrated circuit memory dies 1101a-b as described herein.
  • Integrated circuit memory dies 1101a-b and a buffer die 1100a are disposed on substrate 1530 that includes signal paths 1504, 1509, 1515 and 1518.
  • Integrated circuit memory die 1101a includes memory interface 1507 having contacts 1508.
  • Integrated circuit memory die 1101b includes memory interface 1503 having contacts 1541.
  • Buffer die 1100a includes a buffer interface 1103 having contacts 1560.
  • Signals are transferred between buffer interface 1103 and contacts 1104a-f by signal paths 1515 and 1518. Signals are transferred between buffer interface 1103 and integrated circuit memory die 1101a by signal path 1509 via memory interface 1507 and contacts 1508. Similarly, signals are transferred between buffer interface 1103 and integrated circuit memory die 1101b by signal path 1504 via memory interface 1503 and contacts 1541. As described herein, device 1500 is coupled to a memory module 900 via contacts 1104a-f.
  • Fig. 16 illustrates a memory module having an SPD 1603 according to an embodiment.
  • Memory module 1610 includes a plurality of integrated circuit memory devices (or dies) and buffer devices (or dies) disposed on substrate 930 along with SPD 1603.
  • Fig. 16 illustrates a memory module 1610 having a single SPD 1603 that can be accessed by each buffer device 100a-b positioned on substrate 930.
  • Signal path 1601 allows access to SPD 1603 from connector interface 920 and one or more buffers 100a-b.
  • signal path 1601 is a bus.
  • SPD 1603 may have configuration and/or parameter information written to or read by a master by way of connector interface 920 and signal path 1601.
  • buffers 100a-b may write to or read from SPD 1603 via signal path 1601.
  • Fig. 17 illustrates a memory module 1710 with each device 1711a-b or data slice a-b having an associated SPD 1720a-b, buffer device (or die) 100a-b and at least one integrated circuit memory device 101a (or die) according to an embodiment.
  • the plurality of buffers 100a- b and associated plurality of SPDs 1720a-b are disposed on substrate 930.
  • Configuration and/or parameter information is accessed from SPDs 1720a-b using signal path 1701 , which is coupled, to connector interface 920 and each SPD 1720a-b.
  • signal path 1701 couples SPD 1720a-b of device 1711 a-b to connector interface 920.
  • signal path 1701 is a bus.
  • signal path 1701 couples SPD 1720a and SPD 1720b in a daisy chain or serial topology.
  • one or more buffer devices 100a-b of devices 1711 a-b may access (read and/or write) respective SPDs 1720a-b.
  • a master may access (read and/or write) respective SPDs 1720a-b using signal path 1701.
  • configuration and/or parameter information is transferred using a header field or other identifier so that SPDs coupled in a daisy chain may forward the SPD information to the intended destination SPD.
  • Fig. 18 illustrates a block diagram of a buffer device 100a (or die, such as buffer die 1100a) according to embodiments.
  • Buffer 100a includes buffer interface 1103a, interfaces 1820a-c, redundancy and repair circuit 1883, multiplexer 1830, request and address logic circuit 1840, data cache and tags circuit 1860, computations circuit 1865, configuration register set 1881, and clock circuit 1870, singly or in combination.
  • buffer 100a receives control information (including address information) that may be in a packet format from a master on signal path 121 and in response, transmits corresponding signals to one or more, or all of memory devices 101a-d on one or more signal paths 1005.
  • One or more of memory devices 101a-d may respond by transmitting data to buffer 100a which receives the data via one or more signal paths 1006 and in response, transmits corresponding signals to a master (or other buffer).
  • a master transmits the control information via one or more signal paths 121 and receives the data via one or more signal paths 120a.
  • buffer 100a receives control information (including address information) that may be in a packet format from a master on signal path 121 and receives the write data for one or more memory devices 101a-d that may be in a packet format from a master on signal path 120a. Buffer 100a then transmits corresponding signals to one or more, or all of memory devices 101a-d on one or more signal paths 1006 so that the write data may be stored.
  • a master transmits the control/address/clock information via one or more signal paths 121 and transmits the write data via one or more signal paths 120a.
  • simultaneous write and/or read operations may occur for different memory devices in memory devices 101a-d.
  • control information that is provided to buffer 100a causes one or more memory operations (such as write and/or read operations) of one or more memory devices 100a-d, while the same control information may be provided to buffer 100b which causes the same memory operations of one or more memory devices 100a-d associated with buffer 100b.
  • the same control information may be provided to buffer 100a and buffer 100b, yet different memory operations occur for the one or more memory devices 100a-d associated with each buffer 100a-b.
  • buffer interface 1103a couples signal paths
  • buffer interface 1103a corresponds to buffer interface 1103 shown in Figs. 11, 12, 14 and 15.
  • buffer interface 1103a includes at least one transceiver 1875 (i.e. transmit and receive circuit) coupled to signal path 120a to transmit and receive data and at least one receiver circuit 1892 coupled to signal path 121 to receive control/address/clock information.
  • signal paths 121 and 120a include point-to-point links.
  • Buffer interface 1103a includes a port having at least one transceiver 1875 that connects to a point-to- point link.
  • a point-to-point link comprises one or a plurality of signal lines, each signal line having no more than two transceiver connection points.
  • One of the two transceiver connection points is included on buffer interface 1103a.
  • Buffer interface 1103a may include additional ports to couple additional point-to-point links between buffer 100a and other buffer devices on other devices and/or memory modules. These additional ports may be employed to expand memory capacity as is described in more detail below.
  • Buffer 100a may function as a transceiver between a point-to-point link and other point-to-point links.
  • buffer interface 1103a includes a repeater circuit 1899 to repeat data, control information and/or a clock signal.
  • buffer interface 1103a includes a bypass circuit 1898 to transfer signals between connector interface portions.
  • termination 1880 is disposed on buffer 100a and is connected to transceiver 1875 and signal path 120a.
  • transceiver 1875 includes an output driver and a receiver.
  • Termination 1880 may dissipate signal energy reflected (i.e., a voltage reflection) from transceiver 1875.
  • Termination 1880, as well as other termination described herein, may be a resistor or capacitor or inductor, singly or a series/parallel combination thereof.
  • termination 1880 may be external to buffer 100a.
  • termination 1880 may be disposed on a substrate 910 of a memory module 900 or on a package used to house buffer 100a.
  • Interface 1820a includes at least one transmitter circuit 1893 coupled to signal path 1005 to transmit control/address/clock information to one or more memory devices.
  • interface 1820a includes a transceiver that may transfer control/address/clock information between buffers disposed on a common memory module or different memory modules.
  • Interface 1820b includes a transceiver 1894 coupled to signal path 1006 to transfer data between buffer 100a and one or more memory devices 101a-d as illustrated in Fig. 10.
  • SPD logic and interface 1820c includes a transceiver 1896 coupled to signal path 1004 to transfer configuration and/or parameter information between buffer 100a and an SPD 1002 as illustrated in Fig. 10.
  • interface 1820c is used to transfer configuration and/or parameter information as illustrated in Figs. 16 and 17.
  • multiplexer 1830 may perform bandwidth-concentrating operations between buffer interface 100a and interface 1820b as well as route data from an appropriate source (i.e. target a subset of data from memory devices, internal data, cache or write buffer).
  • the concept of bandwidth concentration involves combining the (smaller) bandwidth of each data path coupled to a memory device in a multiple data signal path embodiment to match the (higher) overall bandwidth utilized by buffer interface 1103a.
  • multiplexing and demultiplexing of throughput between the multiple signal paths that may be coupled to interface 1820b and buffer interface 1103a is used.
  • buffer 101a utilizes the combined bandwidth of multiple data paths coupled to interface 1820b to match the bandwidth of interface buffer interface 1103a.
  • data cache and tags circuit 1860 may improve memory access time by providing storage of most frequently referenced data and associated tag addresses with lower access latency characteristics than those of the plurality of memory devices.
  • cache 1860 includes a write buffer that may improve interfacing efficiency by utilizing available data transport windows over an external signal path to receive write data and address/mask information. Once received, this information is temporarily stored in a write buffer until it is ready to be transferred to at least one memory device over interface 1820b.
  • Computations circuit 1865 may include a processor or controller unit, a compression/decompression engine, etc., to further enhance the performance and/or functionality of buffer 100a.
  • computations circuit 1865 controls the transfer of control/address/clock information and data between buffer interface 1103a and interfaces 1820a-c.
  • Clock circuit 1870 may include a clock generator circuit (e.g., Direct Rambus ® Clock Generator), which may be incorporated onto buffer 101a and thus may eliminate the need for a separate clock generating device.
  • a clock generator circuit e.g., Direct Rambus ® Clock Generator
  • clock circuit 1870 include clock alignment circuits for phase or delay adjusting an internal clock signal with respect to an external clock signal, such as a phase lock loop (“PLL”) circuit or delay lock loop (“DLL”) circuit.
  • Clock alignment circuits may utilize an external clock from an existing clock generator, or an internal clock generator to provide an internal clock, to generate internal synchronizing clock signals having a predetermined temporal relationship with received and transmitted data and/or control information.
  • clock circuit 1870 receives a first clock signal having a first frequency via signal path 121 and generates a second clock signal (via interface 1820a) to memory device 101a using the first clock signal and also generates a third clock signal (via interface 1820a) to memory device 101b using the first clock signal.
  • the second and third clock signals have a predetermined temporal (phase or delay) relationship with the first clock signal.
  • a transmit circuit (such as in transceivers
  • a differential signal that includes encoded clock information
  • a receiver circuit such as in transceiver 1875, 1896 and 1894 receives a differential signal that includes encoded clock information.
  • a clock and data recovery circuit (such as clock circuit 1870) is included to extract the clock information encoded with the data received by the receiver circuit.
  • clock information may be encoded with data transmitted by the transmit circuit. For example, clock information may be encoded onto a data signal, by ensuring that a minimum number of signal transitions occur in a given number of data bits.
  • a transceiver 1875 transmits and receives a first type of signal (for example, a signal having specified voltage levels and timing), while transceivers 1894 (and/or transmit circuit 1893) transmits and receives a second different type of signal.
  • transceiver 1875 may transmit and receive signals for a DDR2 memory device and transceivers 1894 may transmit and receive signals for a DDR3 memory device.
  • control information and/or data that is provided to buffer 100a may be in a different protocol format or have different protocol features than the control information and/or data provided to one or more memory devices 100a-d from buffer 100a.
  • Logic for example computation circuit 1865 in buffer 100a performs this protocol translation between the control information and/or data received and transmitted.
  • a combination of the different electrical/signaling and control/data protocol constitute an interface standard in an embodiment.
  • Buffer 100a can function as a translator between different interface standards - one for the memory module interface (for example connector interface 920) and another for one or more memory devices 100a-d. For example, one memory module interface standard may require reading a particular register in a particular memory device disposed on the memory module.
  • a memory module may be populated with memory devices that do not include the register required by the memory module interface standard.
  • buffer 100a may emulate the register required by the memory module interface standard and thus allow for the use of memory devices 100a-d that operates under a different interface standard. This buffer functionality, combined with the module topology and architecture, enables a memory module to be socket compatible with one interface standard, while using memory devices with a different interface standard.
  • buffer 100a includes a redundancy and repair circuit 1883 to test and repair the functionality of memory cells, rows or banks of a memory device, entire memory devices (or periphery circuits) and/or signal paths between buffer 100a and memory devices 101a-d.
  • redundancy and repair circuit 1883 periodically, during a calibration operation and/or during initialization, tests one or more of memory devices 101a-d by writing a predetermined plurality of values to a storage location in a selected memory device (for example, using transceiver 1894 and a look-up table storing the predetermined values) using a selected data path and then reading back the stored predetermined plurality of values from the selected memory device using the selected data path.
  • redundancy and repair circuit 1883 eliminates access by buffer 100a to the selected memory device and/or selected signal path.
  • a different signal path to a different memory device may be selected and this testing function may be performed again. If selecting the different signal path results in an accurate comparison of read predetermined values to the predetermined values in redundancy and repair circuit 1883 (or a pass of the test), the different memory address to a different memory location, within or to another memory device, is selected or mapped thereafter. Accordingly, future write and/or read operations to the defective memory location will not occur.
  • any multiplexed combination of control information (including address information) and data intended for memory devices 101a-d coupled with buffer 100a is received via buffer interface 1103a, which may, for example extract the address and control information from the data.
  • control information and address information may be decoded and separated from multiplexed data on signal path 120a and provided on signal path 1895 to request and address logic circuit 1840 from buffer interface 1103a.
  • the data may then be provided to configurable serialization/deserialization circuit 1891.
  • Request and address logic circuit 1840 generates one or more control signals to transmitter circuit 1893.
  • Interfaces 1820a and 1820b include programmable features in embodiments.
  • a number of control signal lines and/or data signal lines between buffer 100a and memory devices 101a-d are programmable in order to accommodate different numbers of memory devices. Thus, more dedicated control signal lines are available with an increased number of memory devices.
  • Using programmable dedicated control lines and/or data lines avoids any possible load issues that may occur when using a bus to transfer control signals between memory devices and a buffer 100a.
  • additional data strobe signals for each byte of each memory device may be programmed at interface 1820b to accommodate different types of memory devices, such as legacy memory devices that require such a signal.
  • interface 1820a and 1820b are programmable to access different memory device widths.
  • interfaces 1820a and 1820b may be programmed to connect to 16 "x4" width memory devices, 8 "x8" width memory devices or 4 "x16" width memory devices.
  • buffer interface 1103a has a programmable width for signal path 120a.
  • Configurable serialization/deserialization circuit 1891 performs serialization and deserialization functions depending upon a stored serialization ratio. As a memory device access width is reduced from its maximum value, memory device access granularity (measured in quanta of data) is commensurately reduced, and an access interleaving or multiplexing scheme may be employed to ensure that all storage locations within memory devices 101a-d can be accessed.
  • the number of signal paths 1006 may be increased or decreased as the memory device access width changes. Signal path 1006 may be subdivided into several addressable subsets. The address of the transaction will determine which target subset of signal path 1006 will be utilized for the data transfer portion of the transaction.
  • the number of transceiver, transmitter and/or receiver circuits included in interfaces 1820a and 1820b that are employed to communicate with one or more memory devices 101a-d may be configured based on the desired serialization ratio.
  • configuration of the transceivers may be effectuated by enabling or disabling how many transceivers are active in a given transfer between one or more memory devices 101a-d and buffer interface 1103a.
  • a data rate of transferring data at buffer interface 1103a is a multiple or ratio of a data rate of transferring data on one or more signal paths 1006 coupled to memory devices 101a-d.
  • Buffer 100a provides a high degree of system flexibility. New interface standards of memory devices may be phased in to operate with a master or a memory system that supports older interface standards by modifying buffer 100a.
  • a memory module may be inserted using an older memory module interface or socket, while newer generation memory devices may be disposed on the memory module.
  • Backward compatibility with existing generations of memory devices may be preserved.
  • new generations of masters, or controllers may be phased in which exploit features of new generations of memory devices while retaining backward compatibility with existing generations of memory devices.
  • different types of memory devices that have different costs, power requirements and access times may be . included in a single common package for specific applications. Fig.
  • Integrated circuit memory device 1900 corresponds to one or more integrated circuit memory devices 101a-d in embodiments.
  • Integrated circuit memory device 1900 includes a memory core 1900b and a memory interface 1900a.
  • Signal paths 1950a-b, 1951a-b, 1952 and 1953 are coupled to memory interface 1900a.
  • Signal paths 1950a-b transfer read and write data.
  • Signal paths 1951a-b transfer address information, such as a row address and a column address in packets, respectively.
  • Signal path 1952 transfers control information.
  • Signal path 1953 transfers one or more clock signals.
  • signal paths 1950a-b correspond to signal path 120a shown in Fig. 10 and signal paths 1951a-b, 1952 and 1953 correspond to signal path 121 in Fig. 10.
  • Memory interface 1900a includes at least one transmitter and/or receiver for transferring signals between memory device 1900 and signal paths 1950a-b, 1951a-b, 1952 and 1953.
  • Write demultiplexer (“demux”) 1920 and read multiplexer (“mux”) 1922 are coupled to signal path 1950a, while write demux 1921 and read mux 1923 are coupled to signal path 1950b.
  • Write demux 1920-21 provide write data from signal paths 1950a-b to memory core 1900b (in particular sense amplifiers 0-2a and 0-2b).
  • Read mux 1922-23 provide read data from memory core 1900b to signal paths 1950a-b (in particular sense amplifiers Na and Nb).
  • Demux and row packet decoder 1910 is coupled to signal path 1951a and Demux and column packet decoder 1913 is coupled to signal path 1951b.
  • Demux and row packet decoder 1910 decodes a packet and provides a row address to row decoder 1914.
  • Demux and Column packet decoder 1913 provides a column address and mask information to column and mask decoder 1915.
  • Control registers are coupled to signal path 1952 and provide control signals to row decoder 1914 and column and mask decoder 1915 in response to register values.
  • a clock circuit is coupled to signal path 1953 to provide a transmit clock signal TCLK and a receive clock signal RCLK in response to one or more clock signals transferred on signal path 1953.
  • write demux 1920 and 1921 provide write data from signal paths 1950a-b to memory core 1900b in response to an edge of receive clock signal RCLK.
  • read mux 1922 and 1923 provide read data from memory core 1900b to signal paths 1950a-b in response to an edge of a transmit clock signal TCLK.
  • clock circuit generates a clock signal on signal path 1953 (to a buffer device) that has a temporal relationship with read data that are output on signal paths 1950a-b.
  • Row decoder 1914 and column and mask decoder 1915 provide control signals to memory core 1900b.
  • data stored in a plurality of storage cells in a memory bank is sensed using sense amplifiers in response to a row command.
  • a row to be sensed is identified by a row address provided to row decoder 1914 from demux and row packet decoder 1910.
  • a subset of the data sensed by a sense amplifier is selected in response to a column address (and possible mask information) provided by demux and column packet decoder 1913.
  • a memory bank in memory banks 0-N of memory core 1900b includes a memory array having a two dimensional array of storage cells.
  • memory banks 0-N include storage cells that may be DRAM cells, SRAM cells, FLASH cells, ferroelectric RAM (FRAM) cells, magnetoresistive or magnetic RAM (MRAM) cells, or other equivalent types of memory storage cells.
  • integrated circuit memory device 1900 is a DDR integrated circuit memory device or later generation memory device (e.g., DDR2 or DDR3).
  • integrated circuit memory device 1900 is an XDRTM DRAM integrated circuit memory device or Direct Rambus ® DRAM (“DRDRAM”) memory device.
  • integrated circuit memory device 1900 includes different types of memory devices having different types of storage cells housed in a common package.
  • Signals described herein may be transmitted or received between and within devices/circuits using signal paths and generated using any number of signaling techniques including without limitation, modulating the voltage or current level of an electrical signal.
  • the signals may represent any type of control and timing information (e.g. commands, address values, clock signals, and configuration/parameter information) as well as data.
  • a signal described herein may be an optical signal.
  • a variety of signals may be transferred on signal paths as described herein.
  • types of signals include differential (over a pair of signal lines), non-return to zero (“NRZ”), multi-level pulse amplitude modulation ("PAM”), phase shift keying, delay or time modulation, quadrature amplitude modulation (“QAM”) and Trellis coding.
  • NRZ non-return to zero
  • PAM multi-level pulse amplitude modulation
  • QAM quadrature amplitude modulation
  • Trellis coding a data rate may be increased without increasing either the system clock frequency or the number of signal lines by employing multiple voltage levels to encode unique sets of consecutive digital values or symbols. That is, each unique combination of consecutive digital symbols may be assigned to a unique voltage level, or pattern of voltage levels.
  • a 4-level PAM scheme may employ four distinct voltage ranges to distinguish between a pair of consecutive digital values or symbols such as 00, 01 , 10 and 11.
  • each voltage range would correspond to one of the unique pairs of consecutive symbols.
  • a clock signal is used to synchronize events in a memory module and/or device such as synchronizing receiving and transmitting data and/or control information.
  • globally synchronous clocking is used (i.e., where a single clock frequency source is distributed to various devices in a memory module/system).
  • source synchronous clocking is used (i.e., where data is transported alongside a clock signal from a source to a destination such that a clock signal and data become skew tolerant).
  • encoding data and a clock signal is used.
  • combinations of clocking or synchronization described herein are used.
  • signal paths described herein include one or more conducting elements, such as a plurality of wires, metal traces (internal or external), signal lines or doped regions (positively or negatively enhanced), as well as one or more optical fibers or optical pathways, singly or in combination.
  • multiple signal paths may replace a single signal path illustrated in the Figures and a single signal path may replace multiple signal paths illustrated in the Figures.
  • a signal path may include a bus and/or point- to-point connection.
  • signal paths include signal paths for transferring control and data signals.
  • signal paths include only signals paths for transferring data signals or only signal paths for transferring control signals.
  • signal paths transfer unidirectional signals (signals that travel in one direction) or bidirectional signals (signals that travel in two directions) or combinations of both unidirectional and bidirectional signals.
  • circuits disclosed herein may be described using computer aided design tools and expressed (or represented) as data and/or instructions embodied in various computer- readable media, in terms of their behavior, register transfer, logic component, transistor, layout geometries, and/or other characteristics.
  • Formats of files and other objects in which such circuit expressions may be implemented include, but are not limited to: formats supporting behavioral languages such as C, Verilog, and HLDL; formats supporting register level description languages like RTL; formats supporting geometry description languages such as GDSII, GDSIII, GDSIV, GIF, MEBES; and any other suitable formats and languages.
  • Computer- readable media in which such formatted data and/or instructions may be embodied include, but are not limited to, non-volatile storage media in various forms (e.g., optical, magnetic or semiconductor storage media) and carrier waves that may be used to transfer such formatted data and/or instructions through wireless, optical, or wired signaling media or any combination thereof.
  • Examples of transfers of such formatted data and/or instructions by carrier waves include, but are not limited to, transfers (uploads, downloads, e-mail, etc.) over the Internet and/or other computer networks via one or more data transfer protocols (e.g., HTTP, FTP, SMTP, etc.).
  • Such data and/or instruction- based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, netlist generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits.
  • a processing entity e.g., one or more processors
  • Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process.

Abstract

A memory module includes a plurality of signal paths that provide data to a memory module connector interface from a plurality of respective integrated circuit buffer devices that access data from an associated plurality of integrated circuit memory devices. The memory module forms a plurality of 'data slices' or a plurality of portions of the memory module data bus that is coupled to the respective integrated circuit buffer devices. Each integrated circuit buffer device is also coupled to a bus that provides control information that specifies an access to at least one integrated circuit memory devices. According to an embodiment, a SPD device stores information regarding configuration information of the memory module. In embodiments, at least one integrated circuit buffer devices access information stored in the SPD device. In a package embodiment, a package houses an integrated circuit buffer die and a plurality of integrated circuit memory dies.

Description

A MEMORY MODULE INCLUDING A PLURALITY OF
INTEGRATED CIRCUIT MEMORY DEVICES AND A
PLURALITY OF BUFFER DEVICES IN A MATRIX
TOPOLOGY
FIELD OF THE INVENTION
The present invention generally relates to integrated circuit devices, high speed signaling of such devices, memory devices, and memory systems.
BACKGROUND
Some contemporary trends predict that processors, such as general purpose microprocessors and graphics processors, will continue to increase system memory and data bandwidth requirements. Using parallelism in applications such as multi-core processor architectures and multiple graphics pipelines, processors should be able to drive increases in system bandwidths at rates some predict will be doubled every three years for the next ten years. There are several major trends in dynamic random access memory ("DRAM") that may make it prohibitively costly and challenging to keep up with increasing data bandwidth and system memory requirements. For example, transistor speed relative to feature size improvements in a given DRAM technology node, and the rising costs of capital investment required to move DRAM technology to greater memory densities for a given DRAM die adversely affect the rate at which DRAM technology can keep pace with the increasing data bandwidth and system capacity requirements. BRlEF DESCRIPTION OF THE DRAWINGS
Embodiments are illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which: Figure 1 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices;
Figure 2 illustrates a memory module topology having a split multi-drop control/address bus; Figure 3 illustrates a memory module topology having a single multi-drop control/address bus;
Figure 4 illustrates a memory module topology that provides data between each integrated circuit buffer device and a memory module connector interface; Figure 5 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices with an integrated circuit buffer device for control and address information;
Figure 6 illustrates termination of a control/address signal path in a memory module topology of Figure 5;
Figure 7 illustrates termination of data signal paths in a memory module topology of Figure 5;
Figure 8 illustrates termination of a split control/address signal path in a memory module topology of Figure 5; Figure 9A illustrates a top view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices;
Figure 9B illustrates a side view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices; o Figure 9C illustrates a bottom view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices;
Figure 10 is a block diagram illustrating a topology of a device having a plurality of integrated circuit memory dies and an integrated circuit buffer die;
Figure 11 illustrates a multi-chip package ("MCP") device having a plurality of integrated circuit memory dies and an integrated circuit buffer die; Figure 12 illustrates a packaged device having a plurality of integrated circuit memory dies and another packaged device having a buffer die; both packages are stacked and housed together in a single package-on-package ("POP") device;
Figure 13 illustrates a device having a plurality of integrated circuit memory devices and a buffer device that are disposed on a flexible tape;
Figure 14 illustrates a device having a plurality of integrated circuit memory dies and a buffer die that are disposed side-by-side and housed in a package; Figure 15 illustrates a device having a plurality of integrated circuit memory dies and a buffer die that are housed in separate packages and integrated together into a larger POP device;
Figure 16 illustrates a memory module topology including a serial presence detect device ("SPD"); Figure 17 illustrates a memory module topology with each data slice having an SPD;
Figure 18 is a block diagram of an integrated circuit buffer die;
Figure 19 is a block diagram of a memory device. DETAlLED DESCRIPTION
According to embodiments, a memory module includes a plurality of signal paths that provide data to a memory module connector from a plurality of respective integrated circuit buffer devices (or dies) that access the data from an associated plurality of integrated circuit memory devices (or dies). In a specific embodiment, each integrated circuit buffer device is also coupled to a bussed signal path that provides control and/or address information that specifies an access to at least one integrated circuit memory device associated with the respective integrated circuit buffer device.
According to embodiments, a memory module connector includes a control/address interface portion and a data interface portion. A control/address bus couples a plurality of integrated circuit buffer devices to the control/address interface portion. A plurality of data signal paths couple the plurality of respective integrated circuit buffer devices to the data interface portion. Each integrated circuit buffer device includes 1) an interface to couple to at least one integrated circuit memory device, 2) an interface to couple to the control/address bus and 3) an interface to couple to a data signal path in the plurality of data signal paths.
According to embodiments, a memory module may include a nonvolatile memory location, for example using an electrically erasable programmable read only memory ("EEPROM") (also known as a Serial Presence Detect ("SPD") device), to store information regarding parameters and configuration of the memory module. In embodiments, at least one integrated circuit buffer device accesses information stored in the SPD device.
In a package embodiment, a package houses an integrated circuit buffer die and the plurality of integrated circuit memory dies. In the package, a plurality of signal paths transfer data (read and/or write data) between the integrated circuit buffer die and the plurality of integrated circuit memory dies. The integrated circuit buffer die provides control signals from an interface of the package to the plurality of integrated circuit memory dies. Data stored in memory arrays of the plurality of integrated circuit memory dies is provided to a signal path disposed on the memory module via the integrated circuit buffer die in response to the control signals. In an embodiment, the package may be a multichip package ("MCP"). In an embodiment, the plurality of integrated circuit memory dies may be housed in common or separate packages. In an embodiment described below, the memory module may include a series of integrated circuit dies (i.e., memory die and buffer die) stacked on top of one another and coupled via a signal path.
As described herein, an integrated circuit buffer device is also referred to as a buffer or buffer device. Likewise, an integrated circuit memory device is also referred to as a memory device.
In an embodiment, an integrated circuit memory device is distinguished from a memory die in that a memory die is a monolithic integrated circuit formed from semiconductor materials for storing and/or retrieving data or other memory functions, whereas an integrated circuit memory device is a memory die having at least some form of packaging or interface that allows the memory die to be accessed.
Likewise in an embodiment, an integrated circuit buffer device is distinguished from a buffer die in that a buffer die is a monolithic integrated circuit formed from semiconductor materials and performs at least one or more buffer functions described herein, whereas an integrated circuit buffer device is a buffer die having at least some form of packaging or interface that allows communication with the buffer die.
In the embodiments described in more detail below, Figs. 1-8 illustrate control/address and data signal path topologies including a plurality of integrated circuit memory devices (or dies) and a plurality of integratθd circuit buffer devices (or dies) situated on a memory module. Figs. 10, 18, and 19 also illustrate signal path topologies including integrated circuit memory devices (or dies) and integrated circuit buffer devices (or dies) situated on a memory module as well as the operation of an integrated circuit buffer device (or die) and memory device (or die) in embodiments among other things.
Fig. 1 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of associated integrated circuit buffer devices. In an embodiment, a memory module 100 includes a plurality of buffer devices 100a-d coupled to a common address/control signal path 121. Each buffer device of the plurality of buffer devices 100a-d provides access to a plurality of respective integrated circuit memory devices 101a-d via signal paths 102a-d and 103. In an embodiment, respective data slices a-d are formed by one of buffers 100a-d and sets of memory devices 101 a-d. Buffer devices 100a-d are coupled to signal paths 120a-d, respectively, that transfer data (read and write data) between the buffer devices 100a-d and a memory module connector interface. In an embodiment, mask information is transferred to buffer devices 100a-d from a memory module connector interface using signal paths 120a-d, respectively.
In an embodiment, a data slice is a portion of the memory module data signal path (or bus) that is coupled to the respective integrated circuit buffer device. The data slice may include the full data path or portions of data paths to and from a single memory device disposed on the memory module.
Integrated circuit memory devices may be considered as a common class of integrated circuit devices that have a plurality of storage cells, collectively referred to as a memory array. A memory device stores data (which may be retrieved) associated with a particular address provided, for example, as part of a write or read command. JJ-
Examples of types of memory devices include dynamic random access memory ("DRAM"), including single and double data rate synchronous DRAM, static random access memory ("SRAM"), and flash memory. A memory device typically includes request or command decode and array access logic that, among other functions, decodes request and address information, and controls memory transfers between a memory array and signal path. A memory device may include a transmitter circuit to output data for example, synchronously with respect to rising and falling edges of a clock signal, (e.g., in a double data rate type of memory device). Similarly, the memory device may include a receiver circuit to receive data, for example, synchronously with respect to rising and falling edges of a clock signal or outputs data with a temporal relationship to a clock signal in an embodiment. A receiver circuit also may be included to receive control information synchronously with respect to rising and falling edges of a clock signal. In an embodiment, strobe signals may accompany the data propagating to or from a memory device and that data may be captured by a device (e.g., memory device or buffer, or controller) using the strobe signal.
In an embodiment, an integrated circuit buffer device is an integrated circuit that acts as an interface between a memory module connector interface and at least one integrated circuit memory device. In embodiments, the buffer device may store and/or route data, control information, address information and/or a clock signal to at least one integrated circuit memory device that may be housed in a common or separate package. In an embodiment, the buffer isolates, routes and/or translates data, control information and a clock signal, singly or in combination, between a plurality of memory devices and a memory module connector interface. An embodiment of a memory module connector interface is described below and shown in Figs. 9A-C. At least one signal path 121, as shown in Fig. 1 , disposed on memory module 100, transfers control and/or address (control/address) information between at least one of the buffer devices 100a-d and a memory module connector interface in various embodiments. In an embodiment, signal path 121 is a multi-drop bus. As illustrated in Figs. 2-8 and described below, alternate topologies for transferring control/address information, data and clock signals between one or more buffer devices 100a-d and a memory module connector interface may be used in alternate embodiments. For example, a split multi-drop control/address bus, segmented multi-drop control/address bus, and point-to-point and/or daisy chain topologies for a data bus may be employed.
In an embodiment, clock signals and/or clock information may be transferred on at least one signal line in signal path 121. These clock signal(s) provide one or more clock signals having a known frequency and/or phase. In an embodiment, a clock signal is synchronized with or travels along side the control/address information. In an embodiment, an edge of the clock signal has a temporal relationship with an edge of a control/address signal representing the control/address information. In an embodiment, a clock signal is generated by a clock source, master device (e.g., controller device) and/or buffer device.
In an embodiment, a clock signal and/or clock information may be transferred on at least one signal line in respective signal paths 120a-d. Buffer devices 100a-d may receive and/or transmit a clock signal with data on signal paths 120a-b. In an embodiment, write data is provided to buffer devices 100a-d on signal paths 120a-d and a clock signal is provided on signal path 120a-d along side write data. In an embodiment, a clock signal (such as a clock-to-master ("CTM")) is provided from buffer devices 100a-d on signal path 120a-d along side read data on signal paths 120a-d. In an embodiment, a clock signal is synchronized with or travels along side the write and/or read data. An edge of the clock signal has a temporal relationship or is aligned with an edge of a data signal representing write and/or read data. Clock information can be embedded in data, eliminating the use of separate clock signals along with the data signals.
In an embodiment, a read, write and/or bidirectional strobe signal may be transferred on at least one signal line in respective signal paths 120a-d. Buffer devices 100a-d may receive and/or transmit a strobe signal with data on signal paths 120a-b. In an embodiment, write data is provided to buffer devices 100a-d on signal paths 120a-d and a strobe signal is provided on signal path 120a-d along side write data. In an embodiment, a strobe signal is provided from buffer devices 100a-d on signal path 120a-d along side read data on signal paths 120a-d. In an embodiment, a strobe signal is synchronized with or travels along side the write and/or read data. An edge of the strobe signal has a temporal relationship or is aligned with an edge of a data signal representing write and/or read data.
In an embodiment, addresses (for example, row and/or column addresses) for accessing particular memory locations in a particular integrated circuit memory device and/or commands are provided on signal path 121 from a memory module connector interface. In an embodiment, a command relates to a memory operation of a particular integrated circuit memory device. For example, a command may include a write command to store write data at a particular memory location in a particular integrated circuit memory device and/or a read command for retrieving read data stored at a particular memory location from a particular integrated circuit memory device. Also, multiple memory devices in different data slices can be accessed simultaneously. In embodiments, a command may include row commands, column commands such as read or write, mask information, precharge and/or sense command. In an embodiment, control information is transferred on signal path 121 over a common set of lines in the form of a time multiplexed packet where particular fields in the packet are used for including command operation codes and/or addresses. Likewise, packets of read data may be transferred from integrated circuit memory devices via buffers 100a-d on respective signal paths 120a-d to memory module connector interface. In an embodiment, a packet represents one or more signals asserted at particular bit windows (or a time interval) for asserting a signal on particular signal lines. In embodiments, memory module 100 communicates (via a memory module connector interface) with a master device (e.g., a processor or controller).
Fig. 2 illustrates an embodiment of a memory module topology having a split multi-drop control/address/clock bus. In particular, memory module 200 includes a split multi-drop control/address bus 221 coupled to buffers 100a-d and a memory module connector interface. With reference to Fig. 2, a first portion of bus 221 is terminated by termination 230 and a second portion of bus 221 is terminated by termination 231. In an embodiment, the impedance of termination 230 matches the impedance of the first portion of bus 221 (ZO) coupled to buffers 100c-d and the impedance of termination 231 matches the impedance of the second portion of bus 221 (Z1) coupled to buffers 100a-b. In an embodiment, impedance ZO equals impedance Zl In embodiments, terminations 230 and 231 , singly or in combination, are disposed on memory module 100, buffer devices 100a and 100d or packages used to house buffer devices 100a and 100d.
Fig. 3 illustrates a memory module topology having a single multidrop control/address/clock bus terminated by termination 330. In an embodiment, the impedance of termination 330 matches the impedance of signal path 121 (or control/address/clock bus). In embodiments, termination 330, singly or in combination, is disposed on memory module 300 or on buffer device 10Od.
Fig. 4 illustrates a memory module topology that provides data between each integrated circuit buffer device and a memory module connector interface. In an embodiment, each signal path 120a-d is terminated by an associated termination 420a-d, respectively. In an embodiment, terminations 420a-d have respective impedances that match the impedance ZO of each of the signal paths 120a-d. In embodiments, terminations 420a-d, singly or in combination, are disposed on memory module 400, each of buffer devices 100a-d or packages used to house buffer devices 100a-d.
Referring to Fig. 1 , a control/address signal rate ratio of signal path 121 to signal path 103 may be 2:1 (or other multiples such as 4:1 , 8:1 , etc.) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (quarter, eighth, etc) the control/address signaling rate so that relatively lower cost memory devices may be used. Similarly, a data signal rate of one of signal paths 102a-d to one of signal paths 120a-d may be 2:1 (or other multiple such as 4:1 , 8:1 , etc) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (quarter, eighth, etc.) the data signaling rate so that relatively lower cost memory devices may be used.
Fig. 5 illustrates a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices with an integrated circuit buffer device 501 for control, address and/or clock information. Memory module 500 is similar to memory module 100 except that buffer device 501 is coupled to signal paths 121 and 121a-b. Buffer device 501 outputs control, address and/or clock information to buffer devices 100a-b on signal path 121a and to buffer devices 100c-d on signal path 121b. In an embodiment buffer device 501 copies control, address and/or clock information received on signal path 121 and repeats the control, address and/or clock information on signal paths 121a-b. In an embodiment, buffer device 501 is a clocked buffer device that provides a temporal relationship with control and address information provided on signal paths 121a-b. In an embodiment, signal paths 121a-b include at least one signal line to provide a clock signal and/or clock information. In an embodiment, buffer device 501 includes a clock circuit 1870 as shown in Fig. 18. In an embodiment, buffer device 501 receives control information, such as a packet request, that specifies an access to at least one of the integrated circuit memory devices 101a-d and outputs a corresponding control signal (on signal path 121a and/or 121b) to the specified integrated circuit memory device.
Fig. 6 illustrates a memory module topology similar to that illustrated in Fig. 5 except that a termination 601 is coupled to signal path 121 on memory module 600. In an embodiment, the impedance of termination 601 matches the impedance ZO of signal path 121. In embodiments, termination 601 is disposed on memory module 600, buffer device 501 or a package used to house buffer device 501. Fig. 7 illustrates a memory module topology that provides data to and/or from each integrated circuit buffer device and terminations coupled to signal paths. In an embodiment, each signal path 120a-d is terminated by associated terminations 701 a-d, respectively. In an embodiment, terminations 701 a-d have respective impedances that match the impedance ZO of each of the signal paths 120a-d. In embodiments, terminations 701 a-d, singly or in combination, are disposed on memory module 700, buffer devices 100a-d or packages used to house buffer devices 100a-d.
Fig. 8 illustrates a memory module topology having a split multi- drop signal path between a buffer device for control, address and/or clock information and the plurality of buffer devices. In particular, memory module 800 includes a split multi-drop control/address bus 121a-b coupled to buffers 100a-d and a buffer device 501. In an embodiment, a first portion of bus 121a is terminated by termination 801 and a second portion of bus 121b is terminated by termination 802. In an embodiment, the impedance of termination 801 matches the impedance of the first leg (ZO) and the impedance of termination 802 matches the impedance of the second leg (Z1). In an embodiment, impedance ZO equals impedance Zl In embodiments, terminations 801 and 802, singly or in combination, are disposed on memory module 800, buffer devices 100a and 100d or packages used to house buffer devices 100a and 100d.
Referring to Fig. 5, a control/address signal rate ratio of signal path 121 to signal path 121a (or 121b) to signal path 103 may be 2:1:1 (or other multiples such as 4:1 :1, 8:1 :1, etc.) so that other multi-drop bus topology embodiments using signal paths 121a (or 121b) and signal path 103 do not have to necessarily operate as high a signal rate as an embodiment that uses signal path 121 as shown in Fig. 1. Also like Fig. 1 , a control/address signal rate ratio of signal path 121 to signal path 103 may be 2:1 (or other multiples such as 4:1, 8:1 , etc.) so that a memory module connector interface is able to operate as fast as specified while memory devices 101a-d may operate at half (or quarter, eighth, etc.) the control/address signaling rate so that relatively lower cost memory devices may be used. Similarly, a data signal rate of one of signal paths 102a-d to one of signal paths 120a-d may be 2:1 (or other multiple such as 4:1, 8:1 , etc.) so that a memory module connector interface is able to operate as fast as the specified signaling rate while memory devices 101a-d may operate at half (or quarter, eighth, etc.) the data signaling rate so that relatively lower cost memory devices may be used. Fig. 9A illustrates a top view of a memory module topology including a plurality of integrated circuit memory devices and a plurality of integrated circuit buffer devices coupled to a connector interface. In an embodiment, memory module 900 includes a substrate 910 having a standard dual in-line memory module ("DIMM") form factor or other module form factor standards, such as small outline DIMM ("SO-DIMM") and very low profile DIMM ("VLP-DIMM"). In alternate embodiments, substrate 910 may be, but is not limited to, a wafer, printed circuit board ("PCB"), package substrate like BT epόxy, flex, motherboard, daughterboard or backplane, singly or in combination.
In an embodiment, memory module 900 includes pairs of memory devices 101a-b and buffer devices 100a-d disposed on a first side of substrate 910. In alternate embodiments, more or less memory devices and buffer devices are used. In an embodiment, pairs of memory devices 101c-d are also disposed on a second side of memory module 900 as shown in a side and bottom view of memory module 900 in Figs. 9B and 9C. In an embodiment, each memory device and buffer device are housed in separate packages. In alternate embodiments, memory devices and buffer devices may be housed in MCP package embodiments described herein.
Memory module 900 includes connector interface 920 that has different interface portions for transferring data and control/address/clock signals. For example, a first side of memory module 900 includes connector interface portions 920a-d used to transfer data signals and a connector interface portion 930a used to transfer control/address signals. In an embodiment, connector interface portion 930a also transfers a clock signal and/or clock information. In an embodiment, a second side of memory module 9QO including connector interface portions 920e-h are used to transfer data signals and a connector interface portion 930b is used to transfer control/address signals. In an embodiment, connector interface portion 930b also transfers a clock signal and/or clock information.
In an embodiment, connector interface 920 is disposed on an edge of substrate 910. In an embodiment, a memory module 900 is inserted into a socket 940 disposed on substrate 950. In an embodiment, substrate 950 is a main board or PCB with signal paths 960a-b for transferring signals on substrate 950. In an embodiment, signal paths 960a and 960b are signal traces or wires. In an embodiment, signal paths 960a and 960b are coupled to other sockets disposed on substrate 950 that may have another memory module inserted and/or coupled to a master.
In an embodiment, connector interface portions include at least one contact or conducting element, such as a metal surface, for inputting and/or outputting an electrical signal. In alternate embodiments, a contact may be in the form of a ball, socket, surface, signal trace, wire, a positively or negatively doped semiconductor region and/or pin, singly or in combination. In an embodiment, a connector interface as described herein, such as connector interface 920, is not limited to physically separable interfaces where a male connector or interface engages a female connector (or socket 940) or interface. A connector interface also includes any type of physical interface or connection, such as an interface used in a system-in-a-package ("SIP") where leads, solder balls or connections from a memory module are soldered to a circuit board. In an alternate embodiment, memory module 900 is included in an embedded memory subsystem, such as one in a computer graphics card, video game console or a printer. In an alternate embodiment, memory module 900 is situated in a personal computer or server:
In an embodiment, a master communicates with memory modules illustrated in Figs. 1-9 and 16-17. A master may transmit and/or receive signals to and from the memory modules illustrated in Figs. 1-9 and 16- 17. A master may be a memory controller, peer device or slave device. In embodiments, a master is a memory controller, which may be an integrated circuit device that contains other interfaces or functionality, for example, a Northbridge chip of a chipset. A master may be integrated on a microprocessor or a graphics processor unit ("GPU") or visual processor unit ("VPU"). A master may be implemented as a field programmable gate array ("FPGA"). Memory modules, signal paths, and a master may be included in various systems or subsystems such as personal computers, graphics cards, set-top boxes, cable modems, cell phones, game consoles, digital television sets (for example, high definition television ("HDTV")), fax machines, cable modems, digital versatile disc ("DVD") players or network routers.
In an embodiment, a master, memory modules and signal paths are in one or more integrated monolithic circuits disposed in a common package or separate packages.
Fig. 10 is a block diagram illustrating and embodiment of a device 1000 having a plurality of integrated circuit memory devices 101a-d and a buffer 100a. Here, data (read and/or write) may be transferred between the plurality of integrated circuit memory devices 101a-d and buffer 100a on a signal path 1006 (data). Signal path 1006 is a signal path situated internal to device 1000 and corresponds to signal paths 1113a-d and 1114 shown in Fig. 11. Signal path 1006 is a bus for providing bidirectional data signals between a plurality of integrated circuit memory devices 101a-d and buffer 100a. An example of bidirectional data signals includes signals traveling from one or more of integrated circuit memory devices 101a-d to buffer 100a and also signals traveling from buffer 100a to one or more of integrated circuit memory devices 101a-d). Signal path 1005 is a signal path internal to device 1000 and corresponds to signal paths 1116a-d and 1117 shown in Fig. 11. Signal path 1005 is a bus for providing unidirectional control/address/clock signals from a buffer 100a to a plurality of integrated circuit memory devices 101a-d. In an example of a unidirectional bus, signals travel in only one direction, i.e., in this case, from only buffer 100a to one or more of integrated circuit memory devices 101a-d). Signal path 1005 includes individual control signal lines, for example, a row address strobe line, column address strobe line, etc., and address signal lines. Signal path 1005 may include a fly- by clock line to transfer a clock signal from buffer 100a to integrated circuit memory devices 101a-d. Signal path 1005 may transfer a clock signal from one or more integrated circuit memory devices 101a-d to buffer 100a.
In an embodiment, buffer 100a communicates with an SPD device to store and retrieve parameters and configuration information regarding device 1000 and/or memory module 900. In an embodiment, an SPD 1002 is a non-volatile storage device. Signal path 1004 couples SPD 1002 to buffer 100a. In an embodiment, signal path 1004 is an internal signal path for providing bidirectional signals between SPD 1002 and buffer 100a. In an embodiment, SPD 1002 is an EEPROM device. However, other types of SPD 1002 are possible, including but not limited to a manual jumper or switch settings, such as pull-up or pull-down resistor networks tied to a particular logic level (high or low), which may change state when a memory module is added or removed from a system. In an embodiment, SPD 1002 is a memory device that includes registers that stores configuration information that can be easily changed via software during system operation, allowing a high degree of flexibility, and making configuration operations that are transparent to an end user.
In an embodiment illustrated in Fig. 18, functionality of the SPD mentioned above may be integrated into buffer device 100a using a register set, such as configuration register set 1881. Referring to Fig. 18, SPD logic and interface 1820c may be preconfigured with information pertaining to the buffer and memory devices connected to the buffer, or may store information pertaining to only one of the memory devices or the buffer device 100a. Control inputs to the buffer may determine when a storage node within the register set will sample the information to preload or preconfigure the SPD logic and interface 1820c. The term register may apply either to a single-bit-wide register or multi-bit-wide register. In an embodiment illustrated by Fig. 10, SPD 1002 stores information relating to configuration information of memory module 900. For example, configuration information may include repair and redundancy information to repair a defective memory device, defective memory cells or peripheral circuits on a memory device, and/or signal path. In an embodiment, SPD configuration information includes memory module population topology, such as a number, a position and a type of memory device in a package and/or on a memory module, or rank, if any. In an embodiment, SPD configuration information includes a serialization ratio for interfaces in a buffer and/or information regarding configuring the width of a buffer. In an embodiment, SPD configuration information includes a first value that represents the desired width of buffer device 100a or includes multiple values that represent the range of possible widths of the buffer device 100a, and a second value that represents the desired width of interface 1820b as illustrated in Fig. 18. In an embodiment, SPD configuration information includes timing information or parameters for accessing memory devices, such as a time to access a row or the memory device, a time to access a column of the memory device, a time between a row access and a column access, a time between a row access and a precharge operation, a time between a row sense applied to a first bank of a memory array and a row sense applied to a second bank of the memory array and/or a time between a precharge operation applied to a first bank in a memory array and a precharge operation applied to a second bank of the memory array. In an embodiment, the stored timing information may be expressed in terms of time units where a table of values maps specific time units to specific binary codes. During an initialization or calibration sequence, a master or a buffer may read SPD configuration information and determine the proper timing information for one or more memory devices. For example, a master may also read information representing the clock frequency of a clock signal from an SPD 1002, and divide the retrieved timing information by a clock period of a clock signal. (The clock period of the clock signal is the reciprocal of the clock frequency of the clock signal). Any remainder resulting from this division may be rounded up to the next whole number of clock cycles of the clock signal.
Signal paths 120a and 121 , as shown in Fig. 10, are coupled to buffer 100a. In an embodiment, signal path 120a transfers unidirectional control/address/clock signals to buffer 100a. In an embodiment, signal path 121 transfers bidirectional or unidirectional data signals to and from buffer 100a. Other interconnect and external connect topologies may also be used for device 1000 in alternate embodiments. For example, buffer 100a may be coupled to a single multi-drop control bus, a split multi-drop control bus, or a segmented multi-drop bus.
In an embodiment, device 1000 has two separate power sources. Power source V1 supplies power to one or more memory devices (memory devices 101a-d) on memory module 900. Power source V2 supplies power to one or more buffers (buffer 100a) on memory module 900. In an embodiment, the buffer 100a has internal power regulation circuits to supply power to the memory devices 101a-d. Fig. 11 illustrates a device 1100 including a plurality of integrated circuit memory dies 1101a-d and a buffer die 1100a housed in or upon a common package 1110 according to embodiments. As described herein in other embodiments and illustrated in Figs. 12-15, a plurality of integrated circuit memory dies 1101 a-d and buffer 1100a are disposed in multiple package type embodiments. For example, a plurality of integrated circuit memory dies 1101a-d and a buffer die 1100a may be stacked, on a flexible tape, side-by-side or positioned in separate packages on a device substrate. Buffer die 1100a is used to provide signals, including control/address/clock information and data, between a plurality of integrated circuit memory dies 1101a-d and a device interface 1111 that includes contacts 1104a-f. In an embodiment, one or more contacts 1104a-f is similar to contacts of connector interface 920. Contacts 1104a-f are used to couple device 1100 to substrate 910, and in particular to signal paths 120a and 121 , of memory module 100 in an embodiment. Device interface 1111 also includes signal paths 1118 and 1115 to transfer signals between contacts 1104a-f and buffer 100a via buffer interface 1103. Signals are then transferred between a plurality of memory dies 1101 a-d and buffer die 1100a via buffer interface 1103 and signal paths 1117 (disposed in device interface 1111) and 1116a-d as well as signal paths 1114 (disposed in device interface 1111) and 1113a-d. In an embodiment, spacers 1102a-c are positioned between integrated circuit memory dies 1101a-d. In an embodiment, spacers 1102a-c are positioned to dissipate heat. Similarly, buffer die 1100a is disposed away from a plurality of integrated circuit memory dies 1101 a-d to alleviate heat dissipation near the memory devices. In an embodiment, signal paths are coupled to each other and integrated circuit memory dies 1101 a-d by a solder ball or solder structure.
Fig. 12 illustrates a stacked package device 1200 having a package 1210 containing a plurality of integrated circuit memory dies 1101a-d and a separate package 1290 having a buffer die 1100a. Both packages 1210 and 1290 are stacked and housed to make device 1200. In an embodiment, a plurality of integrated circuit memory dies has separate packages and is stacked on package 1290. Device 1200 has similar components illustrated in Fig. 11. Buffer die 1100a communicates with a plurality of integrated circuit memory dies 1101a-d as described herein. Device 1200 has memory dies 1101a-d stacked upon buffer die 1100a and separated by contacts 1201a-d. In an embodiment, contacts 1201 a-d are solder balls that couple signal paths 1117 and 1114 to signal paths 1202 and 1203 that are coupled to buffer interface 1103.
Fig. 13 illustrates devices 1300 and 1301 having a plurality of integrated circuit memory devices 101a-b (101a-c in device 1301) and a buffer device 100a that are disposed on a flexible tape 1302 according to embodiments. Buffer device 100a communicates with a plurality of integrated circuit memory devices as described herein. Signal path 1305 disposed on or in flexible tape 1302 transfers signals between a plurality of integrated circuit memory devices 101a-c and buffer 100a. Contacts, such as a grid array of balls 1304, couple each integrated circuit memory device in a plurality of integrated circuit memory devices 101a-c and a buffer 100a to signal path 1305 in flexible tape 1302 in an embodiment. Adhesive 1303 may be used to couple a plurality of integrated circuit memory devices 101a-c to each other and to a buffer 100a in an embodiment. Device 1300 and 1301 are disposed in common package in an embodiment.
Fig. 14 illustrates a device 1400 having a plurality of integrated circuit memory dies 1101 a-d and 1401 a-d and a buffer die 1100a that are disposed side-by-side and housed in a package 1410. Device 1400 has similar components illustrated in Fig. 11. Buffer die 1100a communicates with a plurality of integrated circuit memory dies 1101 a-d and 1401 a-d as described herein. In an embodiment, a plurality of integrated circuit memory dies 1101a-d and 1401a-d and a buffer die 1100a are disposed side-by-side on a substrate 1450 that is coupled to device interface 1411. A plurality of integrated circuit memory dies 1401a-d is separated by spacers 1402a-c. In an embodiment, a single integrated circuit memory die 11 Old and a single integrated circuit memory die 1401d are disposed side-by-side with buffer die 1100a. Device interface 1411 includes contacts 1104a-f. Signals are transferred between buffer interface 1103 and contacts 1104a-f by signal paths 1418 and 1415. Signals are transferred between buffer interface 1103 and signal paths 1116a-d (or integrated circuit memory dies 1101a-d) by signal path 1417. Similarly, signals are transferred between buffer interface 1103 and signal paths 1113a-d (or integrated circuit memory dies 1401a-d) by signal path 1414. Fig. 15 illustrates a device 1500 having a plurality of integrated circuit memory dies 1101a-b and a buffer die 1100a that are housed in separate packages 1501 , 1505 and 1520, respectively. Device 1500 has similar components illustrated in Fig. 11. Buffer die 1100a communicates with integrated circuit memory dies 1101a-b as described herein. Integrated circuit memory dies 1101a-b and a buffer die 1100a are disposed on substrate 1530 that includes signal paths 1504, 1509, 1515 and 1518. Integrated circuit memory die 1101a includes memory interface 1507 having contacts 1508. Integrated circuit memory die 1101b includes memory interface 1503 having contacts 1541. Buffer die 1100a includes a buffer interface 1103 having contacts 1560. Signals are transferred between buffer interface 1103 and contacts 1104a-f by signal paths 1515 and 1518. Signals are transferred between buffer interface 1103 and integrated circuit memory die 1101a by signal path 1509 via memory interface 1507 and contacts 1508. Similarly, signals are transferred between buffer interface 1103 and integrated circuit memory die 1101b by signal path 1504 via memory interface 1503 and contacts 1541. As described herein, device 1500 is coupled to a memory module 900 via contacts 1104a-f.
Fig. 16 illustrates a memory module having an SPD 1603 according to an embodiment. Memory module 1610 includes a plurality of integrated circuit memory devices (or dies) and buffer devices (or dies) disposed on substrate 930 along with SPD 1603. Fig. 16 illustrates a memory module 1610 having a single SPD 1603 that can be accessed by each buffer device 100a-b positioned on substrate 930. Signal path 1601 allows access to SPD 1603 from connector interface 920 and one or more buffers 100a-b. In an embodiment, signal path 1601 is a bus. SPD 1603 may have configuration and/or parameter information written to or read by a master by way of connector interface 920 and signal path 1601. Likewise, buffers 100a-b may write to or read from SPD 1603 via signal path 1601.
Fig. 17 illustrates a memory module 1710 with each device 1711a-b or data slice a-b having an associated SPD 1720a-b, buffer device (or die) 100a-b and at least one integrated circuit memory device 101a (or die) according to an embodiment. The plurality of buffers 100a- b and associated plurality of SPDs 1720a-b are disposed on substrate 930. Configuration and/or parameter information is accessed from SPDs 1720a-b using signal path 1701 , which is coupled, to connector interface 920 and each SPD 1720a-b. In particular, signal path 1701 couples SPD 1720a-b of device 1711 a-b to connector interface 920. In an embodiment, signal path 1701 is a bus. In an alternate embodiment, signal path 1701 couples SPD 1720a and SPD 1720b in a daisy chain or serial topology. In an embodiment, one or more buffer devices 100a-b of devices 1711 a-b may access (read and/or write) respective SPDs 1720a-b. Likewise, a master may access (read and/or write) respective SPDs 1720a-b using signal path 1701. In an embodiment, configuration and/or parameter information is transferred using a header field or other identifier so that SPDs coupled in a daisy chain may forward the SPD information to the intended destination SPD.
Fig. 18 illustrates a block diagram of a buffer device 100a (or die, such as buffer die 1100a) according to embodiments. Buffer 100a includes buffer interface 1103a, interfaces 1820a-c, redundancy and repair circuit 1883, multiplexer 1830, request and address logic circuit 1840, data cache and tags circuit 1860, computations circuit 1865, configuration register set 1881, and clock circuit 1870, singly or in combination.
In a memory read operation embodiment, buffer 100a receives control information (including address information) that may be in a packet format from a master on signal path 121 and in response, transmits corresponding signals to one or more, or all of memory devices 101a-d on one or more signal paths 1005. One or more of memory devices 101a-d may respond by transmitting data to buffer 100a which receives the data via one or more signal paths 1006 and in response, transmits corresponding signals to a master (or other buffer). A master transmits the control information via one or more signal paths 121 and receives the data via one or more signal paths 120a.
By bundling control and address information in packets, protocols required to communicate to memory devices 101a-d are independent of the physical control/address interface implementation.
In a memory write operation embodiment, buffer 100a receives control information (including address information) that may be in a packet format from a master on signal path 121 and receives the write data for one or more memory devices 101a-d that may be in a packet format from a master on signal path 120a. Buffer 100a then transmits corresponding signals to one or more, or all of memory devices 101a-d on one or more signal paths 1006 so that the write data may be stored. A master transmits the control/address/clock information via one or more signal paths 121 and transmits the write data via one or more signal paths 120a.
In an embodiment, simultaneous write and/or read operations may occur for different memory devices in memory devices 101a-d.
In an embodiment, control information that is provided to buffer 100a causes one or more memory operations (such as write and/or read operations) of one or more memory devices 100a-d, while the same control information may be provided to buffer 100b which causes the same memory operations of one or more memory devices 100a-d associated with buffer 100b. In another embodiment, the same control information may be provided to buffer 100a and buffer 100b, yet different memory operations occur for the one or more memory devices 100a-d associated with each buffer 100a-b. In an embodiment, buffer interface 1103a couples signal paths
121 and 120a to buffer 100a as shown in Fig. 10, In an embodiment, buffer interface 1103a corresponds to buffer interface 1103 shown in Figs. 11, 12, 14 and 15. In an embodiment, buffer interface 1103a includes at least one transceiver 1875 (i.e. transmit and receive circuit) coupled to signal path 120a to transmit and receive data and at least one receiver circuit 1892 coupled to signal path 121 to receive control/address/clock information. In an embodiment, signal paths 121 and 120a include point-to-point links. Buffer interface 1103a includes a port having at least one transceiver 1875 that connects to a point-to- point link. In an embodiment, a point-to-point link comprises one or a plurality of signal lines, each signal line having no more than two transceiver connection points. One of the two transceiver connection points is included on buffer interface 1103a. Buffer interface 1103a may include additional ports to couple additional point-to-point links between buffer 100a and other buffer devices on other devices and/or memory modules. These additional ports may be employed to expand memory capacity as is described in more detail below. Buffer 100a may function as a transceiver between a point-to-point link and other point-to-point links. In an embodiment, buffer interface 1103a includes a repeater circuit 1899 to repeat data, control information and/or a clock signal. In an embodiment, buffer interface 1103a includes a bypass circuit 1898 to transfer signals between connector interface portions.
In an embodiment, termination 1880 is disposed on buffer 100a and is connected to transceiver 1875 and signal path 120a. In this embodiment, transceiver 1875 includes an output driver and a receiver. Termination 1880 may dissipate signal energy reflected (i.e., a voltage reflection) from transceiver 1875. Termination 1880, as well as other termination described herein, may be a resistor or capacitor or inductor, singly or a series/parallel combination thereof. In alternate embodiments, termination 1880 may be external to buffer 100a. For example, termination 1880 may be disposed on a substrate 910 of a memory module 900 or on a package used to house buffer 100a.
Interface 1820a includes at least one transmitter circuit 1893 coupled to signal path 1005 to transmit control/address/clock information to one or more memory devices. In an embodiment, interface 1820a includes a transceiver that may transfer control/address/clock information between buffers disposed on a common memory module or different memory modules.
Interface 1820b includes a transceiver 1894 coupled to signal path 1006 to transfer data between buffer 100a and one or more memory devices 101a-d as illustrated in Fig. 10. SPD logic and interface 1820c includes a transceiver 1896 coupled to signal path 1004 to transfer configuration and/or parameter information between buffer 100a and an SPD 1002 as illustrated in Fig. 10. In an embodiment, interface 1820c is used to transfer configuration and/or parameter information as illustrated in Figs. 16 and 17.
According to an embodiment, multiplexer 1830 may perform bandwidth-concentrating operations between buffer interface 100a and interface 1820b as well as route data from an appropriate source (i.e. target a subset of data from memory devices, internal data, cache or write buffer). The concept of bandwidth concentration involves combining the (smaller) bandwidth of each data path coupled to a memory device in a multiple data signal path embodiment to match the (higher) overall bandwidth utilized by buffer interface 1103a. In an embodiment, multiplexing and demultiplexing of throughput between the multiple signal paths that may be coupled to interface 1820b and buffer interface 1103a is used. In an embodiment, buffer 101a utilizes the combined bandwidth of multiple data paths coupled to interface 1820b to match the bandwidth of interface buffer interface 1103a.
In an embodiment, data cache and tags circuit 1860 (or cache 1860) may improve memory access time by providing storage of most frequently referenced data and associated tag addresses with lower access latency characteristics than those of the plurality of memory devices. In an embodiment, cache 1860 includes a write buffer that may improve interfacing efficiency by utilizing available data transport windows over an external signal path to receive write data and address/mask information. Once received, this information is temporarily stored in a write buffer until it is ready to be transferred to at least one memory device over interface 1820b.
Computations circuit 1865 may include a processor or controller unit, a compression/decompression engine, etc., to further enhance the performance and/or functionality of buffer 100a. In an embodiment, computations circuit 1865 controls the transfer of control/address/clock information and data between buffer interface 1103a and interfaces 1820a-c.
Clock circuit 1870 may include a clock generator circuit (e.g., Direct Rambus® Clock Generator), which may be incorporated onto buffer 101a and thus may eliminate the need for a separate clock generating device.
In an alternate embodiment, clock circuit 1870 include clock alignment circuits for phase or delay adjusting an internal clock signal with respect to an external clock signal, such as a phase lock loop ("PLL") circuit or delay lock loop ("DLL") circuit. Clock alignment circuits may utilize an external clock from an existing clock generator, or an internal clock generator to provide an internal clock, to generate internal synchronizing clock signals having a predetermined temporal relationship with received and transmitted data and/or control information.
In an embodiment, clock circuit 1870 receives a first clock signal having a first frequency via signal path 121 and generates a second clock signal (via interface 1820a) to memory device 101a using the first clock signal and also generates a third clock signal (via interface 1820a) to memory device 101b using the first clock signal. In an embodiment, the second and third clock signals have a predetermined temporal (phase or delay) relationship with the first clock signal.
In an embodiment, a transmit circuit (such as in transceivers
1875, 1896 and 1894 shown in Fig. 18) transmits a differential signal that includes encoded clock information and a receiver circuit (such as in transceiver 1875, 1896 and 1894) receives a differential signal that includes encoded clock information. In this embodiment, a clock and data recovery circuit (such as clock circuit 1870) is included to extract the clock information encoded with the data received by the receiver circuit. Likewise, clock information may be encoded with data transmitted by the transmit circuit. For example, clock information may be encoded onto a data signal, by ensuring that a minimum number of signal transitions occur in a given number of data bits.
In an embodiment, a transceiver 1875 transmits and receives a first type of signal (for example, a signal having specified voltage levels and timing), while transceivers 1894 (and/or transmit circuit 1893) transmits and receives a second different type of signal. For example, transceiver 1875 may transmit and receive signals for a DDR2 memory device and transceivers 1894 may transmit and receive signals for a DDR3 memory device.
In an embodiment, the control information and/or data that is provided to buffer 100a (by way of signal paths 121 and 120) may be in a different protocol format or have different protocol features than the control information and/or data provided to one or more memory devices 100a-d from buffer 100a. Logic (for example computation circuit 1865) in buffer 100a performs this protocol translation between the control information and/or data received and transmitted. A combination of the different electrical/signaling and control/data protocol constitute an interface standard in an embodiment. Buffer 100a can function as a translator between different interface standards - one for the memory module interface (for example connector interface 920) and another for one or more memory devices 100a-d. For example, one memory module interface standard may require reading a particular register in a particular memory device disposed on the memory module. Yet, a memory module may be populated with memory devices that do not include the register required by the memory module interface standard. In an embodiment, buffer 100a may emulate the register required by the memory module interface standard and thus allow for the use of memory devices 100a-d that operates under a different interface standard. This buffer functionality, combined with the module topology and architecture, enables a memory module to be socket compatible with one interface standard, while using memory devices with a different interface standard.
In an embodiment, buffer 100a includes a redundancy and repair circuit 1883 to test and repair the functionality of memory cells, rows or banks of a memory device, entire memory devices (or periphery circuits) and/or signal paths between buffer 100a and memory devices 101a-d. In an embodiment, redundancy and repair circuit 1883 periodically, during a calibration operation and/or during initialization, tests one or more of memory devices 101a-d by writing a predetermined plurality of values to a storage location in a selected memory device (for example, using transceiver 1894 and a look-up table storing the predetermined values) using a selected data path and then reading back the stored predetermined plurality of values from the selected memory device using the selected data path. In an embodiment, when the values read from the storage location of the selected memory device do not match the values written to the storage location, redundancy and repair circuit 1883 eliminates access by buffer 100a to the selected memory device and/or selected signal path. In an embodiment, a different signal path to a different memory device may be selected and this testing function may be performed again. If selecting the different signal path results in an accurate comparison of read predetermined values to the predetermined values in redundancy and repair circuit 1883 (or a pass of the test), the different memory address to a different memory location, within or to another memory device, is selected or mapped thereafter. Accordingly, future write and/or read operations to the defective memory location will not occur.
In an embodiment, any multiplexed combination of control information (including address information) and data intended for memory devices 101a-d coupled with buffer 100a is received via buffer interface 1103a, which may, for example extract the address and control information from the data. For example, control information and address information may be decoded and separated from multiplexed data on signal path 120a and provided on signal path 1895 to request and address logic circuit 1840 from buffer interface 1103a. The data may then be provided to configurable serialization/deserialization circuit 1891. Request and address logic circuit 1840 generates one or more control signals to transmitter circuit 1893.
Interfaces 1820a and 1820b include programmable features in embodiments. A number of control signal lines and/or data signal lines between buffer 100a and memory devices 101a-d are programmable in order to accommodate different numbers of memory devices. Thus, more dedicated control signal lines are available with an increased number of memory devices. Using programmable dedicated control lines and/or data lines avoids any possible load issues that may occur when using a bus to transfer control signals between memory devices and a buffer 100a. In another embodiment, additional data strobe signals for each byte of each memory device may be programmed at interface 1820b to accommodate different types of memory devices, such as legacy memory devices that require such a signal. In still a further embodiment, interface 1820a and 1820b are programmable to access different memory device widths. For example, interfaces 1820a and 1820b may be programmed to connect to 16 "x4" width memory devices, 8 "x8" width memory devices or 4 "x16" width memory devices. Likewise, buffer interface 1103a has a programmable width for signal path 120a.
Configurable serialization/deserialization circuit 1891 performs serialization and deserialization functions depending upon a stored serialization ratio. As a memory device access width is reduced from its maximum value, memory device access granularity (measured in quanta of data) is commensurately reduced, and an access interleaving or multiplexing scheme may be employed to ensure that all storage locations within memory devices 101a-d can be accessed. The number of signal paths 1006 may be increased or decreased as the memory device access width changes. Signal path 1006 may be subdivided into several addressable subsets. The address of the transaction will determine which target subset of signal path 1006 will be utilized for the data transfer portion of the transaction. In addition, the number of transceiver, transmitter and/or receiver circuits included in interfaces 1820a and 1820b that are employed to communicate with one or more memory devices 101a-d may be configured based on the desired serialization ratio. Typically, configuration of the transceivers may be effectuated by enabling or disabling how many transceivers are active in a given transfer between one or more memory devices 101a-d and buffer interface 1103a. In an embodiment, a data rate of transferring data at buffer interface 1103a is a multiple or ratio of a data rate of transferring data on one or more signal paths 1006 coupled to memory devices 101a-d.
Buffer 100a provides a high degree of system flexibility. New interface standards of memory devices may be phased in to operate with a master or a memory system that supports older interface standards by modifying buffer 100a. In an embodiment, a memory module may be inserted using an older memory module interface or socket, while newer generation memory devices may be disposed on the memory module. Backward compatibility with existing generations of memory devices may be preserved. Similarly, new generations of masters, or controllers, may be phased in which exploit features of new generations of memory devices while retaining backward compatibility with existing generations of memory devices. Similarly, different types of memory devices that have different costs, power requirements and access times may be. included in a single common package for specific applications. Fig. 19 illustrates an integrated circuit memory device 1900 (or a memory die) in an embodiment. Integrated circuit memory device 1900 corresponds to one or more integrated circuit memory devices 101a-d in embodiments. Integrated circuit memory device 1900 includes a memory core 1900b and a memory interface 1900a. Signal paths 1950a-b, 1951a-b, 1952 and 1953 are coupled to memory interface 1900a. Signal paths 1950a-b transfer read and write data. Signal paths 1951a-b transfer address information, such as a row address and a column address in packets, respectively. Signal path 1952 transfers control information. Signal path 1953 transfers one or more clock signals. In an embodiment, signal paths 1950a-b correspond to signal path 120a shown in Fig. 10 and signal paths 1951a-b, 1952 and 1953 correspond to signal path 121 in Fig. 10.
Memory interface 1900a includes at least one transmitter and/or receiver for transferring signals between memory device 1900 and signal paths 1950a-b, 1951a-b, 1952 and 1953. Write demultiplexer ("demux") 1920 and read multiplexer ("mux") 1922 are coupled to signal path 1950a, while write demux 1921 and read mux 1923 are coupled to signal path 1950b. Write demux 1920-21 provide write data from signal paths 1950a-b to memory core 1900b (in particular sense amplifiers 0-2a and 0-2b). Read mux 1922-23 provide read data from memory core 1900b to signal paths 1950a-b (in particular sense amplifiers Na and Nb).
Demux and row packet decoder 1910 is coupled to signal path 1951a and Demux and column packet decoder 1913 is coupled to signal path 1951b. Demux and row packet decoder 1910 decodes a packet and provides a row address to row decoder 1914. Demux and Column packet decoder 1913 provides a column address and mask information to column and mask decoder 1915. Control registers are coupled to signal path 1952 and provide control signals to row decoder 1914 and column and mask decoder 1915 in response to register values.
A clock circuit is coupled to signal path 1953 to provide a transmit clock signal TCLK and a receive clock signal RCLK in response to one or more clock signals transferred on signal path 1953. In an embodiment, write demux 1920 and 1921 provide write data from signal paths 1950a-b to memory core 1900b in response to an edge of receive clock signal RCLK. In an embodiment, read mux 1922 and 1923 provide read data from memory core 1900b to signal paths 1950a-b in response to an edge of a transmit clock signal TCLK. In an embodiment, clock circuit generates a clock signal on signal path 1953 (to a buffer device) that has a temporal relationship with read data that are output on signal paths 1950a-b. Row decoder 1914 and column and mask decoder 1915 provide control signals to memory core 1900b. For example, data stored in a plurality of storage cells in a memory bank is sensed using sense amplifiers in response to a row command. A row to be sensed is identified by a row address provided to row decoder 1914 from demux and row packet decoder 1910. A subset of the data sensed by a sense amplifier is selected in response to a column address (and possible mask information) provided by demux and column packet decoder 1913.
A memory bank in memory banks 0-N of memory core 1900b includes a memory array having a two dimensional array of storage cells. In embodiments, memory banks 0-N include storage cells that may be DRAM cells, SRAM cells, FLASH cells, ferroelectric RAM (FRAM) cells, magnetoresistive or magnetic RAM (MRAM) cells, or other equivalent types of memory storage cells. In an embodiment, integrated circuit memory device 1900 is a DDR integrated circuit memory device or later generation memory device (e.g., DDR2 or DDR3). In an alternate embodiment, integrated circuit memory device 1900 is an XDR™ DRAM integrated circuit memory device or Direct Rambus® DRAM ("DRDRAM") memory device. In an embodiment, integrated circuit memory device 1900 includes different types of memory devices having different types of storage cells housed in a common package.
Signals described herein may be transmitted or received between and within devices/circuits using signal paths and generated using any number of signaling techniques including without limitation, modulating the voltage or current level of an electrical signal. The signals may represent any type of control and timing information (e.g. commands, address values, clock signals, and configuration/parameter information) as well as data. In an embodiment, a signal described herein may be an optical signal.
A variety of signals may be transferred on signal paths as described herein. For example, types of signals include differential (over a pair of signal lines), non-return to zero ("NRZ"), multi-level pulse amplitude modulation ("PAM"), phase shift keying, delay or time modulation, quadrature amplitude modulation ("QAM") and Trellis coding. In an embodiment employing multi-level PAM signaling, a data rate may be increased without increasing either the system clock frequency or the number of signal lines by employing multiple voltage levels to encode unique sets of consecutive digital values or symbols. That is, each unique combination of consecutive digital symbols may be assigned to a unique voltage level, or pattern of voltage levels. For example, a 4-level PAM scheme may employ four distinct voltage ranges to distinguish between a pair of consecutive digital values or symbols such as 00, 01 , 10 and 11. Here, each voltage range would correspond to one of the unique pairs of consecutive symbols. In an embodiment, a clock signal is used to synchronize events in a memory module and/or device such as synchronizing receiving and transmitting data and/or control information. In an embodiment, globally synchronous clocking is used (i.e., where a single clock frequency source is distributed to various devices in a memory module/system). In an embodiment, source synchronous clocking is used (i.e., where data is transported alongside a clock signal from a source to a destination such that a clock signal and data become skew tolerant). In an embodiment, encoding data and a clock signal is used. In alternate embodiments, combinations of clocking or synchronization described herein are used.
In embodiments, signal paths described herein include one or more conducting elements, such as a plurality of wires, metal traces (internal or external), signal lines or doped regions (positively or negatively enhanced), as well as one or more optical fibers or optical pathways, singly or in combination. In embodiments, multiple signal paths may replace a single signal path illustrated in the Figures and a single signal path may replace multiple signal paths illustrated in the Figures. In embodiments, a signal path may include a bus and/or point- to-point connection. In an embodiment, signal paths include signal paths for transferring control and data signals. In an alternate embodiment, signal paths include only signals paths for transferring data signals or only signal paths for transferring control signals. In still other embodiments, signal paths transfer unidirectional signals (signals that travel in one direction) or bidirectional signals (signals that travel in two directions) or combinations of both unidirectional and bidirectional signals.
It should be noted that the various circuits disclosed herein may be described using computer aided design tools and expressed (or represented) as data and/or instructions embodied in various computer- readable media, in terms of their behavior, register transfer, logic component, transistor, layout geometries, and/or other characteristics. Formats of files and other objects in which such circuit expressions may be implemented include, but are not limited to: formats supporting behavioral languages such as C, Verilog, and HLDL; formats supporting register level description languages like RTL; formats supporting geometry description languages such as GDSII, GDSIII, GDSIV, GIF, MEBES; and any other suitable formats and languages. Computer- readable media in which such formatted data and/or instructions may be embodied include, but are not limited to, non-volatile storage media in various forms (e.g., optical, magnetic or semiconductor storage media) and carrier waves that may be used to transfer such formatted data and/or instructions through wireless, optical, or wired signaling media or any combination thereof. Examples of transfers of such formatted data and/or instructions by carrier waves include, but are not limited to, transfers (uploads, downloads, e-mail, etc.) over the Internet and/or other computer networks via one or more data transfer protocols (e.g., HTTP, FTP, SMTP, etc.). When received within a computer system via one or more computer-readable media, such data and/or instruction- based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, netlist generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits. Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process.
The foregoing description of several embodiments has been provided for the purposes of illustration and description. It is not intended to be exhaustive or to limit the embodiments to the precise forms disclosed. Modifications and variations will be apparent to practitioners skilled in the art. The embodiments were chosen and described in order to explain inventive principles and practical applications, thereby enabling others skilled in the art to understand various embodiments and with the various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the following claims and their equivalents.

Claims

What is claimed is:
1. A memory module comprising: a connector interface; a first signal path coupled to the connector interface; a first integrated circuit memory die; a first integrated circuit buffer die coupled to the first signal path, the first integrated circuit buffer die to receive control information from the first signal path, wherein the control information specifies an access to the first integrated circuit memory die such that the first integrated circuit memory die provides first data to the first integrated circuit buffer die in response to the control information; a second integrated circuit memory die; and a second integrated circuit buffer die coupled to the first signal path, the second integrated circuit buffer die to receive the control information from the first signal path, wherein the control information specifies an access to the second integrated circuit memory die such that the second integrated circuit memory die provides second data to the second integrated circuit buffer die in response to the control information.
2. The memory module of claim 1 , further comprising: a second signal path coupled to the first integrated circuit memory die and the first integrated circuit buffer device, wherein the second signal path is dedicated to carry the first data between the first integrated circuit memory die and first integrated circuit buffer device; a third signal path coupled to the second integrated circuit memory die and the second integrated circuit buffer device, wherein the third signal path is dedicated to carry the second data between the second integrated circuit memory die and second integrated circuit buffer device; a fourth signal path coupled to the first integrated circuit buffer device and the connector interface, wherein the fourth signal path is dedicated to carry the first data between the first integrated circuit buffer device and the connector interface; and a fifth signal path coupled to the second integrated circuit buffer device and the connector interface, wherein the fifth signal path is dedicated to carry the second data between the second integrated circuit buffer device and the connector interface.
3. The memory module of claim 1 , wherein the first signal path includes a signal line to provide a clock signal to the first integrated circuit buffer die and the second integrated circuit buffer die.
4. The memory module of claim 3, wherein the first integrated circuit buffer die generates a second clock signal using the first clock signal and provides the second clock signal to the first integrated circuit memory die; and the second integrated circuit buffer die generates a third clock signal using the first clock signal and provides the third clock signal to the second integrated circuit memory die.
5. The memory module of claim 1 , wherein the first signal path includes a first signal line to provide a first clock signal to the first integrated circuit buffer die and a second clock signal to the second integrated circuit buffer die.
6. The memory module of claim 1 , wherein the first integrated circuit buffer die is disposed in a first package and the first integrated circuit memory die is disposed in a second package, and wherein the second integrated circuit buffer die is disposed in a third package and the second integrated circuit memory die is disposed in a fourth package.
7. The memory module of claim 6, wherein the first package is stacked upon the second package.
8. The memory module of claim 6, wherein a fifth package includes a third integrated circuit memory die, and wherein the fifth package is stacked upon the first package.
9. The memory module of claim 6, wherein the first package is stacked upon the second package, and wherein a fifth package includes a third integrated circuit memory die, and wherein the fifth package is stacked upon the first package.
10. The memory module of claim 1 , wherein the first integrated circuit buffer die and the first integrated circuit memory die are disposed in a first common package, and wherein the second integrated circuit buffer die and the second integrated circuit memory die are disposed in a second common package.
11. The memory module of claim 1 , comprising: a signal line in the first signal path to provide a clock signal from the first integrated circuit buffer die to the connector interface; and a second signal path coupled to the first integrated circuit buffer device and the connector interface, the second signal path to carry the first data between the first integrated circuit buffer device and the connector interface wherein the first data propagates in accordance with a temporal relationship to the clock signal.
12. The memory module of claim 1 , comprising: a second signal path coupled to the first integrated circuit buffer device and the connector interface, the second signal path to carry the first data between the first integrated circuit buffer device and the connector interface wherein the first data propagates in accordance with a temporal relationship to a clock signal; and a first signal line in the second signal path to provide the clock signal.
13. The memory module of claim 1 , comprising: a second signal path coupled to the first integrated circuit buffer device and the connector interface, the second signal path to carry the first data between the first integrated circuit buffer device and the connector interface wherein the first data propagates in accordance with a temporal relationship to a strobe signal; and a first signal line in the second signal path to provide the strobe signal.
14. The memory module of claim 13, wherein the strobe signal is bidirectional.
15. The memory module of claim 13, wherein the strobe signal is unidirectional.
16. The memory module of claim 1 , comprising: a signal line in the first signal path to provide a clock signal to the first integrated circuit buffer die from the connector interface; a second signal path coupled to the first integrated circuit buffer device and the connector interface; and a signal line in the second signal path to provide write data to be stored in the first integrated circuit memory die via the first integrated circuit buffer die, wherein the write data has a temporal relationship with the clock signal.
17. The memory module of claim 1 , comprising: a second signal path coupled to the first integrated circuit buffer device and the connector interface; a signal line in the second signal path to provide a clock signal to the first integrated circuit buffer die from the connector-interface; and a signal line in the second signal path to provide write data to be stored in the first integrated circuit memory die from the connector interface via the first integrated circuit buffer die, wherein the write data has a temporal relationship with the clock signal.
18. The memory module of claim 1 , comprising: a second signal path coupled to the first integrated circuit buffer device and the connector interface; a signal line in the second signal path to provide a strobe signal to the first integrated circuit buffer die from the connector interface; and a signal line in the second signal path to provide write data to be stored in the first integrated circuit memory die from the connector interface via the first integrated circuit buffer die, wherein the write data has a temporal relationship with the strobe signal.
19. The memory module of claim 18, wherein the strobe signal is bidirectional.
20. The memory module of claim 18, wherein the strobe signal is unidirectional.
21. The memory module of claim 1 , further comprising: a termination coupled to the first signal path.
22. The memory module of claim 21 , wherein the termination is disposed on the memory module.
23 The memory module of claim 21 , wherein the termination is disposed on the first integrated circuit buffer die.
24. The memory module of claim 21 , wherein the termination is disposed in a package housing the first integrated circuit buffer die.
25. The memory module of claim 1 , further comprising: a second signal path coupled to the first integrated circuit memory die and the first integrated circuit buffer device, the second signal path is to carry the first data between the first integrated circuit memory die and first integrated circuit buffer device; a third signal path coupled to the second integrated circuit memory die and the second integrated circuit buffer device, the third signal path to carry the second data between the second integrated circuit memory die and second integrated circuit buffer device; a first termination coupled the second signal path; and a second termination coupled to the third signal path.
26. The memory module of claim 25, wherein the first termination is disposed on the memory module and the second termination is disposed on the memory module.
27 The memory module of claim 25, wherein the first termination is disposed on the first integrated circuit buffer die and the second termination is disposed on the second integrated circuit buffer die.
28. The memory module of claim 25, wherein the first termination is disposed on a package housing the first integrated circuit buffer die and the second termination is disposed on a package housing the second integrated circuit buffer die.
29. The memory module of claim 1 , wherein the first integrated circuit buffer die is disposed in a first package and the first integrated circuit memory die is disposed in a second package, wherein the second integrated circuit buffer die is disposed in a third package and the second integrated circuit memory die is disposed in a fourth package, wherein the first and second packages are disposed on a first side of the memory module, and wherein the third and fourth packages are disposed on a second side of the memory module.
30. The memory module of claim 1 , wherein the first integrated circuit memory die includes a memory array having a first type of storage cells and the second integrated circuit memory die includes a memory array . having a second type of storage cells, wherein the first type of storage cells is different than the second type of storage cells.
31. The memory module of claim 1 , wherein: the first and second integrated circuit buffer dies receive the control information in a DDR3 format; the first integrated circuit buffer die provides DDR2 control signals corresponding to the control information to the first integrated buffer die; and the second integrated circuit buffer die provides DDR2 control signals corresponding to the control information to the second integrated circuit memory die.
32. The memory module of claim 1, wherein the first and second integrated circuit buffer dies receive the control information in the form of a first type of signal and provide control signals to the respective first and second integrated circuit memory dies in the form of a second type of signal, wherein the first type of signal is different that the second type of signal.
33. The memory module of claim 1 , wherein the first and second integrated circuit buffer dies receive the first and second control information in a first protocol format and provide control signals to the first and second integrated circuit memory dies in a second protocol format, wherein the first protocol format is different that the second protocol format.
34 The memory module of claim 1 , wherein the first and second integrated circuit buffer dies includes logic to emulate a function of the first and second memory dies.
35. The memory module of claim 1 , further comprising: at least one non-volatile storage location to store information pertaining to a configuration of the memory module.
36. A memory module comprising: an interface; a first package including a buffer and an integrated circuit memory device having a memory array, wherein the buffer of the first package is coupled to the interface; a second package including a buffer and an integrated circuit memory device having a memory array, wherein the buffer of the second package is coupled to the interface; and at least a first non-volatile storage location to store information pertaining to a configuration of the memory module.
37. The memory module of claim 36, wherein the at least first non-volatile storage location is a serial presence detect device and the information includes at least the number of integrated circuit memory devices included in the memory module and timing information of the integrated circuit memory devices.
38. The memory module of claim 36, wherein the at least first non-volatile storage location is included in the first package and at least a second non-volatile storage location containing the information is included in the second package.
39. The memory module of claim 36, wherein the at least first non-volatile storage location is included in the first package.
40. The memory module of claim 36, wherein the at least first non-volatile storage location is included in a third package disposed on the memory module.
41. The memory module of claim 36, wherein the information includes at least information to repair the integrated circuit memory device of the first package.
42. The memory module of claim 36, wherein the buffer of the first package reads the information stored in the at least first non-volatile storage location.
43. The memory module of claim 36, wherein the buffer of the first package writes the information stored in the at least first non-volatile storage location.
44. The memory module of claim 36, wherein the information is transferred between the interface and the at least first non-volatile storage location.
45. A memory module comprising: a connector interface; a first signal path coupled to the connector interface; a first integrated circuit memory die; a second integrated circuit memory die; a first integrated circuit buffer die coupled to the first signal path, the first integrated circuit buffer die to receive first control information from the first signal path, wherein the first control information specifies an access to the first integrated circuit memory die; a second integrated circuit buffer die coupled to the first signal path, the second integrated circuit buffer die to receive second control information from the first signal path, wherein the second control information specifies an access to the second integrated circuit memory die; and a signal line to provide a first clock signal to the first integrated circuit buffer die and the second integrated circuit buffer die, wherein: the first integrated circuit buffer die generates a second clock signal using the first clock signal and provides the second clock signal to the first integrated circuit memory die; and the second integrated circuit buffer die generates a third clock signal using the first clock signal and provides the third clock signal to the first integrated circuit memory die.
PCT/US2006/036894 2005-09-26 2006-09-21 A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology WO2007038225A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008532397A JP2009510562A (en) 2005-09-26 2006-09-21 Memory module comprising a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
EP06815141A EP1929479A2 (en) 2005-09-26 2006-09-21 A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/236,401 US7464225B2 (en) 2005-09-26 2005-09-26 Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US11/236,401 2005-09-26

Publications (2)

Publication Number Publication Date
WO2007038225A2 true WO2007038225A2 (en) 2007-04-05
WO2007038225A3 WO2007038225A3 (en) 2007-06-14

Family

ID=37684221

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/036894 WO2007038225A2 (en) 2005-09-26 2006-09-21 A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology

Country Status (5)

Country Link
US (3) US7464225B2 (en)
EP (1) EP1929479A2 (en)
JP (1) JP2009510562A (en)
CN (2) CN101310338A (en)
WO (1) WO2007038225A2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009146027A (en) * 2007-12-12 2009-07-02 Seiko Epson Corp Electronic device, semiconductor storage device, print-recording material container and controller
WO2009107761A1 (en) * 2008-02-27 2009-09-03 日本電気株式会社 Optical integrated circuit device
JP2010524089A (en) * 2007-04-06 2010-07-15 ラムバス・インコーポレーテッド Memory system topology including buffer device and integrated circuit memory device
US7774535B2 (en) 2008-06-19 2010-08-10 Kabushiki Kaisha Toshiba Memory system and memory device
JP2011503760A (en) * 2007-10-23 2011-01-27 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. 3D memory module architecture
JP2011527041A (en) * 2008-07-02 2011-10-20 マイクロン テクノロジー, インク. Multi-mode memory device and method
JP2011530734A (en) * 2008-08-08 2011-12-22 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. Independently controllable and reconfigurable virtual memory device in a memory module that is pin compatible with a standard memory module
JP2011530735A (en) * 2008-08-08 2011-12-22 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. Independently controlled virtual memory device in memory module
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
JP2012517066A (en) * 2009-02-04 2012-07-26 マイクロン テクノロジー, インク. Stack die memory system and method for training a stack die memory system
JP2012521612A (en) * 2009-03-23 2012-09-13 マイクロン テクノロジー, インク. Configurable bandwidth memory device and method
US8400808B2 (en) 2010-12-16 2013-03-19 Micron Technology, Inc. Phase interpolators and push-pull buffers
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
US8521979B2 (en) 2008-05-29 2013-08-27 Micron Technology, Inc. Memory systems and methods for controlling the timing of receiving read data
US8619452B2 (en) 2005-09-02 2013-12-31 Google Inc. Methods and apparatus of stacking DRAMs
US9047976B2 (en) 2006-07-31 2015-06-02 Google Inc. Combined signal delay and power saving for use with a plurality of memory circuits
US9146811B2 (en) 2008-07-02 2015-09-29 Micron Technology, Inc. Method and apparatus for repairing high capacity/high bandwidth memory devices
US9275698B2 (en) 2008-07-21 2016-03-01 Micron Technology, Inc. Memory system and method using stacked memory device dice, and system using the memory system
US9437263B2 (en) 2013-08-30 2016-09-06 Micron Technology, Inc. Apparatuses and methods for providing strobe signals to memories
US9727458B2 (en) 2006-02-09 2017-08-08 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US11328764B2 (en) 2005-09-26 2022-05-10 Rambus Inc. Memory system topologies including a memory die stack
US11768603B2 (en) 2016-01-11 2023-09-26 Micron Technology, Inc. Apparatuses and methods for concurrently accessing multiple partitions of a non-volatile memory

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
US7916574B1 (en) 2004-03-05 2011-03-29 Netlist, Inc. Circuit providing load isolation and memory domain translation for memory module
US7280428B2 (en) 2004-09-30 2007-10-09 Rambus Inc. Multi-column addressing mode memory system including an integrated circuit memory device
US8595459B2 (en) 2004-11-29 2013-11-26 Rambus Inc. Micro-threaded memory
US8335894B1 (en) 2008-07-25 2012-12-18 Google Inc. Configurable memory system with interface circuit
US8130560B1 (en) 2006-11-13 2012-03-06 Google Inc. Multi-rank partial width memory modules
US8041881B2 (en) 2006-07-31 2011-10-18 Google Inc. Memory device with emulated characteristics
US7392338B2 (en) * 2006-07-31 2008-06-24 Metaram, Inc. Interface circuit system and method for autonomously performing power management operations in conjunction with a plurality of memory circuits
US20080082763A1 (en) 2006-10-02 2008-04-03 Metaram, Inc. Apparatus and method for power management of memory circuits by a system or component thereof
US8077535B2 (en) 2006-07-31 2011-12-13 Google Inc. Memory refresh apparatus and method
US20080028136A1 (en) * 2006-07-31 2008-01-31 Schakel Keith R Method and apparatus for refresh management of memory modules
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
US7580312B2 (en) * 2006-07-31 2009-08-25 Metaram, Inc. Power saving system and method for use with a plurality of memory circuits
US8081474B1 (en) 2007-12-18 2011-12-20 Google Inc. Embossed heat spreader
US8111566B1 (en) 2007-11-16 2012-02-07 Google, Inc. Optimal channel design for memory devices for providing a high-speed memory interface
US7609567B2 (en) 2005-06-24 2009-10-27 Metaram, Inc. System and method for simulating an aspect of a memory circuit
US8796830B1 (en) 2006-09-01 2014-08-05 Google Inc. Stackable low-profile lead frame package
US8060774B2 (en) 2005-06-24 2011-11-15 Google Inc. Memory systems and memory modules
US9171585B2 (en) 2005-06-24 2015-10-27 Google Inc. Configurable memory circuit system and method
US7386656B2 (en) * 2006-07-31 2008-06-10 Metaram, Inc. Interface circuit system and method for performing power management operations in conjunction with only a portion of a memory circuit
US7472220B2 (en) * 2006-07-31 2008-12-30 Metaram, Inc. Interface circuit system and method for performing power management operations utilizing power management signals
US8244971B2 (en) 2006-07-31 2012-08-14 Google Inc. Memory circuit system and method
US8386722B1 (en) 2008-06-23 2013-02-26 Google Inc. Stacked DIMM memory interface
US9542352B2 (en) 2006-02-09 2017-01-10 Google Inc. System and method for reducing command scheduling constraints of memory circuits
US9507739B2 (en) 2005-06-24 2016-11-29 Google Inc. Configurable memory circuit system and method
US8327104B2 (en) 2006-07-31 2012-12-04 Google Inc. Adjusting the timing of signals associated with a memory system
US8090897B2 (en) 2006-07-31 2012-01-03 Google Inc. System and method for simulating an aspect of a memory circuit
US8055833B2 (en) 2006-10-05 2011-11-08 Google Inc. System and method for increasing capacity, performance, and flexibility of flash storage
US7464225B2 (en) 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US7652922B2 (en) 2005-09-30 2010-01-26 Mosaid Technologies Incorporated Multiple independent serial link memory
US20070076502A1 (en) * 2005-09-30 2007-04-05 Pyeon Hong B Daisy chain cascading devices
KR101260632B1 (en) * 2005-09-30 2013-05-03 모사이드 테크놀로지스 인코퍼레이티드 Memory with output control
US20070260841A1 (en) 2006-05-02 2007-11-08 Hampel Craig E Memory module with reduced access granularity
US7724589B2 (en) 2006-07-31 2010-05-25 Google Inc. System and method for delaying a signal communicated from a system to at least one of a plurality of memory circuits
US20080028135A1 (en) * 2006-07-31 2008-01-31 Metaram, Inc. Multiple-component memory interface system and method
JP4627286B2 (en) * 2006-09-05 2011-02-09 エルピーダメモリ株式会社 Semiconductor memory device and semiconductor device
US7761623B2 (en) 2006-09-28 2010-07-20 Virident Systems, Inc. Main memory in a system with a memory controller configured to control access to non-volatile memory, and related technologies
US7761624B2 (en) * 2006-09-28 2010-07-20 Virident Systems, Inc. Systems and apparatus for main memory with non-volatile type memory modules, and related technologies
US7761625B2 (en) * 2006-09-28 2010-07-20 Virident Systems, Inc. Methods for main memory with non-volatile type memory modules, and related technologies
US20080082750A1 (en) * 2006-09-28 2008-04-03 Okin Kenneth A Methods of communicating to, memory modules in a memory channel
US9984012B2 (en) 2006-09-28 2018-05-29 Virident Systems, Llc Read writeable randomly accessible non-volatile memory modules
US8943245B2 (en) * 2006-09-28 2015-01-27 Virident Systems, Inc. Non-volatile type memory modules for main memory
US8949555B1 (en) 2007-08-30 2015-02-03 Virident Systems, Inc. Methods for sustained read and write performance with non-volatile memory
US8051253B2 (en) * 2006-09-28 2011-11-01 Virident Systems, Inc. Systems and apparatus with programmable memory control for heterogeneous main memory
US8074022B2 (en) * 2006-09-28 2011-12-06 Virident Systems, Inc. Programmable heterogeneous memory controllers for main memory with different memory modules
US7761626B2 (en) * 2006-09-28 2010-07-20 Virident Systems, Inc. Methods for main memory in a system with a memory controller configured to control access to non-volatile memory, and related technologies
US7477535B2 (en) * 2006-10-05 2009-01-13 Nokia Corporation 3D chip arrangement including memory manager
US20080086603A1 (en) * 2006-10-05 2008-04-10 Vesa Lahtinen Memory management method and system
US8189328B2 (en) * 2006-10-23 2012-05-29 Virident Systems, Inc. Methods and apparatus of dual inline memory modules for flash memory
DE102006051514B4 (en) * 2006-10-31 2010-01-21 Qimonda Ag Memory module and method for operating a memory module
JP4956143B2 (en) * 2006-11-02 2012-06-20 株式会社東芝 Semiconductor memory card, host device, and data transfer method
KR100903381B1 (en) * 2006-11-24 2009-06-23 주식회사 하이닉스반도체 Semiconductor memory device and method for driving the same
US11244727B2 (en) * 2006-11-29 2022-02-08 Rambus Inc. Dynamic memory rank configuration
US20080133864A1 (en) * 2006-12-01 2008-06-05 Jonathan Randall Hinkle Apparatus, system, and method for caching fully buffered memory
GB2444745B (en) * 2006-12-13 2011-08-24 Advanced Risc Mach Ltd Data transfer between a master and slave
US8233303B2 (en) 2006-12-14 2012-07-31 Rambus Inc. Multi-die memory device
US20080151591A1 (en) * 2006-12-21 2008-06-26 Intel Corporation Memory system with a configurable number of read data bits
WO2008097997A1 (en) 2007-02-06 2008-08-14 Rambus Inc. Semiconductor module with micro-buffers
DE102007010284A1 (en) * 2007-03-02 2008-09-04 Qimonda Ag Interface device for data communication between controller and multiple circuit units, has interface for connection with controller and another interface for connection with circuit unit
US8131903B2 (en) * 2007-04-30 2012-03-06 Hewlett-Packard Development Company, L.P. Multi-channel memory connection system and method
US8904098B2 (en) 2007-06-01 2014-12-02 Netlist, Inc. Redundant backup using non-volatile memory
US8874831B2 (en) 2007-06-01 2014-10-28 Netlist, Inc. Flash-DRAM hybrid memory module
US20090019195A1 (en) * 2007-07-13 2009-01-15 Srdjan Djordjevic Integrated circuit, memory module and system
US7688652B2 (en) * 2007-07-18 2010-03-30 Mosaid Technologies Incorporated Storage of data in memory via packet strobing
US8209479B2 (en) 2007-07-18 2012-06-26 Google Inc. Memory circuit system and method
JP5570689B2 (en) * 2007-07-23 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル Stacked memory
US8332680B2 (en) * 2007-08-13 2012-12-11 Rambus Inc. Methods and systems for operating memory in two modes
US7623365B2 (en) 2007-08-29 2009-11-24 Micron Technology, Inc. Memory device interface methods, apparatus, and systems
US9921896B2 (en) 2007-08-30 2018-03-20 Virident Systems, Llc Shutdowns and data recovery to avoid read errors weak pages in a non-volatile memory system
US8080874B1 (en) 2007-09-14 2011-12-20 Google Inc. Providing additional space between an integrated circuit and a circuit board for positioning a component therebetween
WO2009042329A2 (en) 2007-09-27 2009-04-02 Rambus Inc. Reconfigurable memory system data strobes
US8064739B2 (en) * 2007-10-23 2011-11-22 Hewlett-Packard Development Company, L.P. Three-dimensional die stacks with inter-device and intra-device optical interconnect
CN101868788B (en) * 2007-11-19 2012-12-26 拉姆伯斯公司 Scheduling based on turnaround event
US20090141581A1 (en) * 2007-11-30 2009-06-04 Srdjan Djordjevic Semiconductor Memory Arrangement and System
US8213872B2 (en) * 2007-12-19 2012-07-03 Rambus Inc. Technique for low-power operation of a wireless device
US7746701B2 (en) * 2008-01-10 2010-06-29 Micron Technology, Inc. Semiconductor memory device having bit line pre-charge unit separated from data register
KR100936445B1 (en) * 2008-01-11 2010-01-13 한국과학기술원 High speed serializing-deserializing system and method
US9251899B2 (en) * 2008-02-12 2016-02-02 Virident Systems, Inc. Methods for upgrading main memory in computer systems to two-dimensional memory modules and master memory controllers
US8856464B2 (en) * 2008-02-12 2014-10-07 Virident Systems, Inc. Systems for two-dimensional main memory including memory modules with read-writeable non-volatile memory devices
US8654556B2 (en) * 2008-03-31 2014-02-18 Montage Technology Inc. Registered DIMM memory system
US20110016278A1 (en) * 2008-03-31 2011-01-20 Frederick Ware Independent Threading of Memory Devices Disposed on Memory Modules
US8001434B1 (en) 2008-04-14 2011-08-16 Netlist, Inc. Memory board with self-testing capability
US8154901B1 (en) 2008-04-14 2012-04-10 Netlist, Inc. Circuit providing load isolation and noise reduction
US8516185B2 (en) 2009-07-16 2013-08-20 Netlist, Inc. System and method utilizing distributed byte-wise buffers on a memory module
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
US7979757B2 (en) 2008-06-03 2011-07-12 Micron Technology, Inc. Method and apparatus for testing high capacity/high bandwidth memory devices
US7978721B2 (en) 2008-07-02 2011-07-12 Micron Technology Inc. Multi-serial interface stacked-die memory architecture
US7885494B2 (en) * 2008-07-02 2011-02-08 Sony Ericsson Mobile Communications Ab Optical signaling for a package-on-package stack
US8127204B2 (en) 2008-08-15 2012-02-28 Micron Technology, Inc. Memory system and method using a memory device die stacked with a logic die using data encoding, and system using the memory system
US8106520B2 (en) 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
US8095747B2 (en) * 2008-09-26 2012-01-10 Cypress Semiconductor Corporation Memory system and method
US8856434B2 (en) 2008-09-26 2014-10-07 Cypress Semiconductor Corporation Memory system and method
US8780695B2 (en) * 2008-10-23 2014-07-15 Telefonaktiebolaget L M Ericsson (Publ) Device and system for protection switching
US8259461B2 (en) * 2008-11-25 2012-09-04 Micron Technology, Inc. Apparatus for bypassing faulty connections
US9105323B2 (en) 2009-01-23 2015-08-11 Micron Technology, Inc. Memory device power managers and methods
US9003340B2 (en) * 2009-01-30 2015-04-07 Active-Semi, Inc. Communicating configuration information across a programmable analog tile to another tile
US20120030396A1 (en) * 2009-03-02 2012-02-02 Zhichun Zhu Decoupled Memory Modules: Building High-Bandwidth Memory Systems from Low-Speed Dynamic Random Access Memory Devices
EP2441007A1 (en) 2009-06-09 2012-04-18 Google, Inc. Programming of dimm termination resistance values
WO2011008356A2 (en) * 2009-06-30 2011-01-20 Rambus Inc. Techniques for adjusting clock signals to compensate for noise
US9128632B2 (en) 2009-07-16 2015-09-08 Netlist, Inc. Memory module with distributed data buffers and method of operation
EP2502234B1 (en) 2009-11-20 2019-01-09 Rambus Inc. Bit-replacement technique for dram error correction
EP2330514B1 (en) * 2009-12-07 2018-12-05 STMicroelectronics (Research & Development) Limited An integrated circuit package
EP2333673B1 (en) * 2009-12-07 2014-04-16 STMicroelectronics (Research & Development) Limited Signal sampling and transfer
EP2339476B1 (en) * 2009-12-07 2012-08-15 STMicroelectronics (Research & Development) Limited Interface connecting dies in an IC package
EP2333830B1 (en) * 2009-12-07 2014-09-03 STMicroelectronics (Research & Development) Limited a package comprising a first and a second die coupled by a multiplexed bus
EP2339795B1 (en) * 2009-12-07 2013-08-14 STMicroelectronics (Research & Development) Limited Inter-chip communication interface for a multi-chip package
EP2339475A1 (en) * 2009-12-07 2011-06-29 STMicroelectronics (Research & Development) Limited Inter-chip communication interface for a multi-chip package
US8612809B2 (en) * 2009-12-31 2013-12-17 Intel Corporation Systems, methods, and apparatuses for stacked memory
US9123552B2 (en) 2010-03-30 2015-09-01 Micron Technology, Inc. Apparatuses enabling concurrent communication between an interface die and a plurality of dice stacks, interleaved conductive paths in stacked devices, and methods for forming and operating the same
US8868826B2 (en) 2010-05-20 2014-10-21 Cisco Technology, Inc. Facilitating communication between memory devices and CPUs
US8582373B2 (en) * 2010-08-31 2013-11-12 Micron Technology, Inc. Buffer die in stacks of memory dies and methods
US8521937B2 (en) 2011-02-16 2013-08-27 Stmicroelectronics (Grenoble 2) Sas Method and apparatus for interfacing multiple dies with mapping to modify source identity
US8649609B1 (en) 2011-03-24 2014-02-11 The United States Of America As Represented By The Adminstrator Of The National Aeronautics And Space Administration Field programmable gate array apparatus, method, and computer program
JP5703967B2 (en) * 2011-05-31 2015-04-22 株式会社リコー Memory system, memory control method, and memory control program
JP6006920B2 (en) * 2011-07-04 2016-10-12 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor module and module substrate
US10838646B2 (en) 2011-07-28 2020-11-17 Netlist, Inc. Method and apparatus for presearching stored data
US10380022B2 (en) 2011-07-28 2019-08-13 Netlist, Inc. Hybrid memory module and system and method of operating the same
US10198350B2 (en) 2011-07-28 2019-02-05 Netlist, Inc. Memory module having volatile and non-volatile memory subsystems and method of operation
US9268719B2 (en) * 2011-08-05 2016-02-23 Rambus Inc. Memory signal buffers and modules supporting variable access granularity
JP6370528B2 (en) 2011-09-30 2018-08-08 ラムバス・インコーポレーテッド Sharing check bit memory devices between memory devices
US8825967B2 (en) 2011-12-08 2014-09-02 Conversant Intellectual Property Management Inc. Independent write and read control in serially-connected devices
JP2013222314A (en) * 2012-04-17 2013-10-28 Sharp Corp Electronic circuit board
US9773531B2 (en) * 2012-06-08 2017-09-26 Hewlett Packard Enterprise Development Lp Accessing memory
US9411678B1 (en) 2012-08-01 2016-08-09 Rambus Inc. DRAM retention monitoring method for dynamic error correction
KR101987426B1 (en) * 2012-09-07 2019-09-30 삼성전자주식회사 Nonvolatile memory module, memory system including nonvolatile memory module and controlling method of nonvolatile memory module
US9009400B2 (en) 2012-10-16 2015-04-14 Rambus Inc. Semiconductor memory systems with on-die data buffering
US9734921B2 (en) 2012-11-06 2017-08-15 Rambus Inc. Memory repair using external tags
KR102032887B1 (en) * 2012-12-10 2019-10-16 삼성전자 주식회사 Semiconductor package and method for routing the package
KR102036693B1 (en) * 2012-12-13 2019-10-28 에스케이하이닉스 주식회사 Semiconductor memory system and operating method thereof
US9378793B2 (en) * 2012-12-20 2016-06-28 Qualcomm Incorporated Integrated MRAM module
KR102084553B1 (en) 2013-01-03 2020-03-04 삼성전자주식회사 Memory system
US9417685B2 (en) * 2013-01-07 2016-08-16 Micron Technology, Inc. Power management
US8723329B1 (en) 2013-03-15 2014-05-13 Invensas Corporation In-package fly-by signaling
US9436600B2 (en) 2013-06-11 2016-09-06 Svic No. 28 New Technology Business Investment L.L.P. Non-volatile memory storage for multi-channel memory system
CN105706064B (en) 2013-07-27 2019-08-27 奈特力斯股份有限公司 With the local memory modules synchronized respectively
US11182284B2 (en) 2013-11-07 2021-11-23 Netlist, Inc. Memory module having volatile and non-volatile memory subsystems and method of operation
US10248328B2 (en) 2013-11-07 2019-04-02 Netlist, Inc. Direct data move between DRAM and storage on a memory module
CN105934747B (en) 2013-11-07 2020-03-06 奈特力斯股份有限公司 Hybrid memory module and system and method for operating the same
US9398720B1 (en) 2014-05-30 2016-07-19 Emc Corporation Chassis with airflow and thermal management
US9603280B2 (en) 2014-05-30 2017-03-21 EMC IP Holding Company LLC Flash module
US9792965B2 (en) * 2014-06-17 2017-10-17 Rambus Inc. Memory module and system supporting parallel and serial access modes
US9658976B2 (en) * 2014-11-07 2017-05-23 Mediatek Inc. Data writing system and method for DMA
KR102259943B1 (en) * 2014-12-08 2021-06-04 삼성전자주식회사 Nonvolatile memory device including multi-plane
JP6543129B2 (en) * 2015-07-29 2019-07-10 ルネサスエレクトロニクス株式会社 Electronic device
US20170075843A1 (en) 2015-09-10 2017-03-16 Qualcomm Incorporated Unified systems and methods for interchip and intrachip node communication
US10235242B2 (en) 2015-09-28 2019-03-19 Rambus Inc. Fault tolerant memory systems and components with interconnected and redundant data interfaces
US9697884B2 (en) 2015-10-08 2017-07-04 Rambus Inc. Variable width memory module supporting enhanced error detection and correction
US10080300B1 (en) 2015-12-29 2018-09-18 EMC IP Holding Company LLC Mechanical latch module
US10198784B2 (en) 2016-07-29 2019-02-05 Microsoft Technology Licensing, Llc Capturing commands in a multi-engine graphics processing unit
KR102608815B1 (en) * 2016-09-23 2023-12-04 에스케이하이닉스 주식회사 Semiconductor memory device and operating method thereof
US10439639B2 (en) * 2016-12-28 2019-10-08 Intel Corporation Seemingly monolithic interface between separate integrated circuit die
US10607977B2 (en) * 2017-01-20 2020-03-31 Google Llc Integrated DRAM with low-voltage swing I/O
KR20180089239A (en) * 2017-01-31 2018-08-08 에스케이하이닉스 주식회사 Integrated circuit
KR20180119963A (en) * 2017-04-26 2018-11-05 에스케이하이닉스 주식회사 Memory apparatus and memory module including power management integrated circuit
CN108807361B (en) * 2017-07-25 2022-03-04 长鑫存储技术有限公司 Three-dimensional packaging structure of chip stack
US10425260B2 (en) 2017-08-07 2019-09-24 Micron Technology, Inc. Multi-level signaling in memory with wide system interface
US10447512B2 (en) 2017-08-07 2019-10-15 Micron Technology, Inc. Channel equalization for multi-level signaling
US10403337B2 (en) 2017-08-07 2019-09-03 Micron Technology, Inc. Output driver for multi-level signaling
US10530617B2 (en) 2017-08-07 2020-01-07 Micron Technology, Inc. Programmable channel equalization for multi-level signaling
US10277435B2 (en) 2017-08-07 2019-04-30 Micron Technology, Inc. Method to vertically align multi-level cells
CN107632779B (en) * 2017-08-24 2020-07-03 阿里巴巴集团控股有限公司 Data processing method and device and server
US10725913B2 (en) 2017-10-02 2020-07-28 Micron Technology, Inc. Variable modulation scheme for memory device access or operation
US10490245B2 (en) 2017-10-02 2019-11-26 Micron Technology, Inc. Memory system that supports dual-mode modulation
US10446198B2 (en) 2017-10-02 2019-10-15 Micron Technology, Inc. Multiple concurrent modulation schemes in a memory system
US11403241B2 (en) 2017-10-02 2022-08-02 Micron Technology, Inc. Communicating data with stacked memory dies
US10355893B2 (en) 2017-10-02 2019-07-16 Micron Technology, Inc. Multiplexing distinct signals on a single pin of a memory device
US10128842B1 (en) 2018-03-23 2018-11-13 Micron Technology, Inc. Output impedance calibration for signaling
US10785874B2 (en) * 2019-01-11 2020-09-22 Hewlett Packard Enterprise Development Lp Detecting card edge connector configuration states
KR20200097062A (en) * 2019-02-07 2020-08-18 에스케이하이닉스 주식회사 Memory device having address generator using neural network algorithm and memory system including the same
KR20210097406A (en) 2020-01-30 2021-08-09 삼성전자주식회사 Semiconductor package and method for fabricating the same
US11621245B2 (en) 2020-06-03 2023-04-04 Micron Technology, Inc. Microelectronic device packages with EMI shielding, methods of fabricating and related electronic systems
US20220083252A1 (en) * 2020-09-14 2022-03-17 Micron Technology, Inc. Indication-based avoidance of defective memory cells
US11226767B1 (en) * 2020-09-30 2022-01-18 Micron Technology, Inc. Apparatus with access control mechanism and methods for operating the same
US11494120B2 (en) * 2020-10-02 2022-11-08 Qualcomm Incorporated Adaptive memory transaction scheduling
KR20220067572A (en) 2020-11-16 2022-05-25 삼성전자주식회사 Memory package and storage device including the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040257847A1 (en) 2003-04-21 2004-12-23 Yoshinori Matsui Memory module and memory system
US20040256638A1 (en) 2000-01-05 2004-12-23 Richard Perego Configurable width buffered module having a bypass circuit

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21292A (en) * 1858-08-24 Machine for setting the staples in blind-slats
US4667305A (en) 1982-06-30 1987-05-19 International Business Machines Corporation Circuits for accessing a variable width data bus with a variable width data field
US4631666A (en) 1982-10-25 1986-12-23 Burroughs Corporation Data transfer network for variable protocol management
US4621339A (en) 1983-06-13 1986-11-04 Duke University SIMD machine using cube connected cycles network architecture for vector processing
US4747070A (en) 1984-01-09 1988-05-24 Wang Laboratories, Inc. Reconfigurable memory system
US4858107A (en) 1985-03-11 1989-08-15 General Electric Company Computer device display system using conditionally asynchronous memory accessing by video display controller
JPH079976B2 (en) 1985-04-10 1995-02-01 日本電気株式会社 Semiconductor memory
US4644532A (en) 1985-06-10 1987-02-17 International Business Machines Corporation Automatic update of topology in a hybrid network
US4747100A (en) 1986-08-11 1988-05-24 Allen-Bradley Company, Inc. Token passing network utilizing active node table
JPS63225837A (en) 1987-03-13 1988-09-20 Fujitsu Ltd System for vector access with distance
US6112287A (en) * 1993-03-01 2000-08-29 Busless Computers Sarl Shared memory multiprocessor system using a set of serial links as processors-memory switch
US4977498A (en) 1988-04-01 1990-12-11 Digital Equipment Corporation Data processing system having a data memory interlock coherency scheme
US5301278A (en) 1988-04-29 1994-04-05 International Business Machines Corporation Flexible dynamic memory controller
US5034917A (en) 1988-05-26 1991-07-23 Bland Patrick M Computer system including a page mode memory with decreased access time and method of operation thereof
US4965799A (en) 1988-08-05 1990-10-23 Microcomputer Doctors, Inc. Method and apparatus for testing integrated circuit memories
US4947257A (en) 1988-10-04 1990-08-07 Bell Communications Research, Inc. Raster assembly processor
US5068650A (en) 1988-10-04 1991-11-26 Bell Communications Research, Inc. Memory system for high definition television display
US4864563A (en) 1989-01-09 1989-09-05 E-Systems, Inc. Method for establishing and maintaining a nodal network in a communication system
US5089993B1 (en) 1989-09-29 1998-12-01 Texas Instruments Inc Memory module arranged for data and parity bits
JPH04219859A (en) 1990-03-12 1992-08-10 Hewlett Packard Co <Hp> Harware distributor which distributes series-instruction-stream data to parallel processors
US5243703A (en) 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
IL96808A (en) 1990-04-18 1996-03-31 Rambus Inc Integrated circuit i/o using a high performance bus interface
US5283877A (en) 1990-07-17 1994-02-01 Sun Microsystems, Inc. Single in-line DRAM memory module including a memory controller and cross bar switches
US5408646A (en) 1991-03-29 1995-04-18 International Business Machines Corp. Multipath torus switching apparatus
GB2256512B (en) 1991-06-04 1995-03-15 Intel Corp Second level cache controller unit and system
US5228134A (en) 1991-06-04 1993-07-13 Intel Corporation Cache memory integrated circuit for use with a synchronous central processor bus and an asynchronous memory bus
JP3517237B2 (en) 1992-03-06 2004-04-12 ラムバス・インコーポレーテッド Synchronous bus system and memory device therefor
US5475818A (en) 1992-03-18 1995-12-12 Aeg Transportation Systems, Inc. Communications controller central processing unit board
US5553266A (en) 1992-04-24 1996-09-03 Digital Equipment Corporation Update vs. invalidate policy for a snoopy bus protocol
US5371880A (en) 1992-05-13 1994-12-06 Opti, Inc. Bus synchronization apparatus and method
US5270964A (en) 1992-05-19 1993-12-14 Sun Microsystems, Inc. Single in-line memory module
US5307320A (en) 1992-09-23 1994-04-26 Intel Corporation High integration DRAM controller
US5392407A (en) 1992-12-24 1995-02-21 Ncr Corporation Multi-port processor with peripheral component interconnect port and rambus port
US5511224A (en) 1993-02-18 1996-04-23 Unisys Corporation Configurable network using dual system busses with common protocol compatible for store-through and non-store-through cache memories
US5509138A (en) 1993-03-22 1996-04-16 Compaq Computer Corporation Method for determining speeds of memory modules
US5400360A (en) 1993-03-23 1995-03-21 Limitorque Corporation Repeater for a digital control system
EP0622739A1 (en) 1993-04-29 1994-11-02 International Business Machines Corporation System for cascading data switches in a communication node
US5544342A (en) 1993-06-30 1996-08-06 International Business Machines Corporation System and method for prefetching information in a processing system
US5630095A (en) 1993-08-03 1997-05-13 Motorola Inc. Method for use with a data coherency protocol allowing multiple snoop queries to a single snoop transaction and system therefor
US5602780A (en) 1993-10-20 1997-02-11 Texas Instruments Incorporated Serial to parallel and parallel to serial architecture for a RAM based FIFO memory
US5574945A (en) 1993-11-04 1996-11-12 International Business Machines Corporation Multi channel inter-processor coupling facility processing received commands stored in memory absent status error of channels
JP3420621B2 (en) 1993-11-04 2003-06-30 富士通株式会社 Distributed route selection controller for communication networks
US6276844B1 (en) * 1993-12-06 2001-08-21 International Business Machines Corporation Clustered, buffered simms and assemblies thereof
US6408402B1 (en) * 1994-03-22 2002-06-18 Hyperchip Inc. Efficient direct replacement cell fault tolerant architecture
CN1104683C (en) 1994-03-22 2003-04-02 海珀奇普公司 Efficient direct cell replacement fault tolerant structure support completely integrated system with means of direct communication with system operator
US5513377A (en) 1994-06-17 1996-04-30 International Business Machines Corporation Input-output element has self timed interface using a received clock signal to individually phase aligned bits received from a parallel bus
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
US5642444A (en) 1994-07-28 1997-06-24 Univ North Carolina Specialized image processing system architecture and method for image data arrays
US5838603A (en) 1994-10-11 1998-11-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same, memory core chip and memory peripheral circuit chip
TW270189B (en) * 1994-10-12 1996-02-11 Sega Enterprises Kk Connector used for peripheral device, peripheral device, pin arrangement structure for connector used by peripheral device, determination system for communication mode of peripheral device, and control system for peripheral device
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
JPH08278916A (en) 1994-11-30 1996-10-22 Hitachi Ltd Multichannel memory system, transfer information synchronizing method, and signal transfer circuit
US5513135A (en) 1994-12-02 1996-04-30 International Business Machines Corporation Synchronous memory packaged in single/dual in-line memory module and method of fabrication
US5893921A (en) 1995-02-10 1999-04-13 International Business Machines Corporation Method for maintaining memory coherency in a computer system having a cache utilizing snoop address injection during a read transaction by a dual memory bus controller
US5701313A (en) 1995-02-24 1997-12-23 Unisys Corporation Method and apparatus for removing soft errors from a memory
JPH08235130A (en) 1995-02-24 1996-09-13 Sony Corp Parallel processor
US5604735A (en) 1995-03-15 1997-02-18 Finisar Corporation High speed network switch
SE514348C2 (en) * 1995-06-09 2001-02-12 Saab Dynamics Ab Memory structure adapted for storing and retrieving vectors
SE505022C2 (en) 1995-08-08 1997-06-16 Saab Dynamics Ab Method and apparatus for distribution and synchronization of clock signals in a digital system
US5867422A (en) 1995-08-08 1999-02-02 University Of South Florida Computer memory chip with field programmable memory cell arrays (fpmcas), and method of configuring
US5742840A (en) 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US6006318A (en) 1995-08-16 1999-12-21 Microunity Systems Engineering, Inc. General purpose, dynamic partitioning, programmable media processor
US5701438A (en) 1995-09-29 1997-12-23 Intel Corporation Logical relocation of memory based on memory device type
US5644541A (en) 1995-11-03 1997-07-01 Philip K. Siu Memory substitution system and method for correcting partially defective memories
US5659710A (en) 1995-11-29 1997-08-19 International Business Machines Corporation Cache coherency method and system employing serially encoded snoop responses
JPH09161471A (en) 1995-12-06 1997-06-20 Internatl Business Mach Corp <Ibm> Dram system and operating method for dram system
KR970051229A (en) 1995-12-22 1997-07-29 김광호 Semiconductor memory device using asynchronous generation signal
US5758056A (en) 1996-02-08 1998-05-26 Barr; Robert C. Memory system having defective address identification and replacement
WO1997029415A1 (en) 1996-02-09 1997-08-14 Hitachi, Ltd. Information processor and method of its component arrangement
US5911052A (en) 1996-07-01 1999-06-08 Sun Microsystems, Inc. Split transaction snooping bus protocol
US5860080A (en) 1996-03-19 1999-01-12 Apple Computer, Inc. Multicasting system for selecting a group of memory devices for operation
US5787083A (en) 1996-03-29 1998-07-28 Nec Corporation Path setting system for broadcast service in switching network
US5742753A (en) 1996-06-06 1998-04-21 The Boeing Company Mesh interconnected array in a fault-tolerant computer system
US6125419A (en) 1996-06-13 2000-09-26 Hitachi, Ltd. Bus system, printed circuit board, signal transmission line, series circuit and memory module
US5802054A (en) 1996-08-15 1998-09-01 3Com Corporation Atomic network switch with integrated circuit switch nodes
US5802565A (en) 1996-08-29 1998-09-01 Hewlett-Packard Company Speed optimal bit ordering in a cache memory
US5805089A (en) * 1996-09-05 1998-09-08 Lsi Logic Corporation Time-division data multiplexer with feedback for clock cross-over adjustment
US6104417A (en) 1996-09-13 2000-08-15 Silicon Graphics, Inc. Unified memory computer architecture with dynamic graphics memory allocation
US5781717A (en) 1996-09-19 1998-07-14 I-Cube, Inc. Dynamic spare column replacement memory system
US5917760A (en) 1996-09-20 1999-06-29 Sldram, Inc. De-skewing data signals in a memory system
US6226723B1 (en) 1996-09-20 2001-05-01 Advanced Memory International, Inc. Bifurcated data and command/address communication bus architecture for random access memories employing synchronous communication protocols
US6092229A (en) 1996-10-09 2000-07-18 Lsi Logic Corporation Single chip systems using general purpose processors
US5805798A (en) 1996-10-29 1998-09-08 Electronic Data Systems Corporation Fail-safe event driven transaction processing system and method
JPH10134563A (en) 1996-10-31 1998-05-22 Fujitsu Ltd Memory-driving circuit
US5884036A (en) 1996-11-08 1999-03-16 Haley; Andrew Paul Method for determining the topology of an ATM network having decreased looping of topology information cells
JP3455040B2 (en) 1996-12-16 2003-10-06 株式会社日立製作所 Source clock synchronous memory system and memory unit
US5848145A (en) 1996-12-20 1998-12-08 Lucent Technologies Inc. Automatic learning of network routing using random routes
US5987576A (en) 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US5867180A (en) 1997-03-13 1999-02-02 International Business Machines Corporation Intelligent media memory statically mapped in unified memory architecture
US5910921A (en) * 1997-04-22 1999-06-08 Micron Technology, Inc. Self-test of a memory device
JP3127853B2 (en) * 1997-04-30 2001-01-29 日本電気株式会社 Memory integrated circuit, main storage system and graphics memory system using the same
US5896383A (en) 1997-05-01 1999-04-20 Advanced Micro Devices, Inc. System and method for encoding instruction fields within data packets
US5900017A (en) 1997-05-14 1999-05-04 International Business Machines Corporation Snooping a variable number of cache addresses in a multiple processor system by a single snoop request
US5898863A (en) 1997-06-03 1999-04-27 Emc Corporation Method and apparatus for determining I/O size distribution of an input/output system and its use for load simulation
US6286062B1 (en) 1997-07-01 2001-09-04 Micron Technology, Inc. Pipelined packet-oriented memory system having a unidirectional command and address bus and a bidirectional data bus
US6097883A (en) 1997-07-08 2000-08-01 International Business Machines Corporation Dual state memory card having combined and single circuit operation
US6442644B1 (en) * 1997-08-11 2002-08-27 Advanced Memory International, Inc. Memory system having synchronous-link DRAM (SLDRAM) devices and controller
US5923893A (en) 1997-09-05 1999-07-13 Motorola, Inc. Method and apparatus for interfacing a processor to a coprocessor
US5913044A (en) 1997-09-18 1999-06-15 International Business Machines Corporation Method and system for simultaneous variable-width bus access in a multiprocessor system
US5901294A (en) 1997-09-18 1999-05-04 International Business Machines Corporation Method and system for bus arbitration in a multiprocessor system utilizing simultaneous variable-width bus access
US6401167B1 (en) * 1997-10-10 2002-06-04 Rambus Incorporated High performance cost optimized memory
US6263448B1 (en) * 1997-10-10 2001-07-17 Rambus Inc. Power control system for synchronous memory device
US5889726A (en) 1997-11-17 1999-03-30 Micron Electronics, Inc. Apparatus for providing additional latency for synchronously accessed memory
US5953215A (en) 1997-12-01 1999-09-14 Karabatsos; Chris Apparatus and method for improving computer memory speed and capacity
US6266252B1 (en) * 1997-12-01 2001-07-24 Chris Karabatsos Apparatus and method for terminating a computer memory bus
US7007130B1 (en) * 1998-02-13 2006-02-28 Intel Corporation Memory system including a memory module having a memory module controller interfacing between a system memory controller and memory devices of the memory module
US6622224B1 (en) 1997-12-29 2003-09-16 Micron Technology, Inc. Internal buffered bus for a drum
US6480927B1 (en) 1997-12-31 2002-11-12 Unisys Corporation High-performance modular memory system with crossbar connections
US6317252B1 (en) * 1998-01-06 2001-11-13 California Institute Of Technology Dynamic channel copying device for use in fiber optics system using a nonlinear optical media
JPH11214638A (en) * 1998-01-29 1999-08-06 Mitsubishi Electric Corp Semiconductor memory
US6349051B1 (en) * 1998-01-29 2002-02-19 Micron Technology, Inc. High speed data bus
US7024518B2 (en) * 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6742098B1 (en) * 2000-10-03 2004-05-25 Intel Corporation Dual-port buffer-to-memory interface
US6968419B1 (en) * 1998-02-13 2005-11-22 Intel Corporation Memory module having a memory module controller controlling memory transactions for a plurality of memory devices
US6970968B1 (en) * 1998-02-13 2005-11-29 Intel Corporation Memory module controller for providing an interface between a system memory controller and a plurality of memory devices on a memory module
US6142830A (en) 1998-03-06 2000-11-07 Siemens Aktiengesellschaft Signaling improvement using extended transmission lines on high speed DIMMS
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
CA2323446C (en) * 1998-03-16 2016-11-08 Ejaz Ul Haq High speed signaling for interfacing vlsi cmos circuits
US6327205B1 (en) 1998-03-16 2001-12-04 Jazio, Inc. Signal latching of high bandwidth DRAM arrays when skew between different components is higher than signal rate
US6160423A (en) 1998-03-16 2000-12-12 Jazio, Inc. High speed source synchronous signaling for interfacing VLSI CMOS circuits to transmission lines
JP3727778B2 (en) 1998-05-07 2005-12-14 株式会社東芝 Data high-speed transfer synchronization system and data high-speed transfer synchronization method
US6016282A (en) 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6330667B1 (en) * 1998-06-05 2001-12-11 Micron Technology, Inc. System for read only memory shadowing circuit for copying a quantity of rom data to the ram prior to initialization of the computer system
US6185654B1 (en) 1998-07-17 2001-02-06 Compaq Computer Corporation Phantom resource memory address mapping system
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US6272609B1 (en) * 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
DE19838813A1 (en) * 1998-08-26 2000-03-02 Siemens Ag Storage system
US6633947B1 (en) * 1998-09-16 2003-10-14 Intel Corporation Memory expansion channel for propagation of control and request packets
US6587912B2 (en) * 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US6330687B1 (en) 1998-11-13 2001-12-11 Digi-Data Corporation System and method to maintain performance among N single raid systems during non-fault conditions while sharing multiple storage devices during conditions of a faulty host computer or faulty storage array controller
US6208273B1 (en) 1999-01-29 2001-03-27 Interactive Silicon, Inc. System and method for performing scalable embedded parallel data compression
US6449679B2 (en) 1999-02-26 2002-09-10 Micron Technology, Inc. RAM controller interface device for RAM compatibility (memory translator hub)
IL129345A (en) * 1999-04-06 2004-05-12 Broadcom Corp Video encoding and video/audio/data multiplexing device
US6446158B1 (en) 1999-05-17 2002-09-03 Chris Karabatsos Memory system using FET switches to select memory banks
US6414868B1 (en) * 1999-06-07 2002-07-02 Sun Microsystems, Inc. Memory expansion module including multiple memory banks and a bank control circuit
GB2352144A (en) 1999-07-16 2001-01-17 Texas Instruments Ltd Data transfer between memory nodes
US6708248B1 (en) * 1999-07-23 2004-03-16 Rambus Inc. Memory system with channel multiplexing of multiple memory devices
US6477592B1 (en) 1999-08-06 2002-11-05 Integrated Memory Logic, Inc. System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream
US6321282B1 (en) * 1999-10-19 2001-11-20 Rambus Inc. Apparatus and method for topography dependent signaling
US6889284B1 (en) * 1999-10-19 2005-05-03 Intel Corporation Method and apparatus for supporting SDRAM memory
US6530033B1 (en) * 1999-10-28 2003-03-04 Hewlett-Packard Company Radial arm memory bus for a high availability computer system
US6557069B1 (en) * 1999-11-12 2003-04-29 International Business Machines Corporation Processor-memory bus architecture for supporting multiple processors
US6526469B1 (en) * 1999-11-12 2003-02-25 International Business Machines Corporation Bus architecture employing varying width uni-directional command bus
US6513091B1 (en) * 1999-11-12 2003-01-28 International Business Machines Corporation Data routing using status-response signals
JP2001159999A (en) * 1999-12-03 2001-06-12 Mitsubishi Electric Corp Semi-conductor integrated circuit and memory processing system
US6643752B1 (en) 1999-12-09 2003-11-04 Rambus Inc. Transceiver with latency alignment circuitry
US7010629B1 (en) * 1999-12-22 2006-03-07 Intel Corporation Apparatus and method for coupling to a memory module
US7017002B2 (en) * 2000-01-05 2006-03-21 Rambus, Inc. System featuring a master device, a buffer device and a plurality of integrated circuit memory devices
US6502161B1 (en) 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US6247100B1 (en) * 2000-01-07 2001-06-12 International Business Machines Corporation Method and system for transmitting address commands in a multiprocessor system
US6414899B2 (en) * 2000-02-02 2002-07-02 Broadcom Corporation Limited swing driver circuit
DE10022479B4 (en) * 2000-05-09 2004-04-08 Infineon Technologies Ag Arrangement for the transmission of signals between a data processing device and a functional unit in a main memory system of a computer system
KR100351053B1 (en) * 2000-05-19 2002-09-05 삼성전자 주식회사 Memory Module having termination resistors and system board having multi-channel structure including the same
KR100335504B1 (en) * 2000-06-30 2002-05-09 윤종용 2 Channel memory system having shared control and address bus and memory modules used therein
KR100343149B1 (en) * 2000-08-25 2002-07-05 윤종용 Memory module comprising programmable logic device and sTSOP
KR100338779B1 (en) * 2000-08-28 2002-05-31 윤종용 Memory module preventing skew of signal lines
US6487102B1 (en) 2000-09-18 2002-11-26 Intel Corporation Memory module having buffer for isolating stacked memory devices
TW528948B (en) 2000-09-14 2003-04-21 Intel Corp Memory module having buffer for isolating stacked memory devices
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US6530006B1 (en) * 2000-09-18 2003-03-04 Intel Corporation System and method for providing reliable transmission in a buffered memory system
US6317352B1 (en) 2000-09-18 2001-11-13 Intel Corporation Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules
US6625687B1 (en) 2000-09-18 2003-09-23 Intel Corporation Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing
US6369605B1 (en) * 2000-09-18 2002-04-09 Intel Corporation Self-terminated driver to prevent signal reflections of transmissions between electronic devices
US6449213B1 (en) 2000-09-18 2002-09-10 Intel Corporation Memory interface having source-synchronous command/address signaling
US6820163B1 (en) 2000-09-18 2004-11-16 Intel Corporation Buffering data transfer between a chipset and memory modules
US6622227B2 (en) * 2000-12-27 2003-09-16 Intel Corporation Method and apparatus for utilizing write buffers in memory control/interface
US6493250B2 (en) 2000-12-28 2002-12-10 Intel Corporation Multi-tier point-to-point buffered memory interface
US6510506B2 (en) * 2000-12-28 2003-01-21 Intel Corporation Error detection in cache tag array using valid vector
US6720643B1 (en) * 2001-02-22 2004-04-13 Rambus, Inc. Stacked semiconductor module
GB2383656B (en) 2001-02-28 2003-12-03 Rambus Inc Upgradable memory system with reconfigurable interconnect
US6889304B2 (en) 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
US7610447B2 (en) * 2001-02-28 2009-10-27 Rambus Inc. Upgradable memory system with reconfigurable interconnect
US6877079B2 (en) * 2001-03-06 2005-04-05 Samsung Electronics Co., Ltd. Memory system having point-to-point bus configuration
KR100393217B1 (en) * 2001-03-09 2003-07-31 삼성전자주식회사 Memory module having control circuit for operating memory devices and data buffer by same clock frequency
US6934823B2 (en) * 2001-03-29 2005-08-23 Intel Corporation Method and apparatus for handling memory read return data from different time domains
DE10128770B4 (en) * 2001-06-13 2014-05-15 Qimonda Ag Method for transferring data into a memory cell array and circuit arrangement
US20030090879A1 (en) * 2001-06-14 2003-05-15 Doblar Drew G. Dual inline memory module
US6714433B2 (en) * 2001-06-15 2004-03-30 Sun Microsystems, Inc. Memory module with equal driver loading
US6690191B2 (en) * 2001-12-21 2004-02-10 Sun Microsystems, Inc. Bi-directional output buffer
KR100462877B1 (en) * 2002-02-04 2004-12-17 삼성전자주식회사 Semiconductor memory device and fail cell address program circuit and method thereof
US7111124B2 (en) 2002-03-12 2006-09-19 Intel Corporation Set partitioning for cache memories
US7110400B2 (en) * 2002-04-10 2006-09-19 Integrated Device Technology, Inc. Random access memory architecture and serial interface with continuous packet handling capability
US6853938B2 (en) * 2002-04-15 2005-02-08 Micron Technology, Inc. Calibration of memory circuits
US6639820B1 (en) 2002-06-27 2003-10-28 Intel Corporation Memory buffer arrangement
KR100472460B1 (en) * 2002-07-04 2005-03-10 삼성전자주식회사 Method for restoring defects of memory and apparatus therefor
US6854042B1 (en) * 2002-07-22 2005-02-08 Chris Karabatsos High-speed data-rate converting and switching circuit
JP2004055100A (en) * 2002-07-24 2004-02-19 Elpida Memory Inc Relieving method for memory module, memory module, and volatile memory
JP4159415B2 (en) 2002-08-23 2008-10-01 エルピーダメモリ株式会社 Memory module and memory system
US6754117B2 (en) * 2002-08-16 2004-06-22 Micron Technology, Inc. System and method for self-testing and repair of memory modules
DE10250156A1 (en) * 2002-10-28 2004-05-13 Infineon Technologies Ag Memory module and memory arrangement with branch-free signal lines and distributed capacitive loads
FR2846795A1 (en) * 2002-11-05 2004-05-07 St Microelectronics Sa Integrated memory circuit for binary data incorporating a single transistor and with hybrid performance alternating between conventional DRAM and flash memory cells
US6850458B2 (en) * 2002-11-14 2005-02-01 Wen Li Controlling data strobe output
DE10255872B4 (en) * 2002-11-29 2004-09-30 Infineon Technologies Ag Memory module and method for operating a memory module in a data storage system
DE10260184B4 (en) * 2002-12-20 2005-08-25 Infineon Technologies Ag Memory module with a test device
KR100520219B1 (en) * 2003-01-03 2005-10-11 삼성전자주식회사 memory module device for use in high frequency operation
US7089412B2 (en) * 2003-01-17 2006-08-08 Wintec Industries, Inc. Adaptive memory module
US7184916B2 (en) * 2003-05-20 2007-02-27 Cray Inc. Apparatus and method for testing memory cards
US7200787B2 (en) 2003-06-03 2007-04-03 Intel Corporation Memory channel utilizing permuting status patterns
US7127629B2 (en) 2003-06-03 2006-10-24 Intel Corporation Redriving a data signal responsive to either a sampling clock signal or stable clock signal dependent on a mode signal
US7194581B2 (en) * 2003-06-03 2007-03-20 Intel Corporation Memory channel with hot add/remove
US8171331B2 (en) 2003-06-04 2012-05-01 Intel Corporation Memory channel having deskew separate from redrive
US7340537B2 (en) 2003-06-04 2008-03-04 Intel Corporation Memory channel with redundant presence detect
US7165153B2 (en) 2003-06-04 2007-01-16 Intel Corporation Memory channel with unidirectional links
US7386768B2 (en) 2003-06-05 2008-06-10 Intel Corporation Memory channel with bit lane fail-over
DE10331068A1 (en) * 2003-07-09 2005-02-17 Infineon Technologies Ag Method for reading error information from an integrated module and integrated memory module
US20050138267A1 (en) 2003-12-23 2005-06-23 Bains Kuljit S. Integral memory buffer and serial presence detect capability for fully-buffered memory modules
US7321997B2 (en) * 2004-03-30 2008-01-22 Intel Corporation Memory channel self test
US7254075B2 (en) * 2004-09-30 2007-08-07 Rambus Inc. Integrated circuit memory system having dynamic memory bank count and page size
US7334070B2 (en) * 2004-10-29 2008-02-19 International Business Machines Corporation Multi-channel memory architecture for daisy chained arrangements of nodes with bridging between memory channels
JP4328736B2 (en) * 2005-04-22 2009-09-09 エルピーダメモリ株式会社 Computer system and memory defect relief method
US7339840B2 (en) * 2005-05-13 2008-03-04 Infineon Technologies Ag Memory system and method of accessing memory chips of a memory system
US7519894B2 (en) * 2005-06-14 2009-04-14 Infineon Technologies Ag Memory device with error correction code module
US7404050B2 (en) * 2005-08-01 2008-07-22 Infineon Technologies Ag Method of operating a memory device, memory module, and a memory device comprising the memory module
US7379316B2 (en) 2005-09-02 2008-05-27 Metaram, Inc. Methods and apparatus of stacking DRAMs
US7464225B2 (en) 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US7562271B2 (en) 2005-09-26 2009-07-14 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US7949931B2 (en) * 2007-01-02 2011-05-24 International Business Machines Corporation Systems and methods for error detection in a memory system
US8086783B2 (en) * 2009-02-23 2011-12-27 International Business Machines Corporation High availability memory system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040256638A1 (en) 2000-01-05 2004-12-23 Richard Perego Configurable width buffered module having a bypass circuit
US20040257847A1 (en) 2003-04-21 2004-12-23 Yoshinori Matsui Memory module and memory system

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10013371B2 (en) 2005-06-24 2018-07-03 Google Llc Configurable memory circuit system and method
US8619452B2 (en) 2005-09-02 2013-12-31 Google Inc. Methods and apparatus of stacking DRAMs
US8811065B2 (en) 2005-09-02 2014-08-19 Google Inc. Performing error detection on DRAMs
US11328764B2 (en) 2005-09-26 2022-05-10 Rambus Inc. Memory system topologies including a memory die stack
US9865329B2 (en) 2005-09-26 2018-01-09 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US11727982B2 (en) 2005-09-26 2023-08-15 Rambus Inc. Memory system topologies including a memory die stack
US11043258B2 (en) 2005-09-26 2021-06-22 Rambus Inc. Memory system topologies including a memory die stack
US10672458B1 (en) 2005-09-26 2020-06-02 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US10535398B2 (en) 2005-09-26 2020-01-14 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US10381067B2 (en) 2005-09-26 2019-08-13 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US9727458B2 (en) 2006-02-09 2017-08-08 Google Inc. Translating an address associated with a command communicated between a system and memory circuits
US8089795B2 (en) 2006-02-09 2012-01-03 Google Inc. Memory module with memory stack and interface with enhanced capabilities
US9047976B2 (en) 2006-07-31 2015-06-02 Google Inc. Combined signal delay and power saving for use with a plurality of memory circuits
JP2010524089A (en) * 2007-04-06 2010-07-15 ラムバス・インコーポレーテッド Memory system topology including buffer device and integrated circuit memory device
JP2011503760A (en) * 2007-10-23 2011-01-27 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. 3D memory module architecture
KR101574358B1 (en) 2007-10-23 2015-12-11 휴렛-팩커드 디벨롭먼트 컴퍼니, 엘.피. Three-dimensional memory module architectures
JP2009146027A (en) * 2007-12-12 2009-07-02 Seiko Epson Corp Electronic device, semiconductor storage device, print-recording material container and controller
US8631193B2 (en) 2008-02-21 2014-01-14 Google Inc. Emulation of abstracted DIMMS using abstracted DRAMS
US8438328B2 (en) 2008-02-21 2013-05-07 Google Inc. Emulation of abstracted DIMMs using abstracted DRAMs
WO2009107761A1 (en) * 2008-02-27 2009-09-03 日本電気株式会社 Optical integrated circuit device
US8521979B2 (en) 2008-05-29 2013-08-27 Micron Technology, Inc. Memory systems and methods for controlling the timing of receiving read data
TWI409815B (en) * 2008-05-29 2013-09-21 Micron Technology Inc Memory systems and methods for controlling the timing of receiving read data
US9411538B2 (en) 2008-05-29 2016-08-09 Micron Technology, Inc. Memory systems and methods for controlling the timing of receiving read data
US7774535B2 (en) 2008-06-19 2010-08-10 Kabushiki Kaisha Toshiba Memory system and memory device
US9146811B2 (en) 2008-07-02 2015-09-29 Micron Technology, Inc. Method and apparatus for repairing high capacity/high bandwidth memory devices
US10892003B2 (en) 2008-07-02 2021-01-12 Micron Technology, Inc. Multi-mode memory device and method having stacked memory dice, a logic die and a command processing circuit and operating in direct and indirect modes
JP2011527041A (en) * 2008-07-02 2011-10-20 マイクロン テクノロジー, インク. Multi-mode memory device and method
US10109343B2 (en) 2008-07-02 2018-10-23 Micron Technology, Inc. Multi-mode memory device and method having stacked memory dice, a logic die and a command processing circuit and operating in direct and indirect modes
US9659630B2 (en) 2008-07-02 2017-05-23 Micron Technology, Inc. Multi-mode memory device and method having stacked memory dice, a logic die and a command processing circuit and operating in direct and indirect modes
US9275698B2 (en) 2008-07-21 2016-03-01 Micron Technology, Inc. Memory system and method using stacked memory device dice, and system using the memory system
JP2011530735A (en) * 2008-08-08 2011-12-22 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. Independently controlled virtual memory device in memory module
US8788747B2 (en) 2008-08-08 2014-07-22 Hewlett-Packard Development Company, L.P. Independently controlled virtual memory devices in memory modules
JP2011530734A (en) * 2008-08-08 2011-12-22 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. Independently controllable and reconfigurable virtual memory device in a memory module that is pin compatible with a standard memory module
US9620183B2 (en) 2009-02-04 2017-04-11 Micron Technology, Inc. Stacked-die memory systems and methods for training stacked-die memory systems
JP2012517066A (en) * 2009-02-04 2012-07-26 マイクロン テクノロジー, インク. Stack die memory system and method for training a stack die memory system
JP2012521612A (en) * 2009-03-23 2012-09-13 マイクロン テクノロジー, インク. Configurable bandwidth memory device and method
US9293170B2 (en) 2009-03-23 2016-03-22 Micron Technology, Inc. Configurable bandwidth memory devices and methods
US9899994B2 (en) 2010-12-16 2018-02-20 Micron Technology, Inc. Phase interpolators and push-pull buffers
US8400808B2 (en) 2010-12-16 2013-03-19 Micron Technology, Inc. Phase interpolators and push-pull buffers
US9602080B2 (en) 2010-12-16 2017-03-21 Micron Technology, Inc. Phase interpolators and push-pull buffers
US9437263B2 (en) 2013-08-30 2016-09-06 Micron Technology, Inc. Apparatuses and methods for providing strobe signals to memories
US11768603B2 (en) 2016-01-11 2023-09-26 Micron Technology, Inc. Apparatuses and methods for concurrently accessing multiple partitions of a non-volatile memory

Also Published As

Publication number Publication date
WO2007038225A3 (en) 2007-06-14
US20080144411A1 (en) 2008-06-19
EP1929479A2 (en) 2008-06-11
US7729151B2 (en) 2010-06-01
US20070070669A1 (en) 2007-03-29
US20070088995A1 (en) 2007-04-19
CN101887743A (en) 2010-11-17
US7464225B2 (en) 2008-12-09
CN101887743B (en) 2013-02-20
JP2009510562A (en) 2009-03-12
CN101310338A (en) 2008-11-19

Similar Documents

Publication Publication Date Title
US7464225B2 (en) Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US11043258B2 (en) Memory system topologies including a memory die stack
US11727966B2 (en) Memory controllers, systems, and methods supporting multiple request modes
US7404032B2 (en) Configurable width buffered module having switch elements
US20230410890A1 (en) Memory System Topologies Including A Memory Die Stack

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680041998.3

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008532397

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006815141

Country of ref document: EP