WO2007027535A2 - Deposition of perovskite and other compound ceramic films for dielectric applications - Google Patents

Deposition of perovskite and other compound ceramic films for dielectric applications Download PDF

Info

Publication number
WO2007027535A2
WO2007027535A2 PCT/US2006/033315 US2006033315W WO2007027535A2 WO 2007027535 A2 WO2007027535 A2 WO 2007027535A2 US 2006033315 W US2006033315 W US 2006033315W WO 2007027535 A2 WO2007027535 A2 WO 2007027535A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
perovskite
target
layer
dielectric
Prior art date
Application number
PCT/US2006/033315
Other languages
French (fr)
Other versions
WO2007027535A3 (en
Inventor
Hongmei Zhang
Richard E. Demaray
Original Assignee
Symmorphix, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Symmorphix, Inc. filed Critical Symmorphix, Inc.
Priority to EP06790009A priority Critical patent/EP1929491A4/en
Priority to CN2006800396712A priority patent/CN101511493B/en
Publication of WO2007027535A2 publication Critical patent/WO2007027535A2/en
Publication of WO2007027535A3 publication Critical patent/WO2007027535A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/088Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/085Vapour deposited
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/12Ceramic dielectrics
    • H01G4/1209Ceramic dielectrics characterised by the ceramic dielectric material
    • H01G4/1218Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates
    • H01G4/1227Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates based on alkaline earth titanates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/30Stacked capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure

Definitions

  • the present invention is related to production and application of dielectric thin-films and, in particular, the deposition of perovskites such as Barium Strontium Titanate (BST) films and other ceramic oxides for dielectric applications.
  • perovskites such as Barium Strontium Titanate (BST) films and other ceramic oxides for dielectric applications.
  • Perovskite films for example Barium Strontium Titanate (BST) films
  • BST Barium Strontium Titanate
  • Perovskite films are one of the attractive materials to use in capacitors for high density device applications because of its relatively high dielectric constant, low leakage current density, high dielectric breakdown strength, and ferroelectric perovskite phase that does not exhibit fatigue.
  • electric properties of the perovskite films are greatly dependent on the deposition process, the substrate, the post-processing, and the related film structure.
  • thin film perovskites have rarely been utilized in manufacture primarily because of difficulties in controlling physical and chemical properties of the crystalline and amorphous phases of perovskite thin-film materials and their interactions with metallic and conductive electrodes.
  • Solid-state thin-film devices are typically formed by stacking thin films of metal and dielectric on a substrate.
  • the thin films typically include two metallic electrodes with a dielectric layer in between.
  • the thin films can be deposited utilizing a number of deposition processes, including sputtering, electroplating, chemical vapor deposition, sol gel, or oxidation.
  • Substrates suitable for these applications have conventionally been high temperature materials capable of withstanding at least one high temperature anneal process to at least 650-750 °C so as to crystallize the perovskite dielectric film in order to increase its dielectric constant.
  • Such a substrate can be any suitable material with appropriate structural and material properties, for example a semiconductor wafer, refractory metallic sheet (e.g., titanium, zirconium, or stainless steel), ceramic such as alumina, or other material capable of withstanding subsequent high temperature processing.
  • a semiconductor wafer e.g., silicon, zirconium, or stainless steel
  • ceramic e.g., alumina, or other material capable of withstanding subsequent high temperature processing.
  • the dielectric material is deposited in amorphous form and then the material is heated in an anneal process to form the crystalline material.
  • the deposition can provide a low-temperature, high deposition-rate deposition of a dense amorphous layer of BST from a conductive BST target, which can be annealed at much lower temperature to yield crystalline BST.
  • Some embodiments of the deposition address the need for low temperature, high rate deposition of perovskite films, for example BST films, which can be utilized as the dielectric layer in high specific capacitance devices as, for example, de-coupling capacitors, energy storage devices, voltage tunable capacitors, or other micro-electronic devices.
  • a method of depositing a perovskite or ceramic oxide layer includes placing a substrate in a reactor; flowing a gaseous mixture, for example argon and oxygen, through the reactor; and applying pulsed- DC power to a target formed of conductive perovskite or ceramic oxide material, such as BST, positioned opposite the substrate.
  • a gaseous mixture for example argon and oxygen
  • the perovskite layer can be formed utilizing radio frequency (RF) sputtering.
  • the perovskite is deposited by RF sputtering of a wide area target in the presence of a sputtering gas under a condition of uniform target erosion.
  • the substrate is positioned opposite a planar target formed of perovskite, for example BST, the area of the target being larger man the area of the substrate.
  • a central area of the target of the same size as the substrate and overlying the substrate is exposed to a uniform plasma condition, which provides a condition of uniform target erosion.
  • a uniform plasma condition can be created without magnetic enhancement, termed diode sputtering, or by providing a time-averaged uniform magnetic field by scanning a magnet across the target in a plane parallel to the plane of the target.
  • a film produced utilizing a pulsed dc, bias PVD process with a conductive ceramic target can be deposited at much higher rates than an insulating ceramic process, which requires an RF sputtering process. Further, deposition occurs with much less oxygen present in the gas flow to provide a fully oxidized film as opposed to a metallic target. The resulting film is much higher density than the low rate films.
  • the films can be stoichiometric, uniform, highly dense, with low sintering temperatures and resulting high dielectric properties.
  • the substrate is preheated.
  • the substrate can be heated to a temperature of about 400 0 C or below during deposition for low temperature perovskite deposition, or to higher temperatures for perovskite deposition on substrates capable of withstanding such temperature regime.
  • Substrates suitable for low temperature perovskite deposition include glass, plastic, metal foil, stainless steel, and copper.
  • a perovskite layer of thickness up to several microns thick can be deposited, although layers of any thickness can be formed.
  • the perovskite layer formed on the substrate is later annealed.
  • the anneal temperature can be as low as 400 0 C for low temperature anneal, and higher for perovskite deposition, on substrates capable of withstanding such higher temperature regime.
  • the perovskite target can be doped with transition metal dopants, for example manganese, transition elements, lanthanides (including the rare earth ions) and/or amphoteric elements.
  • a stacked capacitor structure can be formed.
  • the stacked capacitor structure includes one or more capacitor stacks deposited on a thin substrate, wherein each capacitor stack includes: a bottom electrode layer, a perovskite, for example BST, dielectric layer deposited over the bottom electrode layer; and a top electrode layer deposited over the dielectric layer.
  • a top conducting layer can be deposited over the capacitor stacks.
  • a capacitor structure can be formed in a cluster tool.
  • An exemplary method of producing a capacitor in a cluster tool includes loading a substrate into the cluster tool; depositing an electrode layer over the substrate in a first chamber of the cluster tool; depositing a perovskite dielectric layer over the electrode layer in a second chamber of the cluster tool; depositing a second electrode layer over the dielectric layer in a third chamber, In some embodiments the first and the second electrode layers can be deposited in the same chamber.
  • a fixture for holding a thin substrate can include a top portion; and a bottom portion, wherein the thin substrate is held when the top portion is attached to the bottom portion.
  • the ceramic layer can be deposited on a substrate coated with iridium or other refractory conductive material to provide a low temperature anneal processed capacitive structure.
  • Figures IA and IB illustrate a pulsed-DC biased reactive deposition apparatus that can be utilized in the methods of depositing according to the present invention.
  • Figure 1C illustrates an RF sputtering deposition apparatus.
  • Figure 2 shows an example of a target that can be utilized in the reactor illustrated in Figures IA, IB, and 1C.
  • Figures 3 A and 3B illustrate a thin-film capacitor design according to some embodiments of the present invention.
  • Figures 4A, 4B, 4C, and 4D illustrate a thin substrate mount and mask arrangement that can be utilized in the deposition of dielectric perovskite layers, for example BST films, deposited according to some embodiments of the present invention.
  • Figure 5 illustrates a cluster tool that can be utilized to form batteries with dielectric perovskite layers deposited according to some embodiments of the present invention.
  • Figure 6 illustrates an example of stacked capacitor structure with dielectric perovskite layers deposited according to some embodiments of the present invention.
  • dielectric perovskite films or other ceramic oxide films are deposited on a substrate by a pulsed-DC physical vapor deposition (PVD) process utilizing a conductive ceramic target.
  • PVD pulsed-DC physical vapor deposition
  • the film can be deposited by RF sputtering.
  • a dielectric perovskite layer for example BST material
  • BST material is deposited directly on the substrate with only low temperature anneal, eliminating the need of a subsequent high temperature anneal to crystallize the film. Removing the high temperature anneal allows for formation of capacitor structures on light-weight, low temperature, and low cost substrates such as copper foil and plastic sheet, reducing both the weight and the cost of capacitors while maintaining the high dielectric constant of the perovskite, for example BST, high-density dielectric film.
  • 10/101,341 are each assigned to the same assignee as is the present disclosure and each is incorporated herein in their entirety.
  • Deposition of oxide materials by RF sputtering has also been described in U.S. Patent No. 6,506,289, which is also herein incorporated by reference in its entirety.
  • Transparent oxide films can be deposited utilizing processes similar to those specifically described in U.S. Patent No. 6,506,289 and U.S. Application Serial No. 10/101,863.
  • FIG. IA shows a schematic of a reactor apparatus 10 for sputtering material from a target 12 according to the present invention.
  • apparatus 10 may, for example, be adapted from an AKT-1600 PVD (400 X 500 mm substrate size) system from Applied Komatsu or an AKT-4300 (600 X 720 mm substrate size) system from Applied Komatsu, Santa Clara, CA.
  • the AKT- 1600 reactor for example, has three deposition chambers connected by a vacuum transport chamber. These AKT reactors can be modified such that pulsed DC power is supplied to the target and RF power is supplied to the substrate during deposition of a material film.
  • Apparatus 10 includes target 12, which is electrically coupled through a filter 15 to a pulsed DC power supply 14.
  • target 12 is a wide area sputter source target, which provides material to be deposited on a substrate 16.
  • Substrate 16 is positioned parallel to and opposite target 12.
  • Target 12 functions as a cathode when power is applied to it from the pulsed DC power supply 14 and is equivalently termed a cathode.
  • Application of power to target 12 creates a plasma 53.
  • Substrate 16 is capacitively coupled to an electrode 17 through an insulator 54.
  • Electrode 17 can be coupled to an RF power supply 18.
  • a magnet 20 is scanned across the top of target 12.
  • the polarity of the power supplied to target 12 by power supply 14 oscillates between negative and positive potentials.
  • the insulating layer on the surface of target 12 is discharged.
  • the pulsing frequency exceeds a critical frequency that can depend on target material, cathode current and reverse time. High quality films can be made using reactive pulse DC magnetron sputtering as shown in apparatus 10.
  • Pulsed DC power supply 14 can be any pulsed DC power supply, for example an AE Pinnacle plus 1OK by Advanced Energy, Inc. With this DC power supply, up to 10 kW of pulsed DC power can be supplied at a frequency of between 0 and 350 kHz.
  • the reverse voltage can be 10% of the negative target voltage. Utilization of other power supplies can lead to different power characteristics, frequency characteristics and reverse voltage percentages. The reverse time on this embodiment of power supply 14 can be adjusted
  • Filter 15 prevents the RF bias power from power supply 18 from coupling into pulsed DC power supply 14.
  • power supply 18 can be a 2 MHz RF power supply, for example a Nova-25 power supply made by ENI, Colorado Springs, Co.
  • filter 15 can be a 2 MHz sinusoidal band rejection filter.
  • the band width of the filter can be approximately 100 kHz. Filter 15, therefore, prevents the 2 MHz power from the bias to substrate 16 from damaging power supply 14 while allowing the full bandwidth of the pulsed DC power supply to pass filter 15.
  • Pulsed DC deposited films are not fully dense and may have columnar structures. Columnar structures can be detrimental to thin film applications such as barrier films and dielectric films, where high density is important, due to the boundaries between the columns. The columns act to lower the dielectric strength of the material, but may provide diffusion paths for transport or diffusion of electrical current, ionic current, gas, or other chemical agents such as water.
  • target 12 can have an active size of about 675.70 X 582.48 by 4 mm in order to deposit films on substrate 16 that have dimension about 400 X 500 mm.
  • the temperature of substrate 16 can be adjusted to between -50 0 C and 500 0 C.
  • the distance between target 12 and substrate 16 can be between about 3 and about 9 cm.
  • Process gas can be inserted into the chamber of apparatus 10 at a rate up to about 200 seem while the pressure in the chamber of apparatus 10 can be held at between about .7 and 6 milliTorr.
  • Magnet 20 provides a magnetic field of strength between about 400 and about 600 Gauss directed in the plane of target 12 and is moved across target 12 at a rate of less than about 20-30 sec/scan.
  • magnet 20 can be a race-track shaped magnet with dimensions about 150 mm by 600 mm.
  • a perovskite layer is deposited by RF sputtering with a wide area target and a condition of uniform target erosion.
  • An example apparatus 30 for RF sputtering is illustrated schematically in FIG. 1C.
  • Apparatus 30 includes an RF power supply 60 coupled to wide area sputter source target 12 which provides material to be deposited on substrate 16.
  • Substrate 16 is positioned parallel to and opposite target 12.
  • Target 12 functions as a cathode when RF power is applied to it and is equivalently termed the cathode.
  • target 12 can be formed from a perovskite material, for example BST, for deposition of dielectric perovskite film.
  • Substrate 16 is a solid, smooth surface. Substrate 16 typically is supported on a holder or carrier sheet
  • a feature of the RF sputtering method is that the area of wide area target 12 is greater than the area on the carrier sheet on which physically and chemically uniform deposition is accomplished.
  • a central region on target 12, overlying the substrate 16 can be provided with a very uniform condition of sputter erosion of the target material.
  • Uniform target erosion is a consequence of a uniform plasma condition. In the following discussion, all mention of uniform condition of target erosion is taken to be equivalent to uniform plasma condition. Uniform target erosion is evidenced by the persistence of film uniformity throughout an extended target life.
  • a uniform deposited film is defined as a film having a nonuniformity in thickness, when measured at representative points on the entire surface of a substrate wafer, of less than about 5%.
  • Thickness nonuniformity is defined, by convention, as the difference between the minimum and maximum thickness divided by twice the average thickness. If films deposited from a target from which more than about 20% of the weight of the target has been removed under constant process conditions continue to exhibit thickness uniformity, then the sputtering process is judged to be in a condition of uniform target erosion for all films deposited during the target life.
  • a uniform plasma condition can be created in the region between the target and the substrate overlying the substrate.
  • the region of uniform plasma condition is indicated in the exploded view of FIG. IB.
  • a plasma is created in the region denoted 51, which extends under the entire target 12.
  • the central region of the target 52 experiences the condition of uniform sputter erosion.
  • a layer deposited on a substrate placed anywhere below central region 52 will have uniform film thickness.
  • the region in which deposition provides uniform film thickness is larger than the area in which deposition provides a film with uniform physical or optical properties such as chemical composition or index of refraction.
  • the target can be planar or approximately planar for the formation of a film on a planar substrate which is to be coated with the material of the target, hi practice, planarity of the target means that all portions of the target surface in region 52 are within a few millimeters of an ideal planar surface, typically within 0.5 mm.
  • Figure 2 illustrates an example of target 12.
  • a film deposited on a substrate positioned on carrier sheet 17 directly opposed to region 52 of target 12 has good thickness uniformity.
  • Region 52 is the region shown in Figure IB that is exposed to a uniform plasma condition.
  • carrier 17 can be coextensive with region 52.
  • Region 24 shown in Figure 2 indicates the area below which both physically and chemically uniform deposition can be achieved, for example where physical and chemical uniformity provide refractive index uniformity.
  • Figure 2 indicates region 52 of target 12 that provides thickness uniformity, which is, in general, larger than region 24 of target 12 providing thickness and chemical uniformity to the deposited film. In optimized processes, however, regions 52 and 24 may be coextensive.
  • magnet 20 extends beyond area 52 in one direction, for example the Y direction in Figure 2, so that scanning is necessary in only one direction, for example the X direction, to provide a time averaged uniform magnetic field.
  • magnet 20 can be scanned over the entire extent of target 12, which is larger than region 52 of uniform sputter erosion. Magnet 20 is moved in a plane parallel to the plane of target 12.
  • the combination of a uniform target 12 with a target area 52 larger than the area of substrate 16 can provide films of highly uniform thickness. Further, the material properties of the film deposited can be highly uniform.
  • the conditions of sputtering at the target surface such as the uniformity of erosion, the average temperature of the plasma at the target surface and the equilibration of the target surface with the gas phase ambient of the process are uniform over a region which is greater than or equal to the region to be coated with a uniform film thickness.
  • the region of uniform film thickness is greater than or equal to the region of the film which is to have highly uniform optical properties such as index of refraction, density, transmission, or absorption.
  • target 12 can be formed from perovskite material, such as BST, for deposition of dielectric perovskite film.
  • the perovskite target is doped with transition metal dopants, for example Manganese, transition elements, lanthanides (including the rare earth ions) and/or amphotaric elements.
  • the percentage of the dopant in the perovskite target is from 0.1 to several percent.
  • material tiles are formed. These tiles can be mounted on a backing plate to form a target for apparatus 10.
  • a wide area sputter cathode target can be formed from a close packed array of smaller tiles.
  • Target 12 may include any number of tiles, for example between 2 and 20 individual tiles.
  • Tiles can be finished to a size so as to provide a margin of non-contact, tile to tile, less than about 0.010" to about 0.020" or less than half a millimeter so as to eliminate plasma processes that may occur between adjacent ones of tiles 30.
  • the distance between tiles of target 12 and the dark space anode or ground shield 19 in Figure IB can be somewhat larger so as to provide non contact assembly or to provide for thermal expansion tolerance during process chamber conditioning or operation.
  • a uniform plasma condition can be created in the region between target 12 and substrate 16 in a region overlying substrate 16.
  • a plasma 53 can be created in region 51, which extends under the entire target 12.
  • a central region 52 of target 12 can experience a condition of uniform sputter erosion.
  • a layer deposited on a substrate placed anywhere below central region 52 can then be uniform in thickness and other properties (i.e., dielectric, optical index, or material concentrations).
  • the deposition provides uniformity of deposited film that can be larger than the area in which the deposition provides a film with uniform physical or optical properties such as chemical composition or index of refraction.
  • target 12 is substantially planar in order to provide uniformity in the film deposited on substrate 16.
  • planarity of target 12 can mean that all portions of the target surface in region 52 are within a few millimeters of a planar surface, and can be typically within 0.5 mm of a planar surface.
  • Reactive gases that provide a constant supply of oxygen to keep the target surface oxidized can be provided to expand the process window.
  • gases that can be utilized for controlling surface oxidation are O 2 , water vapor, hydrogen, N 2 O, fluorine, helium, and cesium.
  • a feedback control system can be incorporated to control the oxygen partial pressure in the reactive chamber. Therefore, a wide range of oxygen flow rates can be controlled to keep a steady oxygen partial pressure in the resulting plasma.
  • Other types of control systems such as target voltage control and optical plasma emission control systems can also be utilized to control the surface oxidation of the target.
  • power to target 12 can be controlled in a feedback loop at supply 14.
  • oxygen partial pressure controller 20 can control either oxygen or argon partial pressures in plasma 53.
  • oxygen flow or partial pressure can be utilized to maintain a constant voltage of discharge from target 12.
  • FIGs 3 A and 3B show a capacitor structure with a dielectric perovskite layer deposited according to some embodiments of the present invention.
  • a dielectric perovskite layer 302 is deposited on a substrate 301.
  • the dielectric layer 302 can be patterned in various ways before deposition of a substrate 301.
  • a first electrode layer 303 can be deposited on the substrate and the dielectric layer 302 is deposited over the first electrode layer.
  • the second electrode layer 304 is then deposited over the dielectric layer 302.
  • the dielectric perovskite layer 302 is crystalline and has sufficiently high dielectric constant without the necessity of a high temperature anneal.
  • substrate 301 can be a silicon wafer, titanium metal, alumina, or other conventional high temperature substrate, but may also be a low temperature material such as plastic, glass, or other material that may be susceptible to damage from the high temperature anneal.
  • This feature can have the great advantage of decreasing the expense and weight of capacitor structures formed by the present invention.
  • the low temperature deposition of perovskite material allows for successive depositions of perovskite and electrode layers, one upon another. Such a process would have the advantage that successive layers of capacitor structure would be obtained in a stacked condition without the inclusion of a substrate layer.
  • the stacked layered capacitor would provide higher capacitance and higher energy storage than single layer devices with a smaller surface area. Additionally, a capacitor with a lower inductance can be obtained.
  • perovskite films can be deposited on substrate 302 with a pulsed-DC biased PVD system as was described above.
  • an AKT 1600 PVD system can be modified to provide an RP bias and an Advanced Energy Pinnacle plus 1OK pulsed DC power supply can be utilized to provide power to a target.
  • the pulsing frequency of the power supply can vary from about 0 to about 350 KHz.
  • the power output of the power supply is between 0 and about 10 kW.
  • a target of Barium Strontium Titanate with resistivity in the range of less than about megaohms can be utilized with high rate pulsed-dc sputtering.
  • the target can be mounted on a monolithic backing plate as described in U.S. Provisional Application ⁇ Attorney Docket No. 09140.6013 ⁇ , , filed on August 26, 2005, which is also herein incorporated by reference in its entirety.
  • target 12 can be a dielectric material having a resistivity of less than about a megaohm, and therefore can be described as a conducting ceramic target.
  • Target 12 which is formed of a dielectric perovskite material that may not be inherently conducting, is made conducting by formulation so as to contain an excess of metallic composition or by addition of a dopant that provides sufficient conductivity. Examples of suitable dopants include boron, antimony, arsenic, phosphorous, or other dopants.
  • the sintering process can be conducted in the presence of a reducing ambient to achieve a sufficiently conductive target material. Utilization of a conducting ceramic target material can be sputtered at high rates utilizing reactive pulsed-DC techniques so as to form dense stoichiometric dielectric films.
  • Gas flows containing Oxygen and Argon can be utilized, hi some embodiments, the Oxygen to Argon ratio ranges from 0 to about 50% with a total gas flow of between about 60 to about 80 seem.
  • the pulsing frequency ranges from about 200 kHz to about 350 kHz during deposition.
  • RF bias can also be applied to the substrate. In many trials, the deposition rates varied from about 2 Angstrom/(kW sec) to about 1 Angstrom/(kW sec) depending on the O 2 / Ar ratio as well as substrate bias.
  • FIG. 3 A illustrates a layer of perovskite material 302 deposited on a thin substrate 301 according to some embodiments of the present invention.
  • Substrate 301 can be formed of a thin metallic sheet (e.g., copper, titanium, stainless steel, or other suitable thin metallic sheet), can be formed of a high temperature plastic material, or may be formed of a ceramic, glass, or polymer material.
  • FIGs 4A, 4B, 4C, and 4D illustrate a reusable fixture 400 for holding a thin film substrate.
  • reusable fixture 400 includes a top portion 401 and a bottom portion 402 that are fastened together to secure the substrate.
  • Thin substrate 301 is positioned between top portion 401 and bottom portion 402.
  • top portion 701 and bottom portion 702 are such that substrate 301 is brought into a planar condition and subsequently clamped as top portion 401 is closed into bottom portion 402.
  • Substrate 301 can be easily held by fixture 400 so that substrate 301 can be handled and positioned.
  • the corners of substrate 301, areas 403, are removed so that substrate 301 is more easily stretched by avoiding "wrap-around" corner clamping effects when top portion 401 is closed into bottom portion 402.
  • a mask 412 can be attached to fixture 400.
  • fixture 400 includes guides in order to align fixture 400 with respect to mask 412.
  • mask 412 may be attached to fixture 400 and travel with fixture 400.
  • Mask 412 can be positioned at any desired height above substrate 301 in fixture 400. Therefore, mask 412 can function as either a contact or proximity mask.
  • mask 412 is formed of another thin substrate mounted in a fixture similar to fixture 400.
  • fixture 400 and mask 412 can be positioned relative to mount 410.
  • Mount 410 for example, can be a susceptor, mount, or an electrostatic chuck of a processing chamber, such as that shown in Figures IA and IB.
  • Fixture 400 and . mask 412 can have features that allow for ready alignment with respect to each other and with respect to mount 410.
  • mask 412 is resident in the processing chamber and aligned with fixture 400 during positioning of fixture 400 on mount 410, as shown in Figure 4D.
  • substrates can be about 1 ⁇ m or more. Further, thin film substrate 301, once mounted within
  • a multiprocessor chamber system can be utilized to form stacks of layers, including one or more layers of perovskite film deposited according to embodiments of the present invention.
  • FIG. 5 illustrates a cluster tool 500 for processing thin film substrates.
  • Cluster tool 500 can, for example, include load lock 502 and load lock 503, through which mounted thin film substrate 301 is loaded and a resultant device is removed from cluster tool 500.
  • Chambers 504, 505, 506, 507, and 508 are processing chambers for depositions of materials, heat treatments, etching, or other processes.
  • 506, 507, and 508 can be a pulsed-DC or RF PVD chamber such as discussed above with respect to Figures IA, IB, and 1C and within which a dielectric perovskite film may be deposited according to embodiments of the present invention.
  • Transfer chamber 501 includes substrate transfer robotics to shuttle individual wafers between processing chambers 504, 505, 506,
  • substrates are loaded into load lock 503.
  • An electrode layer can be deposited in chamber 504, followed by a perovskite deposition performed in chamber 505.
  • the substrate can then be removed through load lock 503 for an in-air heat treatment external to cluster tool 500.
  • the treated wafer can then be reloaded into cluster tool 500 through load lock 502.
  • the wafer can then again be removed from cluster tool 500 for deposition of a second electrode layer, or sometimes chamber 506 can be adapted to deposition of the second electrode layer.
  • the process can be repeated to form a capacitor stack.
  • the finished capacitor structure is then off-loaded from cluster tool 500 in load lock 502. Wafers are shuttled from chamber to chamber by robotics in transfer chamber 501.
  • a capacitor structure produced according to the present invention could utilize thin film substrates loaded in a fixture such as fixture 400. Fixture 400 is then loaded into load lock 503. Chamber 504 may still include deposition of the electrode layer. Chamber 505 then includes deposition of a perovskite layer according to embodiments of the present invention. A second electrode layer can then be deposited in chamber 506. In this process, only low temperature anneal is utilized to increase crystallinity and the dielectric constant of the perovskite layer.
  • FIG. 1 Another advantage of a thin film capacitor process is the ability to stack capacitor structures.
  • substrates loaded into cluster tool 500 may traverse process chambers 504, 505, 506, 507, and 508 multiple times in order to produce multiply stacked capacitor structures.
  • Figures 6A and 6B illustrate such structures.
  • Figure 6A illustrates a parallel coupled stacking.
  • a substrate 301 which for example can be a high temperature plastic substrate, such as polyimide, is loaded into load lock 503.
  • Electrode layer 303 for example, can be deposited in chamber 504.
  • a dielectric perovskite layer 302 is then deposited on electrode layer 303.
  • Perovskite layer 302 can be about 0.1 to 1 ⁇ m and can be deposited in chamber 505 according to embodiments of the present invention. The wafer can then be moved to
  • next electrode layer 304 of thickness of about 0.1 ⁇ m or more is
  • a second capacitor stack can then be deposited over the first capacitor stack formed by first electrode layer 303, perovskite layer 302, and second electrode layer 304.
  • This capacitor stack includes second perovskite layer 305 and third electrode layer 306.
  • further stacks can be formed.
  • metal layers 303, 304, and 306 differ in the mask utilized in deposition so that tabs are formed for electrical coupling of layers.
  • any number of individual capacitor stacks can be formed such that parallel capacitor formations are formed.
  • Such a parallel arrangement of capacitor stacking structure can be formed of alternating layers of electrode and perovskite dielectric layers and can have any number of dielectric layers.
  • substrates are rotated again through the chambers of cluster tool 500 in order to deposit the multiple sets of capacitors.
  • a stack of any number of capacitors can be deposited in this fashion.
  • Tables I and II illustrate some examples depositions of perovskite material, for example BST, according to the present invention.
  • the BST film is deposited using an AKT- 1600 PVD (400 X 500 mm substrate size) system from Applied Komatsu.
  • the power supply is an ENI 13.56 MHz RF power supply with a ENI matchbox.
  • the target material is BST with resistivity in the range of k ⁇ s or less.
  • the target material can, for example, be sintered. Silicon wafers are used for initial experiments.
  • 0.1-1 microns of BST films are deposited on Si wafers with various bottom electrode materials such as: n++ Si, Ir, Pt, IrO 2 and also Ti 4 O 7 , Ti 3 O 5 , Nb, Os.
  • the Oxygen to Argon ratio ranges from 0 to 50%.
  • Process pressure ranges from 3-10 mT.
  • RF bias is applied to substrates for some of the examples.
  • the dielectric constant of as deposited film range from 13 to 123 and increases after post-deposition anneal to more than 1000.

Abstract

In accordance with the present invention, deposition of perovskite material, for example barium strontium titanite (BST) film, by a pulsed-dc physical vapor deposition process or by an RF sputtering process is presented. Such a deposition can provide a high deposition rate deposition of a layer of perovskite. Some embodiments of the deposition address the need for high rate deposition of perovskite films, which can be utilized as a dielectric layer in capacitors, other energy storing devices and micro-electronic applications. Embodiments of the process according to the present invention can eliminate the high temperature (>700 °C) anneal step that is conventionally needed to crystallize the BST layer.

Description

Deposition of Perovskite and Other Compound Ceramic Films for
Dielectric Applications
FIELD OF THE INVENTION
[001] The present invention is related to production and application of dielectric thin-films and, in particular, the deposition of perovskites such as Barium Strontium Titanate (BST) films and other ceramic oxides for dielectric applications.
DISCUSSION OF RELATED ART
[002] Perovskite films, for example Barium Strontium Titanate (BST) films, are one of the attractive materials to use in capacitors for high density device applications because of its relatively high dielectric constant, low leakage current density, high dielectric breakdown strength, and ferroelectric perovskite phase that does not exhibit fatigue. However, electric properties of the perovskite films are greatly dependent on the deposition process, the substrate, the post-processing, and the related film structure. For all of the potential, thin film perovskites have rarely been utilized in manufacture primarily because of difficulties in controlling physical and chemical properties of the crystalline and amorphous phases of perovskite thin-film materials and their interactions with metallic and conductive electrodes.
[003] Solid-state thin-film devices are typically formed by stacking thin films of metal and dielectric on a substrate. The thin films typically include two metallic electrodes with a dielectric layer in between. The thin films can be deposited utilizing a number of deposition processes, including sputtering, electroplating, chemical vapor deposition, sol gel, or oxidation. Substrates suitable for these applications have conventionally been high temperature materials capable of withstanding at least one high temperature anneal process to at least 650-750 °C so as to crystallize the perovskite dielectric film in order to increase its dielectric constant. Such a substrate can be any suitable material with appropriate structural and material properties, for example a semiconductor wafer, refractory metallic sheet (e.g., titanium, zirconium, or stainless steel), ceramic such as alumina, or other material capable of withstanding subsequent high temperature processing.
[004] However, conventional materials and production processes can limit the types of materials that can be used in device manufacture. Typically, the dielectric material is deposited in amorphous form and then the material is heated in an anneal process to form the crystalline material. Conventional formation of perovskite layers, for example, require an
anneal at or above 65O0C to transform the deposited amorphous film to a crystalline form. Such a high temperature anneal, however, severely limits the materials that can be utilized as the substrate, and often requires the use of expensive noble metals such as platinum to protect the substrate from reaction with the electrode material. Such high heat-treat temperatures are incompatible with standard semiconductor or MEM device processing, and limit the choice of substrate materials on which the layers can be formed, increasing the cost, and decreasing the yield of such devices formed with the layers.
[005] Therefore, there is a need for a low temperature process for depositing crystalline material, for example perovskite material and other ceramic oxides, onto a substrate.
SUMMARY
[006] In accordance with the present invention, deposition of layers in a pulsed-DC physical vapor deposition process from a conductive ceramic target is presented. In some embodiments, the deposition can provide a low-temperature, high deposition-rate deposition of a dense amorphous layer of BST from a conductive BST target, which can be annealed at much lower temperature to yield crystalline BST. Some embodiments of the deposition address the need for low temperature, high rate deposition of perovskite films, for example BST films, which can be utilized as the dielectric layer in high specific capacitance devices as, for example, de-coupling capacitors, energy storage devices, voltage tunable capacitors, or other micro-electronic devices.
[007] A method of depositing a perovskite or ceramic oxide layer according to some embodiments of the present invention includes placing a substrate in a reactor; flowing a gaseous mixture, for example argon and oxygen, through the reactor; and applying pulsed- DC power to a target formed of conductive perovskite or ceramic oxide material, such as BST, positioned opposite the substrate.
[008] In'some embodiments the perovskite layer can be formed utilizing radio frequency (RF) sputtering. The perovskite is deposited by RF sputtering of a wide area target in the presence of a sputtering gas under a condition of uniform target erosion. The substrate is positioned opposite a planar target formed of perovskite, for example BST, the area of the target being larger man the area of the substrate. A central area of the target of the same size as the substrate and overlying the substrate is exposed to a uniform plasma condition, which provides a condition of uniform target erosion. A uniform plasma condition can be created without magnetic enhancement, termed diode sputtering, or by providing a time-averaged uniform magnetic field by scanning a magnet across the target in a plane parallel to the plane of the target.
[009] A film produced utilizing a pulsed dc, bias PVD process with a conductive ceramic target can be deposited at much higher rates than an insulating ceramic process, which requires an RF sputtering process. Further, deposition occurs with much less oxygen present in the gas flow to provide a fully oxidized film as opposed to a metallic target. The resulting film is much higher density than the low rate films. The films can be stoichiometric, uniform, highly dense, with low sintering temperatures and resulting high dielectric properties. [010] In some embodiments, the substrate is preheated. The substrate can be heated to a temperature of about 4000C or below during deposition for low temperature perovskite deposition, or to higher temperatures for perovskite deposition on substrates capable of withstanding such temperature regime. Substrates suitable for low temperature perovskite deposition include glass, plastic, metal foil, stainless steel, and copper. A perovskite layer of thickness up to several microns thick can be deposited, although layers of any thickness can be formed.
[011] In some embodiments the perovskite layer formed on the substrate is later annealed. The anneal temperature can be as low as 4000C for low temperature anneal, and higher for perovskite deposition, on substrates capable of withstanding such higher temperature regime. In some embodiments the perovskite target can be doped with transition metal dopants, for example manganese, transition elements, lanthanides (including the rare earth ions) and/or amphoteric elements.
[012] In some embodiments, a stacked capacitor structure can be formed. The stacked capacitor structure includes one or more capacitor stacks deposited on a thin substrate, wherein each capacitor stack includes: a bottom electrode layer, a perovskite, for example BST, dielectric layer deposited over the bottom electrode layer; and a top electrode layer deposited over the dielectric layer. A top conducting layer can be deposited over the capacitor stacks.
[013] In some embodiments, a capacitor structure can be formed in a cluster tool. An exemplary method of producing a capacitor in a cluster tool includes loading a substrate into the cluster tool; depositing an electrode layer over the substrate in a first chamber of the cluster tool; depositing a perovskite dielectric layer over the electrode layer in a second chamber of the cluster tool; depositing a second electrode layer over the dielectric layer in a third chamber, In some embodiments the first and the second electrode layers can be deposited in the same chamber.
[014] A fixture for holding a thin substrate can include a top portion; and a bottom portion, wherein the thin substrate is held when the top portion is attached to the bottom portion.
[015] In some embodiments, the ceramic layer can be deposited on a substrate coated with iridium or other refractory conductive material to provide a low temperature anneal processed capacitive structure.
[016] These and other embodiments of the invention are further discussed below with reference to the following figures. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed. Further, specific explanations or theories regarding the deposition or performance of materials according to the present invention are presented for explanation only and are not to be considered limiting with respect to the scope of the present disclosure or the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[017] Figures IA and IB illustrate a pulsed-DC biased reactive deposition apparatus that can be utilized in the methods of depositing according to the present invention.
[018] Figure 1C illustrates an RF sputtering deposition apparatus.
[019] Figure 2 shows an example of a target that can be utilized in the reactor illustrated in Figures IA, IB, and 1C.
[020] Figures 3 A and 3B illustrate a thin-film capacitor design according to some embodiments of the present invention. [021] Figures 4A, 4B, 4C, and 4D illustrate a thin substrate mount and mask arrangement that can be utilized in the deposition of dielectric perovskite layers, for example BST films, deposited according to some embodiments of the present invention.
[022] Figure 5 illustrates a cluster tool that can be utilized to form batteries with dielectric perovskite layers deposited according to some embodiments of the present invention.
[023] Figure 6 illustrates an example of stacked capacitor structure with dielectric perovskite layers deposited according to some embodiments of the present invention.
[024] In the figures, elements having the same designation have the same or similar functions.
DETAILED DESCRIPTION
[025] In accordance with embodiments of the present invention, dielectric perovskite films or other ceramic oxide films are deposited on a substrate by a pulsed-DC physical vapor deposition (PVD) process utilizing a conductive ceramic target. In some embodiments, the film can be deposited by RF sputtering.
[026] In some embodiments, a dielectric perovskite layer, for example BST material, is deposited directly on the substrate with only low temperature anneal, eliminating the need of a subsequent high temperature anneal to crystallize the film. Removing the high temperature anneal allows for formation of capacitor structures on light-weight, low temperature, and low cost substrates such as copper foil and plastic sheet, reducing both the weight and the cost of capacitors while maintaining the high dielectric constant of the perovskite, for example BST, high-density dielectric film.
[027] Deposition of materials by pulsed-DC, RF biased reactive ion deposition is described in U.S. Patent Application Serial No. 10/101,863, entitled "Biased Pulse DC Reactive Sputtering of Oxide Films," to Hongmei Zhang, et al., filed on March 16, 2002. Preparation of targets is described in U.S. Patent Application Serial No. 10/101,341, entitled "Rare-Earth Pre- Alloyed PVD Targets for Dielectric Planar Applications," to Vassiliki Milonopoulou, et al., filed on March 16, 2002. U.S. Patent Application Serial No. 10/101,863 and U.S. Patent Application Serial No. 10/101,341 are each assigned to the same assignee as is the present disclosure and each is incorporated herein in their entirety. Deposition of oxide materials by RF sputtering has also been described in U.S. Patent No. 6,506,289, which is also herein incorporated by reference in its entirety. Transparent oxide films can be deposited utilizing processes similar to those specifically described in U.S. Patent No. 6,506,289 and U.S. Application Serial No. 10/101,863.
[028] Figure IA shows a schematic of a reactor apparatus 10 for sputtering material from a target 12 according to the present invention. In some embodiments, apparatus 10 may, for example, be adapted from an AKT-1600 PVD (400 X 500 mm substrate size) system from Applied Komatsu or an AKT-4300 (600 X 720 mm substrate size) system from Applied Komatsu, Santa Clara, CA. The AKT- 1600 reactor, for example, has three deposition chambers connected by a vacuum transport chamber. These AKT reactors can be modified such that pulsed DC power is supplied to the target and RF power is supplied to the substrate during deposition of a material film.
[029] Apparatus 10 includes target 12, which is electrically coupled through a filter 15 to a pulsed DC power supply 14. In some embodiments, target 12 is a wide area sputter source target, which provides material to be deposited on a substrate 16. Substrate 16 is positioned parallel to and opposite target 12. Target 12 functions as a cathode when power is applied to it from the pulsed DC power supply 14 and is equivalently termed a cathode. Application of power to target 12 creates a plasma 53. Substrate 16 is capacitively coupled to an electrode 17 through an insulator 54. Electrode 17 can be coupled to an RF power supply 18. A magnet 20 is scanned across the top of target 12. [030] For pulsed reactive DC magnetron sputtering, as performed by apparatus 10, the polarity of the power supplied to target 12 by power supply 14 oscillates between negative and positive potentials. During the positive period, the insulating layer on the surface of target 12 is discharged. To obtain arc free deposition, the pulsing frequency exceeds a critical frequency that can depend on target material, cathode current and reverse time. High quality films can be made using reactive pulse DC magnetron sputtering as shown in apparatus 10.
[031] Pulsed DC power supply 14 can be any pulsed DC power supply, for example an AE Pinnacle plus 1OK by Advanced Energy, Inc. With this DC power supply, up to 10 kW of pulsed DC power can be supplied at a frequency of between 0 and 350 kHz. The reverse voltage can be 10% of the negative target voltage. Utilization of other power supplies can lead to different power characteristics, frequency characteristics and reverse voltage percentages. The reverse time on this embodiment of power supply 14 can be adjusted
between 0 and 5 μs.
[032] Filter 15 prevents the RF bias power from power supply 18 from coupling into pulsed DC power supply 14. In some embodiments, power supply 18 can be a 2 MHz RF power supply, for example a Nova-25 power supply made by ENI, Colorado Springs, Co.
[033] In some embodiments, filter 15 can be a 2 MHz sinusoidal band rejection filter. In some embodiments, the band width of the filter can be approximately 100 kHz. Filter 15, therefore, prevents the 2 MHz power from the bias to substrate 16 from damaging power supply 14 while allowing the full bandwidth of the pulsed DC power supply to pass filter 15.
[034] Pulsed DC deposited films are not fully dense and may have columnar structures. Columnar structures can be detrimental to thin film applications such as barrier films and dielectric films, where high density is important, due to the boundaries between the columns. The columns act to lower the dielectric strength of the material, but may provide diffusion paths for transport or diffusion of electrical current, ionic current, gas, or other chemical agents such as water.
[035] In the AKT-1600 based system, for example, target 12 can have an active size of about 675.70 X 582.48 by 4 mm in order to deposit films on substrate 16 that have dimension about 400 X 500 mm. The temperature of substrate 16 can be adjusted to between -500C and 500 0C. The distance between target 12 and substrate 16 can be between about 3 and about 9 cm. Process gas can be inserted into the chamber of apparatus 10 at a rate up to about 200 seem while the pressure in the chamber of apparatus 10 can be held at between about .7 and 6 milliTorr. Magnet 20 provides a magnetic field of strength between about 400 and about 600 Gauss directed in the plane of target 12 and is moved across target 12 at a rate of less than about 20-30 sec/scan. In some embodiments utilizing the AKT 1600 reactor, magnet 20 can be a race-track shaped magnet with dimensions about 150 mm by 600 mm.
[036] In some embodiments of the present invention a perovskite layer is deposited by RF sputtering with a wide area target and a condition of uniform target erosion. An example apparatus 30 for RF sputtering is illustrated schematically in FIG. 1C. Apparatus 30 includes an RF power supply 60 coupled to wide area sputter source target 12 which provides material to be deposited on substrate 16. Substrate 16 is positioned parallel to and opposite target 12. Target 12 functions as a cathode when RF power is applied to it and is equivalently termed the cathode. In the present disclosure, target 12 can be formed from a perovskite material, for example BST, for deposition of dielectric perovskite film. Substrate
16 is a solid, smooth surface. Substrate 16 typically is supported on a holder or carrier sheet
17 that may be larger than substrate 16.
[037] In some embodiments, a feature of the RF sputtering method is that the area of wide area target 12 is greater than the area on the carrier sheet on which physically and chemically uniform deposition is accomplished. Secondly, a central region on target 12, overlying the substrate 16, can be provided with a very uniform condition of sputter erosion of the target material. Uniform target erosion is a consequence of a uniform plasma condition. In the following discussion, all mention of uniform condition of target erosion is taken to be equivalent to uniform plasma condition. Uniform target erosion is evidenced by the persistence of film uniformity throughout an extended target life. A uniform deposited film is defined as a film having a nonuniformity in thickness, when measured at representative points on the entire surface of a substrate wafer, of less than about 5%. Thickness nonuniformity is defined, by convention, as the difference between the minimum and maximum thickness divided by twice the average thickness. If films deposited from a target from which more than about 20% of the weight of the target has been removed under constant process conditions continue to exhibit thickness uniformity, then the sputtering process is judged to be in a condition of uniform target erosion for all films deposited during the target life.
[038] Thus, a uniform plasma condition can be created in the region between the target and the substrate overlying the substrate. The region of uniform plasma condition is indicated in the exploded view of FIG. IB. A plasma is created in the region denoted 51, which extends under the entire target 12. The central region of the target 52 experiences the condition of uniform sputter erosion. As discussed further below, a layer deposited on a substrate placed anywhere below central region 52 will have uniform film thickness.
[039] In addition, the region in which deposition provides uniform film thickness is larger than the area in which deposition provides a film with uniform physical or optical properties such as chemical composition or index of refraction. In the present invention the target can be planar or approximately planar for the formation of a film on a planar substrate which is to be coated with the material of the target, hi practice, planarity of the target means that all portions of the target surface in region 52 are within a few millimeters of an ideal planar surface, typically within 0.5 mm.
[040] Figure 2 illustrates an example of target 12. A film deposited on a substrate positioned on carrier sheet 17 directly opposed to region 52 of target 12 has good thickness uniformity. Region 52 is the region shown in Figure IB that is exposed to a uniform plasma condition. In some implementations, carrier 17 can be coextensive with region 52. Region 24 shown in Figure 2 indicates the area below which both physically and chemically uniform deposition can be achieved, for example where physical and chemical uniformity provide refractive index uniformity. Figure 2 indicates region 52 of target 12 that provides thickness uniformity, which is, in general, larger than region 24 of target 12 providing thickness and chemical uniformity to the deposited film. In optimized processes, however, regions 52 and 24 may be coextensive.
[041] In some embodiments, magnet 20 extends beyond area 52 in one direction, for example the Y direction in Figure 2, so that scanning is necessary in only one direction, for example the X direction, to provide a time averaged uniform magnetic field. As shown in - - - Figures IA and IB, magnet 20 can be scanned over the entire extent of target 12, which is larger than region 52 of uniform sputter erosion. Magnet 20 is moved in a plane parallel to the plane of target 12.
[042] The combination of a uniform target 12 with a target area 52 larger than the area of substrate 16 can provide films of highly uniform thickness. Further, the material properties of the film deposited can be highly uniform. The conditions of sputtering at the target surface, such as the uniformity of erosion, the average temperature of the plasma at the target surface and the equilibration of the target surface with the gas phase ambient of the process are uniform over a region which is greater than or equal to the region to be coated with a uniform film thickness. In addition, the region of uniform film thickness is greater than or equal to the region of the film which is to have highly uniform optical properties such as index of refraction, density, transmission, or absorption.
[043] In the present disclosure, target 12 can be formed from perovskite material, such as BST, for deposition of dielectric perovskite film. In some embodiments of the present invention the perovskite target is doped with transition metal dopants, for example Manganese, transition elements, lanthanides (including the rare earth ions) and/or amphotaric elements. In some embodiments of the present invention the percentage of the dopant in the perovskite target is from 0.1 to several percent.
[044] In some embodiments of the invention, material tiles are formed. These tiles can be mounted on a backing plate to form a target for apparatus 10. A wide area sputter cathode target can be formed from a close packed array of smaller tiles. Target 12, therefore, may include any number of tiles, for example between 2 and 20 individual tiles. Tiles can be finished to a size so as to provide a margin of non-contact, tile to tile, less than about 0.010" to about 0.020" or less than half a millimeter so as to eliminate plasma processes that may occur between adjacent ones of tiles 30. The distance between tiles of target 12 and the dark space anode or ground shield 19 in Figure IB can be somewhat larger so as to provide non contact assembly or to provide for thermal expansion tolerance during process chamber conditioning or operation.
[045] As shown in Figure IB, a uniform plasma condition can be created in the region between target 12 and substrate 16 in a region overlying substrate 16. A plasma 53 can be created in region 51, which extends under the entire target 12. A central region 52 of target 12 can experience a condition of uniform sputter erosion. As discussed further below, a layer deposited on a substrate placed anywhere below central region 52 can then be uniform in thickness and other properties (i.e., dielectric, optical index, or material concentrations). In addition, in region 52 the deposition provides uniformity of deposited film that can be larger than the area in which the deposition provides a film with uniform physical or optical properties such as chemical composition or index of refraction. In some embodiments, target 12 is substantially planar in order to provide uniformity in the film deposited on substrate 16. In practice, planarity of target 12 can mean that all portions of the target surface in region 52 are within a few millimeters of a planar surface, and can be typically within 0.5 mm of a planar surface.
[046] Reactive gases that provide a constant supply of oxygen to keep the target surface oxidized can be provided to expand the process window. Some examples of the gases that can be utilized for controlling surface oxidation are O2, water vapor, hydrogen, N2O, fluorine, helium, and cesium. Additionally, a feedback control system can be incorporated to control the oxygen partial pressure in the reactive chamber. Therefore, a wide range of oxygen flow rates can be controlled to keep a steady oxygen partial pressure in the resulting plasma. Other types of control systems such as target voltage control and optical plasma emission control systems can also be utilized to control the surface oxidation of the target. In some embodiments, power to target 12 can be controlled in a feedback loop at supply 14. Further, oxygen partial pressure controller 20 can control either oxygen or argon partial pressures in plasma 53. In some embodiments of the present invention, oxygen flow or partial pressure can be utilized to maintain a constant voltage of discharge from target 12.
[047] Figures 3 A and 3B show a capacitor structure with a dielectric perovskite layer deposited according to some embodiments of the present invention. As shown in Figure 3 A, a dielectric perovskite layer 302 is deposited on a substrate 301. In some embodiments, the dielectric layer 302 can be patterned in various ways before deposition of a substrate 301. In some embodiments, a first electrode layer 303 can be deposited on the substrate and the dielectric layer 302 is deposited over the first electrode layer. The second electrode layer 304 is then deposited over the dielectric layer 302. In some embodiments of the invention, the dielectric perovskite layer 302 is crystalline and has sufficiently high dielectric constant without the necessity of a high temperature anneal. Therefore, substrate 301 can be a silicon wafer, titanium metal, alumina, or other conventional high temperature substrate, but may also be a low temperature material such as plastic, glass, or other material that may be susceptible to damage from the high temperature anneal. This feature can have the great advantage of decreasing the expense and weight of capacitor structures formed by the present invention. The low temperature deposition of perovskite material allows for successive depositions of perovskite and electrode layers, one upon another. Such a process would have the advantage that successive layers of capacitor structure would be obtained in a stacked condition without the inclusion of a substrate layer. The stacked layered capacitor would provide higher capacitance and higher energy storage than single layer devices with a smaller surface area. Additionally, a capacitor with a lower inductance can be obtained.
[048] In accordance with the present invention, perovskite films can be deposited on substrate 302 with a pulsed-DC biased PVD system as was described above. In particular, an AKT 1600 PVD system can be modified to provide an RP bias and an Advanced Energy Pinnacle plus 1OK pulsed DC power supply can be utilized to provide power to a target. The pulsing frequency of the power supply can vary from about 0 to about 350 KHz. The power output of the power supply is between 0 and about 10 kW.
[049] A target of Barium Strontium Titanate with resistivity in the range of less than about megaohms can be utilized with high rate pulsed-dc sputtering. As discussed above, the target can be mounted on a monolithic backing plate as described in U.S. Provisional Application {Attorney Docket No. 09140.6013},, filed on August 26, 2005, which is also herein incorporated by reference in its entirety.
[050] In general, target 12 can be a dielectric material having a resistivity of less than about a megaohm, and therefore can be described as a conducting ceramic target. Target 12, which is formed of a dielectric perovskite material that may not be inherently conducting, is made conducting by formulation so as to contain an excess of metallic composition or by addition of a dopant that provides sufficient conductivity. Examples of suitable dopants include boron, antimony, arsenic, phosphorous, or other dopants. In the example of a BST target, the sintering process can be conducted in the presence of a reducing ambient to achieve a sufficiently conductive target material. Utilization of a conducting ceramic target material can be sputtered at high rates utilizing reactive pulsed-DC techniques so as to form dense stoichiometric dielectric films.
[051 ] Gas flows containing Oxygen and Argon can be utilized, hi some embodiments, the Oxygen to Argon ratio ranges from 0 to about 50% with a total gas flow of between about 60 to about 80 seem. The pulsing frequency ranges from about 200 kHz to about 350 kHz during deposition. RF bias can also be applied to the substrate. In many trials, the deposition rates varied from about 2 Angstrom/(kW sec) to about 1 Angstrom/(kW sec) depending on the O2/ Ar ratio as well as substrate bias.
[052] Figure 3 A illustrates a layer of perovskite material 302 deposited on a thin substrate 301 according to some embodiments of the present invention. Substrate 301 can be formed of a thin metallic sheet (e.g., copper, titanium, stainless steel, or other suitable thin metallic sheet), can be formed of a high temperature plastic material, or may be formed of a ceramic, glass, or polymer material.
[053] Depositing materials on a thin substrate involves holding and positioning the substrate during deposition. Figures 4A, 4B, 4C, and 4D illustrate a reusable fixture 400 for holding a thin film substrate. As shown in Figure 4A, reusable fixture 400 includes a top portion 401 and a bottom portion 402 that are fastened together to secure the substrate. Thin substrate 301 is positioned between top portion 401 and bottom portion 402. As shown in Figure 7B, top portion 701 and bottom portion 702 are such that substrate 301 is brought into a planar condition and subsequently clamped as top portion 401 is closed into bottom portion 402. Substrate 301 can be easily held by fixture 400 so that substrate 301 can be handled and positioned. In some embodiments, the corners of substrate 301, areas 403, are removed so that substrate 301 is more easily stretched by avoiding "wrap-around" corner clamping effects when top portion 401 is closed into bottom portion 402.
[054] As shown in Figure 4C, a mask 412 can be attached to fixture 400. In some embodiments, fixture 400 includes guides in order to align fixture 400 with respect to mask 412. hi some embodiments, mask 412 may be attached to fixture 400 and travel with fixture 400. Mask 412 can be positioned at any desired height above substrate 301 in fixture 400. Therefore, mask 412 can function as either a contact or proximity mask. In some embodiments, mask 412 is formed of another thin substrate mounted in a fixture similar to fixture 400.
[055] As shown in Figure 4C and 4D, fixture 400 and mask 412 can be positioned relative to mount 410. Mount 410, for example, can be a susceptor, mount, or an electrostatic chuck of a processing chamber, such as that shown in Figures IA and IB. Fixture 400 and . mask 412 can have features that allow for ready alignment with respect to each other and with respect to mount 410. In some embodiments, mask 412 is resident in the processing chamber and aligned with fixture 400 during positioning of fixture 400 on mount 410, as shown in Figure 4D.
[056] Utilizing fixture 400 as shown in Figures 4A, 4B3 4C, and 4D allows processing of a thin film substrate in a processing chamber. In some embodiments, thin film
substrates can be about 1 μm or more. Further, thin film substrate 301, once mounted within
fixture 400, can be handled and moved from process chamber to process chamber. Therefore, a multiprocessor chamber system can be utilized to form stacks of layers, including one or more layers of perovskite film deposited according to embodiments of the present invention.
[057] Figure 5 illustrates a cluster tool 500 for processing thin film substrates. Cluster tool 500 can, for example, include load lock 502 and load lock 503, through which mounted thin film substrate 301 is loaded and a resultant device is removed from cluster tool 500. Chambers 504, 505, 506, 507, and 508 are processing chambers for depositions of materials, heat treatments, etching, or other processes. One or more of chambers 504, 505,
506, 507, and 508 can be a pulsed-DC or RF PVD chamber such as discussed above with respect to Figures IA, IB, and 1C and within which a dielectric perovskite film may be deposited according to embodiments of the present invention.
[058] Processing chambers 504, 505, 506, 507, and 508 as well as load locks 502 and 503 are coupled by transfer chamber 501. Transfer chamber 501 includes substrate transfer robotics to shuttle individual wafers between processing chambers 504, 505, 506,
507, and 508 and load locks 502 and 503.
[059] In production of a thin-film capacitor, substrates are loaded into load lock 503. An electrode layer can be deposited in chamber 504, followed by a perovskite deposition performed in chamber 505. The substrate can then be removed through load lock 503 for an in-air heat treatment external to cluster tool 500. The treated wafer can then be reloaded into cluster tool 500 through load lock 502. The wafer can then again be removed from cluster tool 500 for deposition of a second electrode layer, or sometimes chamber 506 can be adapted to deposition of the second electrode layer. The process can be repeated to form a capacitor stack. The finished capacitor structure is then off-loaded from cluster tool 500 in load lock 502. Wafers are shuttled from chamber to chamber by robotics in transfer chamber 501.
[060] A capacitor structure produced according to the present invention could utilize thin film substrates loaded in a fixture such as fixture 400. Fixture 400 is then loaded into load lock 503. Chamber 504 may still include deposition of the electrode layer. Chamber 505 then includes deposition of a perovskite layer according to embodiments of the present invention. A second electrode layer can then be deposited in chamber 506. In this process, only low temperature anneal is utilized to increase crystallinity and the dielectric constant of the perovskite layer.
[061] Another advantage of a thin film capacitor process is the ability to stack capacitor structures. In other words, substrates loaded into cluster tool 500 may traverse process chambers 504, 505, 506, 507, and 508 multiple times in order to produce multiply stacked capacitor structures. Figures 6A and 6B illustrate such structures.
[062] Figure 6A illustrates a parallel coupled stacking. As shown in Figure 6A, a substrate 301, which for example can be a high temperature plastic substrate, such as polyimide, is loaded into load lock 503. Electrode layer 303, for example, can be deposited in chamber 504. A dielectric perovskite layer 302 is then deposited on electrode layer 303.
Perovskite layer 302 can be about 0.1 to 1 μm and can be deposited in chamber 505 according to embodiments of the present invention. The wafer can then be moved to
chamber 506 where the next electrode layer 304 of thickness of about 0.1 μm or more is
deposited. A second capacitor stack can then be deposited over the first capacitor stack formed by first electrode layer 303, perovskite layer 302, and second electrode layer 304. This capacitor stack includes second perovskite layer 305 and third electrode layer 306. In some embodiments, further stacks can be formed. In some embodiments, metal layers 303, 304, and 306 differ in the mask utilized in deposition so that tabs are formed for electrical coupling of layers.
[063] As discussed above, any number of individual capacitor stacks can be formed such that parallel capacitor formations are formed. Such a parallel arrangement of capacitor stacking structure can be formed of alternating layers of electrode and perovskite dielectric layers and can have any number of dielectric layers.
[064] To form the structures shown in Figure 6, substrates are rotated again through the chambers of cluster tool 500 in order to deposit the multiple sets of capacitors. In general, a stack of any number of capacitors can be deposited in this fashion.
[065] Tables I and II illustrate some examples depositions of perovskite material, for example BST, according to the present invention. In these examples, the BST film is deposited using an AKT- 1600 PVD (400 X 500 mm substrate size) system from Applied Komatsu. The power supply is an ENI 13.56 MHz RF power supply with a ENI matchbox. The target material is BST with resistivity in the range of kΩs or less. The target material can, for example, be sintered. Silicon wafers are used for initial experiments. 0.1-1 microns of BST films are deposited on Si wafers with various bottom electrode materials such as: n++ Si, Ir, Pt, IrO2 and also Ti4O7, Ti3O5, Nb, Os. The Oxygen to Argon ratio ranges from 0 to 50%. Process pressure ranges from 3-10 mT. RF bias is applied to substrates for some of the examples. The dielectric constant of as deposited film range from 13 to 123 and increases after post-deposition anneal to more than 1000.
[066] One skilled in the art will recognize variations and modifications of the examples specifically discussed in this disclosure. These variations and modifications are intended to be within the scope and spirit of this disclosure. As such, the scope is limited only by the following claims. TABLE 1
O
Figure imgf000021_0001
Table II
to
Figure imgf000022_0001
N)
NJ
Figure imgf000023_0001

Claims

WHAT IS CLAIMED IS:
1. A method of depositing a perovskite layer on a substrate, comprising: placing the substrate into a reactor;
flowing a gaseous mixture through the reactor; and providing power to a target formed of a perovskite material positioned opposite the substrate.
2. The method of claim 1 , wherein providing power to the conducting target includes applying pulsed-DC power to the conducting target.
3. The method of claim 2 further including filtering the pulsed-DC power to protect a pulsed DC power supply from a bias power while allowing passage of the pulsed DC power through the filter.
4. The method of claim 2, further including supplying an RF bias power to the substrate.
5. The method of claim 1, wherein providing power to the conducting target includes applying RF power to the conducting target.
6. The method of claim 1, wherein a perovskite layer is formed on the substrate.
7. The method of claim 6, wherein the perovskite layer is a barium strontium titanite
(BST) layer.
8. The method of claim 6, wherein the formed perovskite layer is more than about 0.1 micron thick.
9. The method of claim 6 wherein the formed perovskite layer is less than about 1 micron thick.
10. The method of claim 6, further comprising annealing the perovskite layer formed on the substrate.
11. The method of claim 10 wherein annealing the perovskite layer includes heating the perovskite layer to an anneal temperature of between about 5000C and about 8000C.
12. The method of claim 1 , further comprising preheating the substrate before applying power to the conducting target.
13. The method of claim 12, wherein preheating the substrate including heating the substrate to a temperature of about 400 0C for low temperature perovskite deposition.
14. The method of claim 1, wherein the substrate is a low temperature substrate.
15. The method of claim 14, wherein the low temperature substrate is one of a set of substrates including glass, plastic, metal foil, copper, and stainless steel.
16. The method of claim 1 wherein the conducting target is doped with a transition metal dopant, transition element, lanthanide, and/or amphoteric elements.
17. The method of claim 16 wherein the target is doped with Manganese.
18. The method of claim 17 wherein a level of Manganese in the target is at least 0.1%.
19. The method of claim 1 , wherein the perovskite target is a conductive target.
20. A capacitor structure, comprising: a first conducting electrode layer; a dielectric perovskite layer deposited over the first conducting electrode layer; and a second conducting electrode layer deposited over the dielectric perovskite layer.
21. The capacitor of claim 20, wherein the first conducting layer is a copper sheet.
22. A stacked capacitor structure, comprising: one or more capacitor stacks deposited on a substrate, wherein each capacitor stack comprises: a bottom electrode layer, a dielectric perovskite layer deposited over the electrode layer, and a top electrode layer deposited over the one or more capacitor stacks.
23. The stacked capacitor structure of claim 22, wherein the capacitor stacks form a parallel stacked capacitor structure.
24. The stacked capacitor structure of claim 22, wherein the capacitor stacks form a series stacked capacitor structure.
25. A method of producing a capacitor, comprising: loading a substrate into a cluster tool; depositing a dielectric perovskite layer over a substrate in a chamber of the cluster tool.
26. The method of claim 25, wherein depositing the dielectric perovskite layer includes depositing perovskite film with a pulsed-DC PVD process.
27. The method of claim 25, wherein depositing the dielectric perovskite layer includes depositing perovskite film with an RF sputtering PVD process.
28. The method of claim 25, wherein depositing the dielectric perovskite layer includes depositing the perovskite material through a mask.
29. The method of claim 25, further including depositing a bottom electrode layer on the substrate wherein the dielectric perovskite layer is .deposited over the bottom electrode layer.
31. The method of claim 25, further including depositing a top electrode layer over the dielectric perovskite layer.
32. A fixture for holding a thin substrate, comprising: a top portion; and a bottom portion, wherein the thin substrate is held when the top portion is attached to the bottom portion.
PCT/US2006/033315 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications WO2007027535A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP06790009A EP1929491A4 (en) 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications
CN2006800396712A CN101511493B (en) 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/218,652 2005-09-02
US11/218,652 US7838133B2 (en) 2005-09-02 2005-09-02 Deposition of perovskite and other compound ceramic films for dielectric applications

Publications (2)

Publication Number Publication Date
WO2007027535A2 true WO2007027535A2 (en) 2007-03-08
WO2007027535A3 WO2007027535A3 (en) 2009-04-16

Family

ID=37809389

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/033315 WO2007027535A2 (en) 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications

Country Status (5)

Country Link
US (1) US7838133B2 (en)
EP (1) EP1929491A4 (en)
CN (1) CN101511493B (en)
TW (1) TWI404814B (en)
WO (1) WO2007027535A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US8105466B2 (en) 2002-03-16 2012-01-31 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
WO2014114360A1 (en) * 2013-01-28 2014-07-31 Applied Materials, Inc. Substrate carrier arrangement and method for holding a substrate
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
US9634296B2 (en) 2002-08-09 2017-04-25 Sapurast Research Llc Thin film battery on an integrated circuit or circuit board and method thereof
US9786873B2 (en) 2008-01-11 2017-10-10 Sapurast Research Llc Thin film encapsulation for thin film batteries and other devices
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
RU2671614C1 (en) * 2017-06-19 2018-11-02 Федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина) METHOD FOR OBTAINING FERROELECTRIC FILMS Ba1-XSrXTiO3
US10680277B2 (en) 2010-06-07 2020-06-09 Sapurast Research Llc Rechargeable, high-density electrochemical device

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US7883031B2 (en) * 2003-05-20 2011-02-08 James F. Collins, Jr. Ophthalmic drug delivery system
US7238628B2 (en) 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
TWI331634B (en) 2004-12-08 2010-10-11 Infinite Power Solutions Inc Deposition of licoo2
EP1935000A1 (en) * 2005-10-13 2008-06-25 NV Bekaert SA A method to deposit a coating by sputtering
KR20140139636A (en) 2006-03-10 2014-12-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
US20080047826A1 (en) * 2006-08-23 2008-02-28 Atomic Energy Council-Institute Of Nuclear Energy Research Protective coating method of pervoskite structure for SOFC interconnection
EP2067163A4 (en) 2006-09-29 2009-12-02 Infinite Power Solutions Inc Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
CN101983469B (en) 2008-04-02 2014-06-04 无穷动力解决方案股份有限公司 Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
EP2321832A1 (en) * 2008-07-08 2011-05-18 Technical University of Denmark Magnetocaloric refrigerators
JP5650646B2 (en) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド Energy device with integral conductive surface for data communication via electromagnetic energy and method for data communication via electromagnetic energy
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
KR20120016044A (en) * 2009-03-27 2012-02-22 휴렛-팩커드 디벨롭먼트 컴퍼니, 엘.피. Switchable junction with intrinsic diode
KR101792287B1 (en) 2009-09-01 2017-10-31 사푸라스트 리써치 엘엘씨 Printed circuit board with integrated thin film battery
US9312076B1 (en) * 2009-12-30 2016-04-12 University Of West Florida Very high energy-density ultracapacitor apparatus and method
US20140134823A1 (en) * 2011-06-20 2014-05-15 Advanced Technology Materials, Inc. High-k perovskite materials and methods of making and using the same
US20230118610A1 (en) * 2011-06-29 2023-04-20 Space Charge, LLC Electrochemical energy storage devices
CN102423168A (en) * 2011-11-04 2012-04-25 昆山龙鹰金属制品有限公司 201 stainless steel insole production process
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
US20140342102A1 (en) * 2013-05-20 2014-11-20 Advantech Global, Ltd Small Feature Size Fabrication Using a Shadow Mask Deposition Process
US10355624B2 (en) * 2014-10-09 2019-07-16 Carnegie Mellon University Electrostatic clutch
WO2017196892A1 (en) 2016-05-09 2017-11-16 Demaray, Llc Thin film battery with high capacity, energy density and cycle life
US10861992B2 (en) 2016-11-25 2020-12-08 The Boeing Company Perovskite solar cells for space
KR20180090116A (en) * 2017-02-02 2018-08-10 삼성전자주식회사 Light filter and Spectrometer comprising the same
WO2018168241A1 (en) * 2017-03-16 2018-09-20 株式会社村田製作所 Lithium ion secondary battery
WO2018237318A1 (en) * 2017-06-23 2018-12-27 Sinmat, Inc. Film for applying compressive stress to ceramic materials
US10578684B2 (en) * 2018-01-12 2020-03-03 Allegro Microsystems, Llc Magnetic field sensor having magnetoresistance elements with opposite bias directions
US10509058B2 (en) 2018-01-12 2019-12-17 Allegro Microsystems, Llc Current sensor using modulation of or change of sensitivity of magnetoresistance elements
US10955720B2 (en) * 2018-03-09 2021-03-23 Hrl Laboratories, Llc Electrically reconfigurable optical apparatus using electric field
US10734443B2 (en) 2018-08-27 2020-08-04 Allegro Microsystems, Llc Dual manetoresistance element with two directions of response to external magnetic fields
US10670669B2 (en) 2018-10-11 2020-06-02 Allegro Microsystems, Llc Magnetic field sensor for measuring an amplitude and a direction of a magnetic field using one or more magnetoresistance elements having reference layers with the same magnetic direction
US10746820B2 (en) 2018-10-11 2020-08-18 Allegro Microsystems, Llc Magnetic field sensor that corrects for the effect of a stray magnetic field using one or more magnetoresistance elements, each having a reference layer with the same magnetic direction
US10866287B1 (en) 2019-07-10 2020-12-15 Allegro Microsystems, Llc Magnetic field sensor with magnetoresistance elements arranged in a bridge and having a common reference direction and opposite bias directions
EP4153797A1 (en) 2020-05-20 2023-03-29 Hrl Laboratories, Llc Method of growing crystalline optical films on si substrates which may optionally have an extremely small optical loss in the infra-red spectrum with hydrogenation of the crystalline optical films
EP4154040A1 (en) 2020-05-20 2023-03-29 Hrl Laboratories, Llc Solid state electrically variable-focal length lens
US11719771B1 (en) 2022-06-02 2023-08-08 Allegro Microsystems, Llc Magnetoresistive sensor having seed layer hysteresis suppression

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3309302A (en) 1963-10-07 1967-03-14 Varian Associates Method of preparing an electron tube including sputtering a suboxide of titanium on dielectric components thereof
US3616403A (en) 1968-10-25 1971-10-26 Ibm Prevention of inversion of p-type semiconductor material during rf sputtering of quartz
GB1365492A (en) 1971-02-05 1974-09-04 Triplex Safety Glass Co Metal oxide films
US3850604A (en) 1972-12-11 1974-11-26 Gte Laboratories Inc Preparation of chalcogenide glass sputtering targets
US4111523A (en) 1973-07-23 1978-09-05 Bell Telephone Laboratories, Incorporated Thin film optical waveguide
US4099091A (en) 1976-07-28 1978-07-04 Matsushita Electric Industrial Co., Ltd. Electroluminescent panel including an electrically conductive layer between two electroluminescent layers
US4082569A (en) 1977-02-22 1978-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Solar cell collector
US4437966A (en) 1982-09-30 1984-03-20 Gte Products Corporation Sputtering cathode apparatus
DE3345659A1 (en) 1983-06-16 1984-12-20 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V., 3400 Göttingen ZIRCONIUM DIOXIDE CERAMIC BODY (ZRO (DOWN ARROW) 2 (DOWN ARROW)) AND METHOD FOR PRODUCING THE SAME
EP0140638B1 (en) 1983-10-17 1988-06-29 Tosoh Corporation High-strength zirconia type sintered body and process for preparation thereof
DE3417732A1 (en) 1984-05-12 1986-07-10 Leybold-Heraeus GmbH, 5000 Köln METHOD FOR APPLYING SILICON-CONTAINING LAYERS TO SUBSTRATES BY CATODIZING AND SPRAYING CATODE FOR CARRYING OUT THE METHOD
GB8414878D0 (en) 1984-06-11 1984-07-18 Gen Electric Co Plc Integrated optical waveguides
US5173271A (en) 1985-12-04 1992-12-22 Massachusetts Institute Of Technology Enhanced radiative zone-melting recrystallization method and apparatus
US5296089A (en) 1985-12-04 1994-03-22 Massachusetts Institute Of Technology Enhanced radiative zone-melting recrystallization method and apparatus
US5141603A (en) * 1988-03-28 1992-08-25 The United States Of America As Represented By The Secretary Of The Air Force Capacitor method for improved oxide dielectric
US4915810A (en) 1988-04-25 1990-04-10 Unisys Corporation Target source for ion beam sputter deposition
JP2501118B2 (en) 1988-06-17 1996-05-29 忠弘 大見 Method for manufacturing semiconductor device
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
JP2758948B2 (en) 1989-12-15 1998-05-28 キヤノン株式会社 Thin film formation method
DE4022090A1 (en) 1989-12-18 1991-06-20 Forschungszentrum Juelich Gmbh ELECTRO-OPTICAL COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
US5085904A (en) 1990-04-20 1992-02-04 E. I. Du Pont De Nemours And Company Barrier materials useful for packaging
US5306569A (en) 1990-06-15 1994-04-26 Hitachi Metals, Ltd. Titanium-tungsten target material and manufacturing method thereof
JP2755471B2 (en) 1990-06-29 1998-05-20 日立電線株式会社 Rare earth element doped optical waveguide and method of manufacturing the same
US5645626A (en) 1990-08-10 1997-07-08 Bend Research, Inc. Composite hydrogen separation element and module
US5225288A (en) 1990-08-10 1993-07-06 E. I. Du Pont De Nemours And Company Solvent blockers and multilayer barrier coatings for thin films
US5110696A (en) 1990-11-09 1992-05-05 Bell Communications Research Rechargeable lithiated thin film intercalation electrode battery
NL9002844A (en) 1990-12-21 1992-07-16 Philips Nv SYSTEM INCLUDING A DEVICE AND A CASSETTE, AND A DEVICE AND A CASSETTE SUITABLE FOR USE IN SUCH A SYSTEM.
US5200029A (en) 1991-04-25 1993-04-06 At&T Bell Laboratories Method of making a planar optical amplifier
US5119460A (en) 1991-04-25 1992-06-02 At&T Bell Laboratories Erbium-doped planar optical device
US5107538A (en) 1991-06-06 1992-04-21 At&T Bell Laboratories Optical waveguide system comprising a rare-earth Si-based optical device
US5196041A (en) 1991-09-17 1993-03-23 The Charles Stark Draper Laboratory, Inc. Method of forming an optical channel waveguide by gettering
US5355089A (en) 1992-07-22 1994-10-11 Duracell Inc. Moisture barrier for battery with electrochemical tester
JP2755844B2 (en) 1991-09-30 1998-05-25 シャープ株式会社 Plastic substrate liquid crystal display
ATE153912T1 (en) 1991-12-11 1997-06-15 Mobil Oil Corp HIGH BLOCKING FILM
JPH06145975A (en) 1992-03-20 1994-05-27 Komag Inc Method of spattering carbon film and its product
US5287427A (en) 1992-05-05 1994-02-15 At&T Bell Laboratories Method of making an article comprising an optical component, and article comprising the component
US5338625A (en) 1992-07-29 1994-08-16 Martin Marietta Energy Systems, Inc. Thin film battery and method for making same
JP3214910B2 (en) 1992-08-18 2001-10-02 富士通株式会社 Manufacturing method of planar waveguide optical amplifier
US5538796A (en) 1992-10-13 1996-07-23 General Electric Company Thermal barrier coating system having no bond coat
JP3231900B2 (en) 1992-10-28 2001-11-26 株式会社アルバック Film forming equipment
US5789071A (en) 1992-11-09 1998-08-04 Northwestern University Multilayer oxide coatings
US5942089A (en) 1996-04-22 1999-08-24 Northwestern University Method for sputtering compounds on a substrate
JPH06158308A (en) 1992-11-24 1994-06-07 Hitachi Metals Ltd Target for sputtering for indium-tin oxide film and its production
US6022458A (en) 1992-12-07 2000-02-08 Canon Kabushiki Kaisha Method of production of a semiconductor substrate
AU669754B2 (en) 1992-12-18 1996-06-20 Becton Dickinson & Company Barrier coating
US5303319A (en) 1992-12-28 1994-04-12 Honeywell Inc. Ion-beam deposited multilayer waveguides and resonators
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JPH06279185A (en) 1993-03-25 1994-10-04 Canon Inc Forming method of diamond crystal and diamond crystal film
US5613995A (en) 1993-04-23 1997-03-25 Lucent Technologies Inc. Method for making planar optical waveguides
SG46607A1 (en) 1993-07-28 1998-02-20 Asahi Glass Co Ltd Method of an apparatus for sputtering
US5499207A (en) 1993-08-06 1996-03-12 Hitachi, Ltd. Semiconductor memory device having improved isolation between electrodes, and process for fabricating the same
JP2642849B2 (en) 1993-08-24 1997-08-20 株式会社フロンテック Thin film manufacturing method and manufacturing apparatus
US5478456A (en) 1993-10-01 1995-12-26 Minnesota Mining And Manufacturing Company Sputtering target
EP0652308B1 (en) 1993-10-14 2002-03-27 Neuralsystems Corporation Method of and apparatus for forming single-crystalline thin film
US5738731A (en) 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US5654984A (en) 1993-12-03 1997-08-05 Silicon Systems, Inc. Signal modulation across capacitors
US5569520A (en) 1994-01-12 1996-10-29 Martin Marietta Energy Systems, Inc. Rechargeable lithium battery for use in applications requiring a low to high power output
US5561004A (en) 1994-02-25 1996-10-01 Bates; John B. Packaging material for thin film lithium batteries
US5475528A (en) 1994-03-25 1995-12-12 Corning Incorporated Optical signal amplifier glasses
JP3947575B2 (en) 1994-06-10 2007-07-25 Hoya株式会社 Conductive oxide and electrode using the same
US5472795A (en) 1994-06-27 1995-12-05 Board Of Regents Of The University Of The University Of Wisconsin System, On Behalf Of The University Of Wisconsin-Milwaukee Multilayer nanolaminates containing polycrystalline zirconia
WO1996000996A1 (en) 1994-06-30 1996-01-11 The Whitaker Corporation Planar hybrid optical amplifier
US5457569A (en) 1994-06-30 1995-10-10 At&T Ipm Corp. Semiconductor amplifier or laser having integrated lens
JP3407409B2 (en) 1994-07-27 2003-05-19 富士通株式会社 Manufacturing method of high dielectric constant thin film
US5483613A (en) 1994-08-16 1996-01-09 At&T Corp. Optical device with substrate and waveguide structure having thermal matching interfaces
US5909346A (en) 1994-08-26 1999-06-01 Aiwa Research & Development, Inc. Thin magnetic film including multiple geometry gap structures on a common substrate
US6204111B1 (en) 1994-12-28 2001-03-20 Matsushita Electronics Corporation Fabrication method of capacitor for integrated circuit
CN1075243C (en) 1994-12-28 2001-11-21 松下电器产业株式会社 Capacity element of integrated circuit and manufacturing method thereof
US5555342A (en) 1995-01-17 1996-09-10 Lucent Technologies Inc. Planar waveguide and a process for its fabrication
US5607789A (en) 1995-01-23 1997-03-04 Duracell Inc. Light transparent multilayer moisture barrier for electrochemical cell tester and cell employing same
AU1834795A (en) 1995-01-25 1996-08-14 Applied Kotmatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US6444750B1 (en) 1995-03-06 2002-09-03 Exxonmobil Oil Corp. PVOH-based coating solutions
NL1000138C2 (en) * 1995-04-13 1996-10-15 Od & Me Bv Substrate processing devices as well as methods suitable for use with such devices.
AUPN258095A0 (en) 1995-04-21 1995-05-18 Unisearch Limited Low temperature fabrication of silica-based pecvd channel waveguides
JPH10509773A (en) 1995-04-25 1998-09-22 ザ ビーオーシー グループ インコーポレイテッド Sputtering apparatus and method for forming a dielectric layer on a substrate
US5771562A (en) 1995-05-02 1998-06-30 Motorola, Inc. Passivation of organic devices
US6248291B1 (en) 1995-05-18 2001-06-19 Asahi Glass Company Ltd. Process for producing sputtering targets
KR100342189B1 (en) 1995-07-12 2002-11-30 삼성전자 주식회사 Method for producing rare earth elements-added optical fiber by using volatile composite
ATE204029T1 (en) 1995-08-18 2001-08-15 Heraeus Gmbh W C TARGET FOR CATHODE SPUTTING AND METHOD FOR PRODUCING SUCH A TARGET
US5563979A (en) 1995-08-31 1996-10-08 Lucent Technologies Inc. Erbium-doped planar optical device
US5689522A (en) 1995-10-02 1997-11-18 The Regents Of The University Of California High efficiency 2 micrometer laser utilizing wing-pumped Tm3+ and a laser diode array end-pumping architecture
US5719976A (en) 1995-10-24 1998-02-17 Lucent Technologies, Inc. Optimized waveguide structure
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
JP3298799B2 (en) 1995-11-22 2002-07-08 ルーセント テクノロジーズ インコーポレイテッド Cladding pump fiber and its manufacturing method
US5811177A (en) 1995-11-30 1998-09-22 Motorola, Inc. Passivation of electroluminescent organic devices
US5686360A (en) 1995-11-30 1997-11-11 Motorola Passivation of organic devices
US5955161A (en) 1996-01-30 1999-09-21 Becton Dickinson And Company Blood collection tube assembly
AU1978497A (en) 1996-03-22 1997-10-10 Materials Research Corporation Method and apparatus for rf diode sputtering
US5930584A (en) 1996-04-10 1999-07-27 United Microelectronics Corp. Process for fabricating low leakage current electrode for LPCVD titanium oxide films
JPH1010675A (en) 1996-04-22 1998-01-16 Fuji Photo Film Co Ltd Recording material
JP3346167B2 (en) 1996-05-27 2002-11-18 三菱マテリアル株式会社 High-strength dielectric sputtering target, method for producing the same, and film
EP0906374B1 (en) 1996-06-12 2004-11-17 Treofan Germany GmbH & Co.KG Transparent barrier coatings exhibiting reduced thin film interference
US5731661A (en) 1996-07-15 1998-03-24 Motorola, Inc. Passivation of electroluminescent organic devices
US5855744A (en) 1996-07-19 1999-01-05 Applied Komatsu Technology, Inc. Non-planar magnet tracking during magnetron sputtering
US5693956A (en) 1996-07-29 1997-12-02 Motorola Inverted oleds on hard plastic substrate
JPH1056145A (en) * 1996-08-07 1998-02-24 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
JP3631341B2 (en) 1996-10-18 2005-03-23 Tdk株式会社 Multilayer composite functional element and method for manufacturing the same
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US6144795A (en) 1996-12-13 2000-11-07 Corning Incorporated Hybrid organic-inorganic planar optical waveguide device
JPH10195649A (en) 1996-12-27 1998-07-28 Sony Corp Magnetron sputter device and manufacture of semiconductor device
JPH10229201A (en) 1997-02-14 1998-08-25 Sony Corp Manufacture of thin-film semiconductor device
US5847865A (en) 1997-02-18 1998-12-08 Regents Of The University Of Minnesota Waveguide optical amplifier
JP3098204B2 (en) 1997-03-07 2000-10-16 ティーディーケイ株式会社 Alloy target for magneto-optical recording, its manufacturing method and its reproducing method
US5952778A (en) 1997-03-18 1999-09-14 International Business Machines Corporation Encapsulated organic light emitting device
JPH10265948A (en) 1997-03-25 1998-10-06 Rohm Co Ltd Substrate for semiconductor device and manufacture of the same
DE69704074T2 (en) 1997-03-27 2001-06-21 Tno Erbium-doped planar waveguide
US6117345A (en) 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
US6106933A (en) 1997-04-03 2000-08-22 Toray Industries, Inc. Transparent gas barrier biaxially oriented polypropylene film, a laminate film, and a production method thereof
US6242132B1 (en) 1997-04-16 2001-06-05 Ut-Battelle, Llc Silicon-tin oxynitride glassy composition and use as anode for lithium-ion battery
US5948215A (en) 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
JP3290375B2 (en) 1997-05-12 2002-06-10 松下電器産業株式会社 Organic electroluminescent device
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US5977582A (en) 1997-05-23 1999-11-02 Lucent Technologies Inc. Capacitor comprising improved TaOx -based dielectric
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5831262A (en) 1997-06-27 1998-11-03 Lucent Technologies Inc. Article comprising an optical fiber attached to a micromechanical device
JP3813740B2 (en) 1997-07-11 2006-08-23 Tdk株式会社 Substrates for electronic devices
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6052397A (en) 1997-12-05 2000-04-18 Sdl, Inc. Laser diode device having a substantially circular light output beam and a method of forming a tapered section in a semiconductor device to provide for a reproducible mode profile of the output beam
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6344413B1 (en) * 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
CA2320278C (en) 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
US6004660A (en) 1998-03-12 1999-12-21 E.I. Du Pont De Nemours And Company Oxygen barrier composite film structure
US6563998B1 (en) 1999-04-15 2003-05-13 John Farah Polished polymide substrate
JP3126698B2 (en) 1998-06-02 2001-01-22 富士通株式会社 Sputter film forming method, sputter film forming apparatus, and semiconductor device manufacturing method
US6093944A (en) 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
KR100287176B1 (en) 1998-06-25 2001-04-16 윤종용 Method for forming a capacitor using high temperature oxidation
US6058233A (en) 1998-06-30 2000-05-02 Lucent Technologies Inc. Waveguide array with improved efficiency for wavelength routers and star couplers in integrated optics
US6358810B1 (en) 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6146225A (en) 1998-07-30 2000-11-14 Agilent Technologies, Inc. Transparent, flexible permeability barrier for organic electroluminescent devices
US6362916B2 (en) 1998-09-25 2002-03-26 Fiver Laboratories All fiber gain flattening optical filter
KR100283954B1 (en) 1998-10-13 2001-03-02 윤종용 Optical fiber for optical amplifier
US6605228B1 (en) 1998-10-19 2003-08-12 Nhk Spring Co., Ltd. Method for fabricating planar optical waveguide devices
KR100282487B1 (en) 1998-10-19 2001-02-15 윤종용 Cell Capacitor Using High-Dielectric Multilayer Film and Its Manufacturing Method
US6157765A (en) 1998-11-03 2000-12-05 Lucent Technologies Planar waveguide optical amplifier
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
CA2319460C (en) 1998-12-03 2010-02-02 Sumitomo Electric Industries, Ltd. Lithium storage battery
TW439308B (en) 1998-12-16 2001-06-07 Battelle Memorial Institute Environmental barrier material for organic light emitting device and method of making
US6379835B1 (en) 1999-01-12 2002-04-30 Morgan Adhesives Company Method of making a thin film battery
US6290822B1 (en) 1999-01-26 2001-09-18 Agere Systems Guardian Corp. Sputtering method for forming dielectric films
US6302939B1 (en) 1999-02-01 2001-10-16 Magnequench International, Inc. Rare earth permanent magnet and method for making same
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6210544B1 (en) 1999-03-08 2001-04-03 Alps Electric Co., Ltd. Magnetic film forming method
US6280875B1 (en) 1999-03-24 2001-08-28 Teledyne Technologies Incorporated Rechargeable battery structure with metal substrate
US6242129B1 (en) 1999-04-02 2001-06-05 Excellatron Solid State, Llc Thin lithium film battery
US6168884B1 (en) 1999-04-02 2001-01-02 Lockheed Martin Energy Research Corporation Battery with an in-situ activation plated lithium anode
US6416598B1 (en) 1999-04-20 2002-07-09 Reynolds Metals Company Free machining aluminum alloy with high melting point machining constituent and method of use
US6281142B1 (en) 1999-06-04 2001-08-28 Micron Technology, Inc. Dielectric cure for reducing oxygen vacancies
US6046081A (en) 1999-06-10 2000-04-04 United Microelectronics Corp. Method for forming dielectric layer of capacitor
JP2001020065A (en) 1999-07-07 2001-01-23 Hitachi Metals Ltd Target for sputtering, its production and high melting point metal powder material
JP2001021744A (en) 1999-07-07 2001-01-26 Shin Etsu Chem Co Ltd Manufacture of optical waveguide substrate
JP4230642B2 (en) * 1999-07-08 2009-02-25 株式会社荏原製作所 Substrate transport jig and substrate transport device
JP2001025666A (en) 1999-07-14 2001-01-30 Nippon Sheet Glass Co Ltd Laminate and its production
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6537428B1 (en) 1999-09-02 2003-03-25 Veeco Instruments, Inc. Stable high rate reactive sputtering
JP4240679B2 (en) 1999-09-21 2009-03-18 ソニー株式会社 Method for producing sputtering target
DE19948839A1 (en) 1999-10-11 2001-04-12 Bps Alzenau Gmbh Conductive transparent layers and processes for their manufacture
US6413645B1 (en) 2000-04-20 2002-07-02 Battelle Memorial Institute Ultrabarrier substrates
US6210545B1 (en) * 1999-11-23 2001-04-03 International Business Machines Corporation Method for forming a perovskite thin film using a sputtering method with a fully oxidized perovskite target
US6350353B2 (en) 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6576546B2 (en) 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW523615B (en) 2000-02-17 2003-03-11 L3 Optics Inc Guided wave optical switch based on an active semiconductor amplifier and a passive optical component
TW584905B (en) 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
US6410471B2 (en) 2000-03-07 2002-06-25 Shin-Etsu Chemical Co., Ltd. Method for preparation of sintered body of rare earth oxide
DE60126779T2 (en) 2000-03-24 2007-12-13 Cymbet Corp., Elk River MANUFACTURE AT LOW TEMPERATURE OF THIN-LAYERED ENERGY STORAGE DEVICES
GB2361244B (en) 2000-04-14 2004-02-11 Trikon Holdings Ltd A method of depositing dielectric
US6365319B1 (en) 2000-04-20 2002-04-02 Eastman Kodak Company Self-contained imaging media comprising opaque laminated support
KR100341407B1 (en) 2000-05-01 2002-06-22 윤덕용 A Crystall ization method of lithium transition metal oxide thin films by plasma treatm ent
US6423776B1 (en) 2000-05-02 2002-07-23 Honeywell International Inc. Oxygen scavenging high barrier polyamide compositions for packaging applications
US6261917B1 (en) 2000-05-09 2001-07-17 Chartered Semiconductor Manufacturing Ltd. High-K MOM capacitor
DE10023459A1 (en) 2000-05-12 2001-11-15 Balzers Process Systems Gmbh Depositing transparent conducting indium-tin oxide layers on substrate used in the production of transparent conducting electrodes in organic LED displays comprises using combined HF/DC sputtering of indium-tin oxide target
EP1160900A3 (en) 2000-05-26 2007-12-12 Kabushiki Kaisha Riken Embossed current collector separator for electrochemical fuel cell
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6632563B1 (en) 2000-09-07 2003-10-14 Front Edge Technology, Inc. Thin film battery and method of manufacture
US6628876B1 (en) 2000-09-15 2003-09-30 Triquint Technology Holding Co. Method for making a planar waveguide
TW448318B (en) 2000-09-18 2001-08-01 Nat Science Council Erbium, Yttrium co-doped Titanium oxide thin film material for planar optical waveguide amplifier
DE10146227B4 (en) 2000-09-20 2015-01-29 Hitachi Metals, Ltd. Silicon nitride sintered body, printed circuit board and thermoelectric module
US6372098B1 (en) * 2000-09-28 2002-04-16 The Boc Group, Inc. High target utilization magnet array and associated methods
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6488822B1 (en) 2000-10-20 2002-12-03 Veecoleve, Inc. Segmented-target ionized physical-vapor deposition apparatus and method of operation
US6413382B1 (en) 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US20020106297A1 (en) 2000-12-01 2002-08-08 Hitachi Metals, Ltd. Co-base target and method of producing the same
US6533907B2 (en) 2001-01-19 2003-03-18 Symmorphix, Inc. Method of producing amorphous silicon for hard mask and waveguide applications
US6673716B1 (en) 2001-01-30 2004-01-06 Novellus Systems, Inc. Control of the deposition temperature to reduce the via and contact resistance of Ti and TiN deposited using ionized PVD techniques
US6558836B1 (en) 2001-02-08 2003-05-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Structure of thin-film lithium microbatteries
JP2002258037A (en) 2001-03-05 2002-09-11 Alps Electric Co Ltd Optical filter having multilayered film and method of manufacturing the same
US7164206B2 (en) 2001-03-28 2007-01-16 Intel Corporation Structure in a microelectronic device including a bi-layer for a diffusion barrier and an etch-stop layer
US6797137B2 (en) 2001-04-11 2004-09-28 Heraeus, Inc. Mechanically alloyed precious metal magnetic sputtering targets fabricated using rapidly solidfied alloy powders and elemental Pt metal
US6743488B2 (en) 2001-05-09 2004-06-01 Cpfilms Inc. Transparent conductive stratiform coating of indium tin oxide
US7469558B2 (en) 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7242044B2 (en) 2001-08-28 2007-07-10 Tdk Corporation Compositions for thin-film capacitance device, high-dielectric constant insulating film, thin-film capacitance device, and thin-film multilayer capacitor
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6666982B2 (en) 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
US6750156B2 (en) 2001-10-24 2004-06-15 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US7404877B2 (en) 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
US20030097858A1 (en) 2001-11-26 2003-05-29 Christof Strohhofer Silver sensitized erbium ion doped planar waveguide amplifier
US20030143853A1 (en) 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US20030174391A1 (en) 2002-03-16 2003-09-18 Tao Pan Gain flattened optical amplifier
US7083270B2 (en) * 2002-06-20 2006-08-01 Matsushita Electric Industrial Co., Ltd. Piezoelectric element, ink jet head, angular velocity sensor, method for manufacturing the same, and ink jet recording apparatus
US6818356B1 (en) 2002-07-09 2004-11-16 Oak Ridge Micro-Energy, Inc. Thin film battery and electrolyte therefor
WO2004021532A1 (en) 2002-08-27 2004-03-11 Symmorphix, Inc. Optically coupling into highly uniform waveguides
KR100691168B1 (en) 2003-02-27 2007-03-09 섬모픽스, 인코포레이티드 Dielectric barrier layer films
US7238628B2 (en) 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
KR20060061366A (en) * 2003-09-03 2006-06-07 가부시키 가이샤 닛코 마테리알즈 Target for sputtering
TWI331634B (en) 2004-12-08 2010-10-11 Infinite Power Solutions Inc Deposition of licoo2
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP1929491A4 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8105466B2 (en) 2002-03-16 2012-01-31 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US9634296B2 (en) 2002-08-09 2017-04-25 Sapurast Research Llc Thin film battery on an integrated circuit or circuit board and method thereof
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
US9786873B2 (en) 2008-01-11 2017-10-10 Sapurast Research Llc Thin film encapsulation for thin film batteries and other devices
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
US10680277B2 (en) 2010-06-07 2020-06-09 Sapurast Research Llc Rechargeable, high-density electrochemical device
WO2014114360A1 (en) * 2013-01-28 2014-07-31 Applied Materials, Inc. Substrate carrier arrangement and method for holding a substrate
RU2671614C1 (en) * 2017-06-19 2018-11-02 Федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина) METHOD FOR OBTAINING FERROELECTRIC FILMS Ba1-XSrXTiO3

Also Published As

Publication number Publication date
CN101511493A (en) 2009-08-19
EP1929491A4 (en) 2012-02-08
TW200724700A (en) 2007-07-01
EP1929491A2 (en) 2008-06-11
TWI404814B (en) 2013-08-11
WO2007027535A3 (en) 2009-04-16
CN101511493B (en) 2012-05-30
US7838133B2 (en) 2010-11-23
US20070053139A1 (en) 2007-03-08

Similar Documents

Publication Publication Date Title
US7838133B2 (en) Deposition of perovskite and other compound ceramic films for dielectric applications
US20180331349A1 (en) DEPOSITION OF LiCoO2
US7959769B2 (en) Deposition of LiCoO2
EP1633902B1 (en) Physical vapor deposition of titanium-based films
JP5129530B2 (en) LiCoO2 deposition
US20020031919A1 (en) Method for improving the sidewall stoichiometry of thin film capacitors
US10026551B2 (en) Magnetic capacitor structures
EP1900845B1 (en) Deposition of LiCoO2
CN101892522B (en) Method for preparing lead-titanate-lead-magnesium niobate films by pulsed laser deposition assisted by oxygen plasmas
KR101060740B1 (en) Capacitor comprising a dielectric film containing strontium and titanium and a method of manufacturing the same
JP2004253535A (en) Device and method for dielectric thin film forming
JP2010077020A (en) Process for forming ceramic oxide material with pyrochlore structure having high dielectric constant and implementation of the process for application in microelectronics
KR100288501B1 (en) Method of manufacturing ferrelectric bismuth layered oxide thin film
Pan et al. Electrical Properties of PbSrTiO 3 Films on Stainless Steel Substrates with LaSrMnO 3 Buffer layers

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680039671.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006790009

Country of ref document: EP