WO2006127894A3 - Deposition of tensile and compressive stressed materials - Google Patents

Deposition of tensile and compressive stressed materials Download PDF

Info

Publication number
WO2006127894A3
WO2006127894A3 PCT/US2006/020227 US2006020227W WO2006127894A3 WO 2006127894 A3 WO2006127894 A3 WO 2006127894A3 US 2006020227 W US2006020227 W US 2006020227W WO 2006127894 A3 WO2006127894 A3 WO 2006127894A3
Authority
WO
WIPO (PCT)
Prior art keywords
mil
tensile
electric field
silicon nitride
deposition
Prior art date
Application number
PCT/US2006/020227
Other languages
French (fr)
Other versions
WO2006127894A2 (en
Inventor
Lewis Stern
John Albright
Original Assignee
Applied Materials Inc
Lewis Stern
John Albright
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Lewis Stern, John Albright filed Critical Applied Materials Inc
Publication of WO2006127894A2 publication Critical patent/WO2006127894A2/en
Publication of WO2006127894A3 publication Critical patent/WO2006127894A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

A method of depositing tensile or compressively stressed silicon nitride 20 on a substrate 32 is described. Silicon nitride 20 having a tensile stress with an absolute value of at least about 1200 MPa can be deposited from process gas comprising silicon-containing gas and nitrogen-containing gas, maintained in an electric field having a strength of from about 25 V/mil to about 300 V/mil. The electric field is formed by applying a voltage at a power level of less than about 60 Watts across electrodes 105, 109 that are spaced apart by a separation distance that is at least about 600 mils. Alternatively, silicon nitride 20 having a compressive stress with an absolute value of at least about 2000 MPa can be formed in an electric field having a strength of from about 400 V/mil to about 800 V/mil.
PCT/US2006/020227 2005-05-23 2006-05-22 Deposition of tensile and compressive stressed materials WO2006127894A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/134,981 2005-05-23
US11/134,981 US7247582B2 (en) 2005-05-23 2005-05-23 Deposition of tensile and compressive stressed materials

Publications (2)

Publication Number Publication Date
WO2006127894A2 WO2006127894A2 (en) 2006-11-30
WO2006127894A3 true WO2006127894A3 (en) 2007-05-31

Family

ID=37448863

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/020227 WO2006127894A2 (en) 2005-05-23 2006-05-22 Deposition of tensile and compressive stressed materials

Country Status (2)

Country Link
US (1) US7247582B2 (en)
WO (1) WO2006127894A2 (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
WO2007139140A1 (en) * 2006-05-31 2007-12-06 Tokyo Electron Limited Plasma cvd method, method for forming silicon nitride film and method for manufacturing semiconductor device
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
WO2011004717A1 (en) * 2009-07-06 2011-01-13 シャープ株式会社 Contact-hole forming method
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
JP5922352B2 (en) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 NITRIDE FILM MANUFACTURING DEVICE, ITS MANUFACTURING METHOD, AND ITS MANUFACTURING PROGRAM
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP6810578B2 (en) * 2016-11-18 2021-01-06 株式会社Screenホールディングス Dopant introduction method and heat treatment method
CN113936997A (en) * 2017-06-08 2022-01-14 应用材料公司 High density low temperature carbon films for hardmask and other patterning applications
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR20200130490A (en) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 Carbon hard masks and related methods for patterning applications
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
KR20230037057A (en) 2019-08-16 2023-03-15 램 리써치 코포레이션 Spatially tunable deposition to compensate within wafer differential bow
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
CN114583047A (en) 2020-12-01 2022-06-03 联华电子股份有限公司 Memory device and method of manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0303508A2 (en) * 1987-08-14 1989-02-15 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US6372672B1 (en) * 1999-01-05 2002-04-16 Samsung Electronics Co., Ltd. Method of forming a silicon nitride layer in a semiconductor device

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089992A (en) * 1965-10-11 1978-05-16 International Business Machines Corporation Method for depositing continuous pinhole free silicon nitride films and products produced thereby
DE1696625C3 (en) * 1966-10-07 1979-03-08 Syumpei, Yamazaki Method for producing a nitride protective layer on a semiconductor body
US4279947A (en) * 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
JPS6047202B2 (en) * 1976-01-13 1985-10-21 東北大学金属材料研究所長 Super hard high purity oriented polycrystalline silicon nitride
US4395438A (en) * 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
US4435898A (en) * 1982-03-22 1984-03-13 International Business Machines Corporation Method for making a base etched transistor integrated circuit
US4549064A (en) * 1983-04-05 1985-10-22 Fairchild Camera & Instrument Corp. Laser treatment of silicon nitride
US4717602A (en) * 1984-03-12 1988-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layers
JPH01176067A (en) * 1987-12-29 1989-07-12 Hoya Corp Formation of silicon nitride film
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
TW214599B (en) * 1990-10-15 1993-10-11 Seiko Epson Corp
JP2875945B2 (en) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Method of depositing silicon nitride thin film on large area glass substrate at high deposition rate by CVD
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JP3632256B2 (en) * 1994-09-30 2005-03-23 株式会社デンソー Manufacturing method of semiconductor device having silicon nitride film
JP3862305B2 (en) * 1995-10-23 2006-12-27 松下電器産業株式会社 Impurity introduction method and apparatus, and semiconductor device manufacturing method
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6284633B1 (en) * 1997-11-24 2001-09-04 Motorola Inc. Method for forming a tensile plasma enhanced nitride capping layer over a gate electrode
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US5985771A (en) * 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6140255A (en) * 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
FR2814279B1 (en) * 2000-09-15 2003-02-28 Alstom SUBSTRATE FOR ELECTRONIC CIRCUIT AND ELECTRONIC MODULE USING SUCH SUBSTRATE
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0303508A2 (en) * 1987-08-14 1989-02-15 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US6372672B1 (en) * 1999-01-05 2002-04-16 Samsung Electronics Co., Ltd. Method of forming a silicon nitride layer in a semiconductor device

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CIANCI E ET AL: "Analysis of stress and composition of silicon nitride thin films deposited by electron cyclotron resonance plasma-enhanced chemical vapor deposition for microfabrication processes", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY. B, MICROELECTRONICS AND NANOMETER STRUCTURES PROCESSING, MEASUREMENT AND PHENOMENA, AMERICAN INSTITUTE OF PHYSICS, NEW YORK, NY, US, vol. 23, no. 1, 6 January 2005 (2005-01-06), pages 168 - 172, XP012079773, ISSN: 1071-1023 *
TARRAF A ET AL: "Stress investigation of PECVD dielectric layers for advanced optical MEMS", JOURNAL OF MICROMECHANICS & MICROENGINEERING, INSTITUTE OF PHYSICS PUBLISHING, BRISTOL, GB, vol. 14, no. 3, 1 March 2004 (2004-03-01), pages 317 - 323, XP020069626, ISSN: 0960-1317 *

Also Published As

Publication number Publication date
WO2006127894A2 (en) 2006-11-30
US7247582B2 (en) 2007-07-24
US20060264063A1 (en) 2006-11-23

Similar Documents

Publication Publication Date Title
WO2006127894A3 (en) Deposition of tensile and compressive stressed materials
WO2006078719A3 (en) Interface engineering to improve adhesion between low k stacks
TW200636822A (en) Structure and method for manufacturing strained silicon directly-on insulator substrate with hybrid crystalling orientation and different stress levels
WO2008123213A1 (en) Semiconductor device and semiconductor manufacturing method
WO2006055459A3 (en) Tensile and compressive stressed materials for semiconductors
WO2006135375A3 (en) Catalytically grown nano-bent nanostructure and method for making the same
TW200721312A (en) Semiconductor on glass insulator with deposited barrier layer
TWI264048B (en) Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
WO2006127462A3 (en) Method to increase the compressive stress of pecvd silicon nitride films
WO2010151857A3 (en) Method for forming iii-v semiconductor structures including aluminum-silicon nitride passivation
TW200618047A (en) Method for fabricating a germanium on insulator (geoi) type wafer
WO2006023197A3 (en) Semiconductor transistor having structural elements of differing materials and method of formation
WO2007136907A3 (en) Compressive nitride film and method of manufacturing thereof
TW200715410A (en) Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
TW200636983A (en) Composite substrates of conductive and insulating or semi-insulating group III-nitrides for group III-nitride devices
WO2005050701A3 (en) Stressed semiconductor device structures having granular semiconductor material
DE602005024611D1 (en) Process for producing dual strained SOI substrates
WO2004070817A3 (en) Method of eliminating residual carbon from flowable oxide fill material
WO2002090245A3 (en) Methods of forming microstructure devices
TWI268183B (en) Capacitive ultrasonic transducer and method of fabricating the same
EP2006928A3 (en) Switching element
WO2010042344A3 (en) Organic spintronic devices and methods for making the same
TW200715468A (en) Strained silicon on insulator (SSOI) structure with improved crystallinity in the strained silicon layer
WO2011084269A3 (en) Stress compensation for large area gallium nitride or other nitride-based structures on semiconductor substrates
WO2004027858A8 (en) Formation of a relaxed useful layer from a wafer with no buffer layer

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: COMMUNICATION PURSUANT TO RULE 112(1) EPC (FORM 1205A OF 19.02.08)

122 Ep: pct application non-entry in european phase

Ref document number: 06771158

Country of ref document: EP

Kind code of ref document: A2