WO2006104863A2 - A plasma enhanced atomic layer deposition system - Google Patents

A plasma enhanced atomic layer deposition system Download PDF

Info

Publication number
WO2006104863A2
WO2006104863A2 PCT/US2006/010682 US2006010682W WO2006104863A2 WO 2006104863 A2 WO2006104863 A2 WO 2006104863A2 US 2006010682 W US2006010682 W US 2006010682W WO 2006104863 A2 WO2006104863 A2 WO 2006104863A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
process material
peald
processing chamber
substrate
Prior art date
Application number
PCT/US2006/010682
Other languages
French (fr)
Other versions
WO2006104863A3 (en
Inventor
Tadahiro Ishizaka
Tsukasa Matsuda
Jr. Frankm. Cerio
Kaoru Yamamoto
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2006104863A2 publication Critical patent/WO2006104863A2/en
Publication of WO2006104863A3 publication Critical patent/WO2006104863A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Definitions

  • the present invention relates to a plasma enhanced atomic layer deposition system, and more particularly to a plasma enhanced atomic layer deposition system configured to have reduced contamination problems.
  • plasma is employed to facilitate the addition and removal of material films when fabricating composite material structures.
  • a (dry) plasma etch process is utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate.
  • a vapor deposition process is utilized to deposit material along fine lines or within vias or contacts on a silicon substrate.
  • vapor deposition processes include chemical vapor deposition (CVD), and plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma is utilized to alter or enhance the film deposition mechanism.
  • PECVD atomic layer deposition
  • ALD In ALD, two or more process gasses are introduced altematingly and sequentially in order to form a material film one monolayer at a time.
  • Such an ALD process has proven to provide improved uniformity and control in layer thickness, as well as conformality to features on which the layer is deposited.
  • current ALD processes often suffer from contamination problems that affect the quality of the deposited films, and thus the manufactured device. Such contamination problems have been an impediment to wide acceptance of ALD films despite their superior characteristics.
  • one object of the present invention is directed to addressing any of the above-described and/or other problems with ALD systems and processes.
  • Another object of the present invention is to reduce contamination problems relating to deposition of ALD films.
  • a plasma enhanced atomic layer deposition (PEALD) system including a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber. Also included is a substrate holder provided within the processing chamber, and configured to support a substrate, a first process material supply system configured to supply a first process material to the processing chamber and a second process material supply system configured to supply a second process material to the processing chamber.
  • a power source is configured to couple electromagnetic power to the processing chamber, and a sealing assembly is interposed between the first and second chamber components.
  • the sealing assembly includes a plurality of sealing members configured to reduce the amount of external contaminants permeating through an interface of the first and second components into the isolated processing space of the processing chamber, wherein the film is formed on the substrate by alternatingly introducing the first process material and the second process material.
  • PEALD plasma enhanced atomic layer deposition
  • ALD plasma enhanced atomic layer deposition
  • means provided within the processing chamber for supporting a substrate, means for supplying a first process material to the processing chamber and means for supplying a second process material to the processing chamber.
  • Means for sealing is interposed between the first and second chamber components to reduce the amount of external contaminants permeating through an interface of the first and second components into the isolated processing space of the processing chamber, wherein the film is formed on the substrate by alternatingly introducing the first process material and the second process material.
  • FIG. 1 depicts a schematic view of a deposition system in accordance with an embodiment of the invention
  • FIG. 2 depicts a schematic view of a deposition system in accordance with another embodiment of the invention.
  • FIG. 3 is a timing diagram for an exemplary ALD process according to an embodiment of the invention.
  • FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies incorporated therein in accordance with an embodiment of the present invention
  • FIG. 5 shows a detailed perspective view of a sealing assembly in accordance with one embodiment of the invention
  • FIGS 6A, 6B and 6C are cross sectional views showing a sealing assembly according to different embodiments of the present invention
  • FIG. 7 is a deposition system having a contaminant shield in accordance with an embodiment of the present invention
  • FIG. 8 is a magnified view of a portion of a processing chamber showing a contaminant shield incorporated therein in accordance with an embodiment of the present invention
  • FIG. 9 shows a side view of the shield member in accordance with an embodiment of the invention.
  • FIG. 10 shows a PEALD plasma processing system according to another embodiment of the present invention.
  • FIG. 11 shows a PEALD plasma processing system according to yet another embodiment of the present invention.
  • FIG. 1 illustrates a deposition system 1 for depositing a thin film on a substrate according to one embodiment.
  • a thin conformal barrier layer may be deposited on wiring trenches or vias to minimize the migration of metal into the inter-level or intrarlevel dielectric.
  • a thin conformal seed layer may be deposited on wiring trenches or vias to provide a film with acceptable adhesion properties for bulk metal fill, or a thin conformal adhesion layer may be deposited on wiring trenches or vias to provide a film with acceptable adhesion properties for metal seed deposition.
  • FEOL front-end-of line
  • the deposition system 1 may be used to deposit an ultra thin gate layer, and/or a gate dielectric layer such as a high-K film.
  • the deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25, upon which the thin film is formed.
  • the process chamber 10 further comprises an upper assembly 30 coupled to a first process material supply system 40, a second process material supply system 42, and a purge gas supply system 44.
  • the deposition system 1 comprises a first power source 50 coupled to the process chamber 10 and configured to generate plasma in the process chamber 10, and a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25.
  • deposition system 1 comprises a controller 70 that can be coupled to process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, first power source 50, and substrate temperature control system 60.
  • controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer.
  • FIG 1 singular processing elements (10, 20, 30, 40, 42, 44, 50, and 60) are shown, but this is not required for the invention.
  • the deposition system 1 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 70 can be used to configure any number of processing elements (10, 20, 30, 40, 42, 44, 50, and 60), and the controller 70 can collect, provide, process, store, and display data from processing elements.
  • the controller 70 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • GUI graphic user interface
  • the deposition system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates.
  • the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto.
  • the first process material supply system 40 and the second process material supply system 42 are configured to altematingly and cyclically introduce a first process material to process chamber 10 and a second process material to process chamber 10.
  • the first process material can, for example, comprise a film precursor, such as a composition having the principal atomic or molecular species found in the film formed on substrate 25.
  • the film precursor can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase with or without the use of a carrier gas.
  • the second process material can, for example, comprise a reducing agent, which may also include atomic or molecular species found in the film formed on substrate 25.
  • the reducing agent can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase with or without the use of a carrier gas.
  • the purge gas supply system 44 can be configured to introduce a purge gas to process chamber 10 between introduction of the first process material and the second process material to process chamber 10, respectively.
  • the purge gas can comprise an inert gas, such as a Noble gas (i.e., helium, neon, argon, xenon, krypton) nitrogen or hydrogen, or a combination of two or more of these gases.
  • the purge gas supply system 44 can also be configured to introduce a reactive purge gas.
  • the deposition system 1 comprises a plasma generation system configured to generate a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 10.
  • the plasma generation system can include a first power source 50 coupled to the process chamber 10, and configured to couple power to the first process material, or the second process material, or both in process chamber 10.
  • the first power source 50 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10.
  • the electrode can be formed in the upper assembly 30, and it can be configured to oppose the substrate holder 20.
  • the impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma.
  • the impedance match network serves to improve the transfer of RF power to plasma in plasma process chamber 10 by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T- type, etc.
  • automatic control methods are well known to those skilled in the art.
  • the first power source 50 may include a radio frequency (RF) generator and an impedance match network, and may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10.
  • RF radio frequency
  • the antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source.
  • the first power source 50 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10.
  • the coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in US Patent No. 5,024,716, entitled "Plasma processing apparatus for etching, ashing, and film-formation"; the contents of which are herein incorporated by reference in its entirety.
  • ECR electron cyclotron resonance
  • SPA slotted plane antenna
  • the deposition system 1 comprises a substrate bias generation system configured to generate or assist in generating a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 10.
  • the substrate bias system can include a substrate power source 52 coupled to the process chamber 10, and configured to couple power to substrate 25.
  • the substrate power source 52 may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25.
  • the electrode can be formed in substrate holder 20.
  • substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 20.
  • a typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz.
  • RF bias systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies.
  • the plasma generation system and the optional substrate bias system are illustrated in FIG. 1 as separate entities, they may indeed comprise one or more power sources coupled to substrate holder 20.
  • deposition system 1 comprises substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and control the temperature of substrate 25.
  • Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re-circulating coolant flow, in one or more separate cooling channels in the substrate holder 120, that receives heat from substrate holder 120 and transfers heat to one or more heat exchanger systems (not shown), or when heating, transfers heat from one or more heat exchanger systems.
  • the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers, which can be included in the substrate holder 20, as well as the chamber wall of the processing chamber 10 arid any other component within the deposition system 1.
  • the temperature control system 60 may also be coupled to a contaminant shield in accordance with an embodiment of the invention, as will be discussed below with respect to FIG 8.
  • substrate holder 20 can include a mechanical clamping system, or an electrical clamping system, such as an electrostatic clamping system, to affix substrate 25 to an upper surface of substrate holder 20.
  • substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20.
  • a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20.
  • the substrate backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25.
  • the process chamber 10 is further coupled to a pressure control system 32, including a vacuum pumping system 34 and a valve 36, through a duct 38, wherein the pressure control system 34 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the thin film on substrate 25, and suitable for use of the first and second process materials.
  • the pressure control system 32 may be coupled to a sealing assembly in accordance with an embodiment of the present invention, as will be discussed in relation to FIG. 4 below.
  • the vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • valve 36 can include a gate valve for throttling the chamber pressure.
  • TMP turbo-molecular vacuum pump
  • a 1000 to 3000 liter per second TMP is generally employed.
  • a device for monitoring chamber pressure (not shown) can be coupled to the processing chamber 10.
  • the pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA).
  • controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 1 as well as monitor outputs from deposition system 1.
  • the controller 70 may be coupled to and may exchange information with the process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, first power source 50, second power source 52, substrate temperature controller 60, and pressure control system 32.
  • a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the deposition system 1 according to a process recipe in order to perform an etching process, or a deposition process.
  • One example of the controller 70 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Texas.
  • the controller 70 may be locally located relative to the deposition system 1 , or it may be remotely located relative to the deposition system 1.
  • the controller 70 may exchange data with the deposition 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection.
  • the controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer).
  • the controller 70 may be coupled to the Internet.
  • another computer i.e., controller, server, etc.
  • the controller 70 may exchange data with the deposition system 1 via a wireless connection.
  • the deposition system 101 of FIG. 2 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125, upon which the thin film is formed.
  • the process chamber 110 includes process chamber wall 115 coupled to a separate upper assembly 130 and a separate lower assembly 135. Details of this coupling of the chamber wall will be further discussed with respect to the specific embodiment of FIG. 4 below.
  • the upper assembly 130 is coupled to a first process material supply system 140, a second process material supply system 142, and a purge gas supply system 144.
  • the deposition system 101 comprises a first power source 150 coupled to the process chamber 110 and configured to generate plasma in the process chamber 110, and a substrate temperature control system 160 coupled to substrate holder 120 and configured to elevate and control the temperature of substrate 125.
  • deposition system 101 comprises a controller 170 that can be coupled to process chamber 110, substrate holder 120, upper assembly 130, first process material supply system 140, second process material supply system 142, purge gas supply system 144, first power source 150, and substrate temperature control system 160.
  • the controller 170 may be implemented, for example, as the controller 70 described with respect to FIG 1 above.
  • the deposition system 101 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates. In fact, it is contemplated that the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Substrates can be introduced to process chamber 110 through passage 112, and they may be lifted to and from an upper surface of substrate holder 120 via substrate lift system 122.
  • the first process material supply system 140 and the second process material supply system 142 are configured to alternatingly and cyclically introduce a first process material to process chamber 110 and a second process material to process chamber 110.
  • the first process material can, for example, comprise a film precursor, such as a composition having the principal atomic or molecular species found in the film formed on substrate 125.
  • the film precursor can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase, and with or without a carrier gas.
  • the second process material can, for example, comprise a reducing agent, which may also have atomic or molecular species found in the film formed on substrate 125.
  • the reducing agent can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 110 in a gaseous phase, and with or without a carrier gas.
  • the purge gas supply system 144 can be configured to introduce a purge gas to process chamber 110 between introduction of the first process material and the second process material to process chamber 110, respectively.
  • the purge gas can comprise an inert gas, such as a Noble gas (i.e., helium, neon, argon, xenon, krypton) nitrogen or hydrogen or a combination of two of more of these gases.
  • the purge gas supply system 144 can also be configured to introduce a reactive purge gas in to chamber 110 as will be further described herein.
  • the first material supply system 140, the second material supply system 142, and the purge gas supply system 144 can include one or more material sources, one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, or one or more flow sensors.
  • the flow control devices can include pneumatic driven valves, electro-mechanical (solenoidal) valves, and/or high-rate pulsed gas injection valves.
  • An exemplary pulsed gas injection system is described in greater detail in pending U.S. application 60/272,452, filed on March 2, 2001 , the entire contents of which is incorporated herein by reference in its entirety.
  • the first process material is coupled to process chamber 110 through first material line 141
  • the second process material is coupled to process chamber 110 through second material line 143
  • the purge gas may be coupled to process chamber 110 through the first material line 141 (as shown), the second material line 143 (as shown), or an independent line, or any combination thereof.
  • the first process material, second process material, and purge gas are introduced and distributed within process chamber 110 through the upper assembly 130 that includes gas injection assembly 180. While not shown in FIG 2, a sidewall gas injection valve may also be included in the processing system.
  • the gas injection assembly 180 may comprise a first injection plate 182, a second injection plate 184, and a third injection plate 186, which are electrically insulated from process chamber 110 by insulation assembly 188.
  • the first process material is coupled from the first process material supply system 140 to process chamber 110 through a first array of through-holes 194 in the second injection plate 184 and a first array of orifices 195 in the first injection plate 182 via a first plenum 190 formed between the second injection plate 184 and the third injection plate 186.
  • the second process material, or purge gas, or both is coupled from the second process material supply system 142 or purge gas supply system 144 to process chamber 110 through a second array of orifices 197 in the first injection plate 182 via a second plenum 192 formed in the second injection plate 184.
  • the deposition system 101 comprises a plasma generation system configured to generate a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 110.
  • the plasma generation system can include a first power source 150 coupled to the process chamber 110, and configured to couple power to the first process material, or the second process material, or both in process chamber 110.
  • the first power source 150 may be variable and includes a radio frequency (RF) generator 154 and an impedance match network 156, and further includes an electrode, such as gas injection assembly 180, through which RF power is coupled to plasma in process chamber 110.
  • RF radio frequency
  • the electrode is formed in the upper assembly 130 and is insulated from process chamber 110 via insulation assembly 188, and it can be configured to oppose the substrate holder 120.
  • the RF frequency can, for example, range from approximately 100 kHz to approximately 100 MHz. Alternatively, the RF frequency can, for example, range from approximately 400 kHz to approximately 60 MHz. By way of further example, the RF frequency can, for example, be approximately 27.12 MHz.
  • deposition system 101 comprises substrate temperature control system 160 coupled to the substrate holder 120 and configured to elevate and control the temperature of substrate 125.
  • Substrate temperature control system 160 comprises at least one temperature control element 162, including a resistive heating element such as an aluminum nitride heater.
  • the substrate temperature control system 160 can, for example, be configured to elevate and control the substrate temperature up to from approximately 350° to
  • the substrate temperature can, for example, range from approximately 150°C to 350°C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing ALD deposition of a particular material on the surface of a given substrate. Therefore, the temperature can be higher or lower than described above.
  • the temperature control system 160 may also be coupled to a contaminant shield in accordance with an embodiment of the invention, as will be discussed below with respect to FIG 8.
  • the process chamber 110 is further coupled to a pressure control system 132, including a vacuum pumping system 134 and a valve 136, through a duct 138, wherein the pressure control system 134 is configured to controllably evacuate the process chamber 110 to a pressure suitable for forming the thin film on substrate 125, and suitable for use of the first and second process materials.
  • the pressure control system 132 may be coupled to a sealing assembly in accordance with an embodiment of the present invention, as will be discussed in relation to FIG. 4 below.
  • FIG. 3 is a timing diagram for an exemplary plasma enhanced atomic layer deposition (PEALD) process that may be performed in a PEALD processing system in accordance with an embodiment of the present invention.
  • PEALD plasma enhanced atomic layer deposition
  • a first process material is introduced to a process chamber, such as the chamber 10 or 110 (components noted by 10/110 below), for a first period of time 310 in order to deposit such material on exposed surfaces of substrate 25/125.
  • the first process material is preferably a chemically volatile but thermally stable material that can be deposited on the substrate surface in a self limiting manner. The nature of such deposition depends on the composition of the first process material and the substrate being processed.
  • the first process material can be either or both of absorbed or chemically bonded with the substrate surface.
  • the process chamber 10/110 is purged with a purge gas for a second period of time 320.
  • a reducing agent (second process material) is introduced to process chamber 10/110 for a third period of time 330 while power is coupled through the upper assembly 30/130 from the first power source 50/150 to the reducing agent as shown by 335.
  • the second process material is provided in the processing chamber to provide a reduction reaction with the deposited first process material in order to form a desired film on the substrate surface.
  • the second process material preferably reacts aggressively with the first process material deposited on the substrate.
  • the coupling of power to the reducing agent heats the reducing agent, thus causing ionization and dissociation of the reducing agent in order to form a radical that chemically reacts with the first precursor adsorbed (and/or bonded) on substrate 25/125.
  • substrate 25/125 is heated to an elevated temperature, the surface chemical reaction facilitates the formation of the desired film.
  • the process chamber 10/110 is then purged with a purge gas for a fourth period of time 340.
  • the introduction of the first and second process materials, and the formation of plasma can be repeated any number of times to produce a film of desired thickness on the substrate.
  • the first process material and the second process material are chosen in accordance with the composition and characteristics of a material to be deposited on the substrate.
  • the first process material can include a solid film precursor, such as tantalum pentachloride (TaCI 5 ), and the second process material can include a reducing agent, such as hydrogen (H 2 ) gas.
  • the first process material can include a metal organic film precursor, such as tertiary amyl imido-tris-dimethylamido tantalum (Ta(NC(CH3)2C2H 5 )(N(CH 3 )2)3, hereinafter referred to as Taimata®; for additional details, see US patent no. 6,593,484)
  • the second process material can include a reducing agent, such as hydrogen (H 2 ), ammonia (NH 3 ), silane (SiH-O, or disilane (Si 2 He), or a combination thereof.
  • the first precursor when depositing tantalum nitride (i.e., TaN x ), can include a tantalum-containing precursor, such as TaCI 5 , PDEAT (pentakis(diethylamido) tantalum), PEMAT (pentakis(ethylmethylamido) tantalum), TaBr 5 , or TBTDET (t-butylimino tris(diethylamino) tantalum).
  • the second precursor can include a mixture of H 2 and N 2 , or NH 3 .
  • the first process material when depositing tantalum pentoxide, can include TaCIs, and the second process material can include H 2 O, or H 2 and O 2 .
  • the first process material when depositing tantalum (Ta), tantalum nitride, or tantalum carbonitride, can include TaF 5 , TaCIs, TaBr 5 ,
  • TaI 5 Ta(CO) 5 , Ta[N(C 2 H 5 CH 3 )J 5 (PEMAT), Ta[N(CHs) 2 J 5 (PDMAT), Ta[N(C 2 H 5 ) 2 ] 5
  • the second process material can include H 2 , NH 3 , N 2 and H 2 , N 2 H 4 , NH(CH 3 ) 2 , or N 2 H 3 CH 3 .
  • the first process material when depositing titanium (Ti), titanium nitride, or titanium carbonitride, can include TiF 4 , TiCI 4 , TiBr 4 , TiI 4 ,
  • the first process material can include WF 6 , or W(CO) 6
  • the second process material can include H 2 , NH 3 , N 2 and H 2 , N 2 H 4 , NH(CH 3 ) 2 , or
  • the first process material when depositing molybdenum (Mo), can include molybdenum hexafluoride (MoF 6 ), and the second process material can include H 2 .
  • the first process material can include organometallic compounds, such as Cu(TMVS)(hfac), also known by the trade name CupraSelect®, available from Schumacher, a unit of Air Products and
  • the second process material can include at least one of H 2 , O 2 , N 2 , NH 3 , or H 2 O.
  • X refers to any one of the listed elements or any combination of more than one of the listed elements.
  • the first process material when depositing ZrO 2 , can include Zr(NO 3 J 4 , Or ZrCI 4 , and the second process material can include H 2 O.
  • the first process material can include Hf(OBuV
  • the second process material can include H 2 O.
  • the first process material can include HfCI 4
  • the second process material can include H 2 .
  • the first process material when depositing niobium (Nb), can include niobium pentachloride (NbCI 5 ), and the second process material can include H2.
  • the first process material when depositing zinc (Zn), can include zinc dichloride (ZnCI 2 ), and the second process material can include H 2 .
  • the first process material when depositing SiO 2 , can include Si(OC 2 H 5 ⁇ , SiH 2 CI 2 , SiCI 4 , or Si(NO 3 ) 4 , and the second process material can include H 2 O or O 2 .
  • the first process material when depositing silicon nitride, can include SiCI 4 , or SiH 2 Cb 1 and the second process material can include NH 3 , or N 2 and H 2 .
  • the first process material when depositing TiN, can include titanium nitrate (Ti(NOa)), and the second process material can include NH3.
  • the first process material when depositing aluminum, can include aluminum chloride (AI 2 CI 6 ), or trimethylaluminum (AI(CH 3 ) 3 ), and the second process material can include H 2 .
  • the first process material when depositing aluminum nitride, can include aluminum trichloride, or trimethylaluminum, and the second process material can include NH 3 , or N 2 and H 2 .
  • the first process material when depositing aluminum oxide, can include aluminum chloride, or trimethylaluminum, and the second process material can include H 2 O, or O 2 and H 2 .
  • the first process material when depositing GaN, can include gallium nitrate (Ga(NO 3 ) 3 ), or trimethylgallium (Ga(CH 3 ) 3 ), and the second process material can include NH 3 .
  • the first process material may be a continuous flow, for example on a carrier gas, where such continuous flow will not cause undesirable reaction with the second process material prior to deposition on the substrate surface.
  • FIG. 3 shows plasma generation only during the reduction gas period, a plasma may also be generated during the first process material period in order to facilitate adsorption and/or chemical bonding of the first process material to the substrate surface.
  • the second process material time period 330 and the plasma time period 335 are shown in FIG. 3 to exactly correspond to one another, it is sufficient for purposes of the present invention that such time periods merely overlap, as would be understood by one of ordinary skill in the art.
  • a processing chamber is constructed of separate pieces that define an internal processing space of the chamber.
  • the chamber sidewall 115 is coupled to upper assembly 130 and a lower assembly 135.
  • the upper assembly 130 includes an insulating part 188 coupled to the gas injection assembly (or "showerhead assembly") 180.
  • gas injection assembly or "showerhead assembly”
  • a single o-ring was provided at the coupling interfaces of these chamber parts in order to isolate an external environment from an internal space of the processing chamber.
  • the present inventors have recognized that despite these conventional sealing efforts, external contaminants remain problematic for growing films in a PEALD chamber. Specifically, the low vacuum pressures typical of PEALD processes can cause increased permeation of external air through the chamber part interfaces.
  • the vacuum pressure may be -200 mTorr, while during second process material injection and plasma phase the vacuum pressure may be - 400 mTorr.
  • external air that permeates the chamber may include contaminants such as H 2 O, N 2 and/or O 2 that can degrade the quality of the deposited ALD film.
  • the present inventors have recognized that even small amounts of contaminants can have an undesirable effect on PEALD films, which are typically ultra thin and have critical characteristics that must be maintained for optimum device quality and operation. This is particularly true of tantalum containing films. For example, contaminants can reduce the density of deposited films resulting in poor film characteristics such as resistivity or dielectric constant.
  • FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies incorporated therein in accordance with an embodiment of the present invention. Specifically, FIG. 4 shows the processing chamber sidewall portion 115 coupled to the showerhead assembly 180 by way of insulating member 188.
  • the showerhead assembly 180 includes items 182, 184, 186, 190, 192, 195 and 197 described with respect to FIG. 2, and described only as necessary with respect to FIG. 4.
  • FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies incorporated therein in accordance with an embodiment of the present invention. Specifically, FIG. 4 shows the processing chamber sidewall portion 115 coupled to the showerhead assembly 180 by way of insulating member 188.
  • the showerhead assembly 180 includes items 182, 184, 186, 190, 192, 195 and 197 described with respect to FIG. 2, and described only as necessary with respect to FIG. 4.
  • FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies
  • the insulating assembly 188 includes spacer ring 188A, sidewall joining member 188B, an upper showerhead joining member 188C and a lower showerhead joining member 188D.
  • One or more of these components of the insulating member 188 comprises an insulating material such as alumina or quartz in order to provide electrical insulation between the showerhead assembly 180 and the chamber sidewall 115, which are typically conductive.
  • components of the insulating member 188 may be non-insulating as long as the sidewall 115 is electrically insulated from the showerhead assembly 180.
  • the spacer ring 188A is interposed between an upper surface of the chamber sidewall 115, and a lower surface of the sidewall joining member 188B.
  • the sidewall joining member 188B carries the weight of the showerhead assembly 180 and rests on the upper surface of the spacer ring 188A to provide pressure contact between the sidewall 115, spacer ring 188A and sidewall joining member 188B. In another embodiment, the pressure contact may be facilitated by a clamping device not shown in FIG. 4. [0070]
  • the sidewall joining member 188B is coupled to the lower showerhead joining member 188D by use of some number of fixing pins 310 and retaining ring 315.
  • the retaining ring 315 is typically metal, but can be made of other materials. As seen in FIG.
  • the fixing pin 310 and retaining ring 315 hold a right angle surface of the lower showerhead joining member 188D in contact with a corner edge of the sidewall joining member 188B.
  • a comer edge of the upper showerhead joining member 188C rests in a right angle surface of the sidewall joining member 188B to maintain contact therebetween.
  • the showerhead assembly 180 includes a first coupling surface 410 and a second coupling surface 420 that rest on horizontal surfaces of the upper showerhead joining member 188C and the lower showerhead joining member 188D respectively.
  • the first coupling surface 410 is maintained in contact with the upper showerhead joining member 188C by a clamping member 189
  • the second coupling surface 420 is maintained in contact with the lower showerhead joining member 188D by a bond 430.
  • a first permeation path exists at an interface of the chamber sidewall 115 and the spacer ring 188A
  • a second permeation path exists at an interface of the chamber sidewall 115 and the lower assembly 135.
  • external air and contaminants can permeate through a third permeation path at the interface of the spacer ring 188A and the chamber sidewall joining member 188B.
  • a fourth more complex permeation path travels along the interface of the sidewall joining member 188B and the upper showerhead joining member 188C, then along the interface of the sidewall joining member 188B and the lower showerhead joining member 188D, and finally along the interface between the retaining ring 315 and the lower showerhead joining member 188D and into the internal chamber space 550.
  • a fifth permeation path travels along the interface of the coupling surface 410 and the upper showerhead joining assembly 188C, then along the interface between the upper showerhead joining member 188C and the showerhead assembly 188, then along the interface of the upper showerhead joining member 188C and the lower showerhead joining member 188D, and finally along the corner edge of the sidewall joining member and along the retaining ring 315 and into the chamber space 550 as previously described.
  • a sealing assembly 600 is provided along each of the above described permeation paths to reduce permeation of contaminants from the external environment 500 into the interior 550 of the PEALD chamber 110.
  • Each sealing assembly 600 includes a plurality of sealing members (two shown in FIG. 4). Based on the recognition of contamination problems in a PEALD chamber as discussed above, the present inventors have recognized that the use of a sealing assembly having a plurality of sealing members can reduce contamination of the ALD film to acceptable levels, resulting in improved ALD film characteristics. While a plurality of sealing assemblies 600 are shown at various coupling points in FIG. 4, this is not required for the present invention.
  • FIG. 5 shows a detailed perspective view of a sealing assembly 600 in accordance with one embodiment of the invention.
  • a first part 601 includes a first surface 601 A that cooperates in contact with a second part 602.
  • the first and second parts may be any of the adjacent chamber parts having a sealing assembly therebetween as discussed in FIG. 4.
  • a surface 601 A of the first part includes a first groove 603 having a first sealing member 604 secured therein, and a second groove 605 having a second sealing member 606 secured therein.
  • FIG. 4 shows a detailed perspective view of a sealing assembly 600 in accordance with one embodiment of the invention.
  • a first part 601 includes a first surface 601 A that cooperates in contact with a second part 602.
  • the first and second parts may be any of the adjacent chamber parts having a sealing assembly therebetween as discussed in FIG. 4.
  • a surface 601 A of the first part includes a first groove 603 having a first sealing member 604 secured therein, and a second groove 605 having
  • each groove 603 and 605 are substantially circular and substantially concentric about a center of the surface 601 A.
  • the sealing members can be non-circular shapes.
  • each groove may alternatively be formed in the second part 602, or the grooves can be partially formed in the first and second parts as indicated by the phantom grooves in the second part 602.
  • the grooves include a dovetail as shown by the groove 605 securing the sealing member 606, and by the groove 603 securing sealing member 604.
  • the grooves 603 and 605 will be narrower where the groove is coplanar with the mating surface 601 A. Therefore, dovetail grooves have the advantage of being able to secure a sealing member inside, while allowing an upper portion of the sealing member to protrude out of the groove and contact the surface of another mating part and allowing the sealing member to spread out within the groove under compression.
  • the grooves 603 and 605 also include a groove relief 607 in order to be able to extract the sealing member.
  • a groove relief is a discontinuity in the groove at a particular point, and appears wider than the rest of the groove. Without the groove relief 607, removal of the sealing member is more difficult.
  • Sealing members 604 and 606 typically comprise a known o-ring configuration having a cross sectional shape that is substantially circular.
  • the sealing member can be made of an elastomer material (e.g., fluorosilicone, nitrile, fluorocarbon, silicone, neoprene, ethylene propylene, etc.).
  • These materials are generally selected per application based upon the following physical characteristics: resistance to fluid, hardness, toughness, tensile strength, elongation, o-ring compression force, modulus, tear resistance, abrasion resistance, volume change, compression set, thermal effects, resilience, deterioration, corrosion, permeability, coefficient of friction, coefficient of thermal expansion, outgas rates, etc.
  • FIGS 6A, 6B and 6C are cross sectional views showing a sealing assembly according to different embodiments of the present invention. While these figures are shown in relation to the interface of the sidewall 115 and the lower assembly 135, the embodiments of FIGs 6A, 6B and 6C can be implemented at any of the interfaces discussed above.
  • the sealing assembly includes first and second dovetail grooves 610 and 620 formed in the lower assembly 135 and having first and second sealing members 630 and 640 formed therein respectively. This configuration of double sealing members provides reduced permeation of external air and contaminants into the PEALD processing chamber.
  • FIG. 6B shows a similar configuration as FIG. 6A except that a cavity 650 is included between the first and second dovetail grooves 610 and 620.
  • the cavity 650 is shown as a groove having a rectangular cross section, but may have different cross-sectional shapes. Moreover, the cavity 650 may have various sizes. For example, the cavity may be approximately 1-10 mm in width.
  • the cavity 650 is in communication with an interface of the chamber sidewall part 115 and the lower assembly 135. Therefore, any external air and contaminants permeating through this interface will encounter the cavity 650.
  • a passage 660 couples the cavity 650 to an exterior portion of the lower assembly part 135 so that an environment within the cavity can be altered to reduce the amount of contaminants that permeate into the chamber.
  • the passage 660 may be coupled to a vacuum pump, such as that described in FIGS. 1 and 2, for creating a vacuum in cavity 650.
  • a vacuum pump such as that described in FIGS. 1 and 2
  • the passage 660 may be coupled to an inert gas source which provides pressure in the cavity 650 to block or reduce the amount of external air and contaminants that permeate the sealing member 610.
  • Reactive gasses may also be provided within the cavity 650 to reduce the affects of particular contaminants that enter the cavity.
  • FIG. 6C shows another embodiment of the invention having three concentric sealing members with a cavity interposed between adjacent sealing members.
  • the embodiment of FIG. 6C includes groove 670 having sealing member 680 secured therein, and cavity 655 interposed between sealing members 640 and 680.
  • a passage 665 couples the cavity 655 to an exterior portion of the lower assembly part 135 so that an environment within the cavity can be altered to reduce the amount of contaminants that permeate into the chamber 110.
  • the environment of cavities 650 and 655 may be the same or different from one another.
  • the cavity 650 may be under vacuum pressure, while the cavity 655 includes pressurized inert gas.
  • FIG. 7 is a deposition system 101 having a contaminant shield in accordance with an embodiment of the present invention.
  • the processing chamber of FIG. 7 is identical to that of FIG. 2 except that the chamber of FIG. 7 includes a contaminant shield assembly 800.
  • the contaminant shield assembly 800 is positioned around a peripheral edge of the substrate holder 120.
  • the shield assembly 800 is cylindrical in shape and substantially concentric with the substrate holder 120. While not shown in FIG.
  • the shield assembly 800 includes a slot in the area of the chamber passage 112 so that substrate wafers to be processed can be passed through the shield assembly 800 and placed on the substrate holder 120 for processing.
  • the contaminant shield assembly 800 functions as a barrier to external contaminants that enter the processing chamber 110 through an interface of the sidewall 115, thereby impeding the contaminants from reaching the substrate 125 where an ALD film formed thereon can be damaged.
  • FIG. 8 is a magnified view of a portion of a processing chamber showing a contaminant shield incorporated therein in accordance with an embodiment of the present invention.
  • FIG. 8 includes similar components as that described in FIG. 4 and therefore only those components necessary to describe the embodiment of FIG. 8 are now discussed.
  • the shield assembly 800 includes a shield member 810, a baffle plate 820 and a mounting mechanism 840.
  • the shield assembly 800 is fixed to a lower horizontal portion of the sidewall 115 by mounting screw 860 projecting through the bottom of the mounting mechanism 840.
  • the mounting mechanism 840 is cylindrical in shape, and prefereably includes a plurality of mounting screws 860 positioned circumferentially around the mounting mechanism 840.
  • mounting mechanism 840 may have some finite number of cylindrical posts, each with mounting threads projecting from the bottom.
  • the shield may be mounted to the chamber by other means such as coupling to the vertical portion of the chamber sidewall 115, coupling to the lower assembly 135 and/or coupling to the upper assembly 130.
  • the shield assembly 800 may be coupled to the substrate holder 120 rather than the processing chamber itself.
  • the mounting assembly 840 may be adjustable to accommodate different size shields 810 and/or different sizes of the processing space between the upper assembly 130 and substrate holder 120.
  • the processing chamber of FIG. 8 includes the sealing assemblies discussed above, these are not required to realize the benefits of the contaminant shield embodiment of the invention.
  • the present inventors have also recognized that the contaminant shield assembly 800 can actually minimize the permeation of contamination through conventional sealing assemblies. Specifically, the placement of the shield assembly 800 tends to reduce the heating effects of the plasma on the chamber sidewall 115. As such, shielding the chamber sidewall 115, from excessive temperatures also allows shielding associated sealing member 600 from excessive heat loads, which can compromise material properties of the sealing member 600 to the point of seal leakage or failure.
  • Baffle plate 820 is coupled to a top end of the mounting mechanism 840. The baffle plate 820 is positioned substantially at a right angle to the mounting mechanism 840 and extends toward the sidewall 115 of the processing chamber. As seen in FIG.
  • the baffle plate 820 includes a plurality of through holes 825 that allow process gases to flow through the baffle plate so that the substrate region can be evacuated.
  • the shield member 810 has an L- shaped cross section, the horizontal portion of which rests on the baffle plate 820.
  • a mounting screw 830 extends through the L-shaped shield 810 and the baffle plate 820 to engage the top of the mounting mechanism 840.
  • the shield 810 functions as an integral unit of the shield assembly 800 coupled to the sidewall 115.
  • the shield 810 is positioned in close proximity to the upper assembly such that a gap 300 exists between the shield 810 and the lower showerhead joining member 188C.
  • the gap 300 may be approximately 0.5 mm, and is preferably 1.0 mm.
  • the gap size is selected to provide adequate shielding of contaminants while ensuring that no portion of the shield 810 contacts the member 188C of the upper assembly 130.
  • the pressure in a process region is maintained at P-i, while pressure outside this region is maintained at P 2 , in one embodiment of the invention.
  • the pressure P 1 can be maintained higher than the pressure P 2 in order to impede the permeation of contaminants that enter the chamber from permeating the shield 810.
  • the gap 300 may also be selected to help maintain pressure P 1 higher than pressure P 2 .
  • FIG. 9 shows a side view of the shield member 810 in accordance with an embodiment of the invention.
  • the shield includes a plurality of holes 815 that permit process gas flow through the shield 810. While shown in a series of arrays, the holes 815 may be arranged more randomly on the shield 810.
  • the holes are preferably sized to permit adequate process gas flow from the substrate region in order to evacuate this region when necessary, while also providing adequate blocking of contaminants entering the chamber from the sidewall 115.
  • the holes 815 may be from approximately 0.5 to approximately ⁇ .15 mm in diameter, or larger.
  • the holes 815 are typically high aspect ratio holes (ratio of length to diameter of 2:1 , 3:1 , 4:1 (or more) dependent on process) that allow pumping of process gases but will not let plasma through the hole, into pumping areas.
  • the hole sizes and aspect ratios may vary depending on the type of PEALD process performed in the processing chamber.
  • the shield member 810 may be made of metallic material.
  • the metallic material can be aluminum or stainless steel.
  • the metallic material may be partially or completely coated or uncoated. If metallic material is coated, the coating may be an anodic layer.
  • the coating may be plasma resistant coating made from at least one of a Ill-column element (at least one of Yttrium, Scandium, and Lanthanum) and a Lanthanon element (at least on e of Cerium, Dysprosium and Europium).
  • the plasma resistant coating may be made from at least one of Y 2 SO 3 , SC 2 O 3 , Sc 2 F 3 , YF 3 , La 2 O 3 , CeO 2 , Eu 2 O 3 , and DyO 3 .
  • the shield member 810 may be constructed of a dielectric material or materials, or constructed of a partially dielectric and partially metallic structure, partially or fully coated or not,
  • the dielectric material can be made from at least one of ceramic, quartz, silicon, silicon nitride, sapphire, polyimide, and silicon carbide.
  • the shield member 810 is preferably maintained at a temperature higher than a process temperature within the PEALD processing chamber in order to minimize deposition of materials on the shielding member 810. Specifically, the shielding member 810 is preferably maintained at a temperature to facilitate decomposition of first and second process materials and minimize a reduction reaction on the shielding member surface. In one embodiment, the shield is positioned such that a plasma generated in the process chamber heats the shield member 810 to a desired temperature. In another embodiment, the shield member 810 may be heated by an active heating device 890 such as a resistive heater as shown in FIG. 8. The resistive heater may be coupled to the shield member 810 directly, and may be part of the heating systems described with respect to FIGS. 1 and 2 above.
  • FIG. 10 shows a PEALD plasma processing system according to another embodiment of the present invention.
  • the plasma processing system 1 of this figure is similar to that of FIG. 1 , except the system of FIG. 10 includes a RF plasma source comprising either a mechanically or electrically rotating DC magnetic field system 1010.
  • a RF plasma source comprising either a mechanically or electrically rotating DC magnetic field system 1010.
  • the controller 70 is coupled to the rotating magnetic field system 1010 in order to regulate the speed of rotation and field strength.
  • FIG. 11 shows a PEALD plasma processing system according to yet another embodiment of the present invention.
  • the plasma processing system 1 of this figure is similar to that of FIG. 1 , except the system of FIG. 11 includes a RF plasma source comprising an inductive coil 1110 to which RF power is coupled via a power source 50.
  • RF power is inductively coupled from the inductive coil 1110 through a dielectric window (not shown) to the plasma-processing region above the substrate 25.
  • a typical frequency for the application of RF power to the inductive coil 1110 ranges from 0.1 MHz to 100 MHz and can be 13.56 MHz.
  • the RF power applied to the inductive coil can be between about 50 W and about 10000 W.
  • a typical frequency for the application of power to the chuck electrode ranges from 0.1 MHz to 30 MHz and can be 13.56 MHz.
  • the RF power applied to the substrate holder can be between about 0 W and about 1000 W.
  • a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma.
  • the controller 70 is coupled to the power source 50 in order to control the application of power to the inductive coil 1110.

Abstract

A plasma enhanced atomic layer deposition (PEALD) system includes a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber. A substrate holder is provided within the processing chamber and configured to support a substrate, a first process material supply system is configured to supply a first process material to the processing chamber and a second process material supply system is configured to supply a second process material to the processing chamber. A power source is configured to couple electromagnetic power to the processing chamber, and a sealing assembly interposed between the first and second chamber components. The sealing assembly includes a plurality of sealing members configured to reduce the amount of external contaminants permeating through an interface of the first and second components into the isolated processing space of the processing chamber, wherein the film is formed on the substrate by altematingly introducing the first process material and the second process material.

Description

TITLE OF THE INVENTION A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM
BACKGROUND OF THE INVENTION FIELD OF INVENTION
[0001] The present invention relates to a plasma enhanced atomic layer deposition system, and more particularly to a plasma enhanced atomic layer deposition system configured to have reduced contamination problems.
DESCRIPTION OF RELATED ART
[0002] Typically, during materials processing, plasma is employed to facilitate the addition and removal of material films when fabricating composite material structures. For example, in semiconductor processing, a (dry) plasma etch process is utilized to remove or etch material along fine lines or within vias or contacts patterned on a silicon substrate. Alternatively, for example, a vapor deposition process is utilized to deposit material along fine lines or within vias or contacts on a silicon substrate. In the latter, vapor deposition processes include chemical vapor deposition (CVD), and plasma enhanced chemical vapor deposition (PECVD). [0003] In PECVD, plasma is utilized to alter or enhance the film deposition mechanism. For instance, plasma excitation generally allows film-forming reactions to proceed at temperatures that are significantly lower than those typically required to produce a similar film by thermally excited CVD. In addition, plasma excitation may activate film-forming chemical reactions that are not energetically or kinetically favored in thermal CVD. The chemical and physical properties of PECVD films may thus be varied over a relatively wide range by adjusting process parameters. [0004] More recently, atomic layer deposition (ALD), a form of PECVD or more generally CVD, has emerged as a candidate for ultra-thin gate film formation in front end-of-line (FEOL) operations, as well as ultra-thin barrier layer and seed layer formation for metallization in back end-of-line (BEOL) operations. In ALD, two or more process gasses are introduced altematingly and sequentially in order to form a material film one monolayer at a time. Such an ALD process has proven to provide improved uniformity and control in layer thickness, as well as conformality to features on which the layer is deposited. However, current ALD processes often suffer from contamination problems that affect the quality of the deposited films, and thus the manufactured device. Such contamination problems have been an impediment to wide acceptance of ALD films despite their superior characteristics.
SUMMARY OF THE INVENTION
[0005] Accordingly, one object of the present invention is directed to addressing any of the above-described and/or other problems with ALD systems and processes.
[0006] Another object of the present invention is to reduce contamination problems relating to deposition of ALD films.
[0007] These and/or other objects of the present invention may be provided by a plasma enhanced atomic layer deposition (PEALD) system including a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber. Also included is a substrate holder provided within the processing chamber, and configured to support a substrate, a first process material supply system configured to supply a first process material to the processing chamber and a second process material supply system configured to supply a second process material to the processing chamber. A power source is configured to couple electromagnetic power to the processing chamber, and a sealing assembly is interposed between the first and second chamber components. The sealing assembly includes a plurality of sealing members configured to reduce the amount of external contaminants permeating through an interface of the first and second components into the isolated processing space of the processing chamber, wherein the film is formed on the substrate by alternatingly introducing the first process material and the second process material.
[0008] Another aspect of the invention includes a plasma enhanced atomic layer deposition (PEALD) system having a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber. Also included is means provided within the processing chamber for supporting a substrate, means for supplying a first process material to the processing chamber and means for supplying a second process material to the processing chamber. Also included is means for generating and coupling electromagnetic power to the processing chamber while the second process material supply system supplies the second process material to the process chamber, in order to accelerate a reduction reaction at a surface of the substrate. Means for sealing is interposed between the first and second chamber components to reduce the amount of external contaminants permeating through an interface of the first and second components into the isolated processing space of the processing chamber, wherein the film is formed on the substrate by alternatingly introducing the first process material and the second process material.
BRIEF DESCRIPTION OF THE DRAWINGS [0009] In the accompanying drawings:
[0010] FIG. 1 depicts a schematic view of a deposition system in accordance with an embodiment of the invention;
[0011] FIG. 2 depicts a schematic view of a deposition system in accordance with another embodiment of the invention;
[0012] FIG. 3 is a timing diagram for an exemplary ALD process according to an embodiment of the invention;
[0013] FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies incorporated therein in accordance with an embodiment of the present invention;
[0014] FIG. 5 shows a detailed perspective view of a sealing assembly in accordance with one embodiment of the invention; [0015] FIGS 6A, 6B and 6C are cross sectional views showing a sealing assembly according to different embodiments of the present invention; [0016] FIG. 7 is a deposition system having a contaminant shield in accordance with an embodiment of the present invention;
[0017] FIG. 8 is a magnified view of a portion of a processing chamber showing a contaminant shield incorporated therein in accordance with an embodiment of the present invention;
[0018] FIG. 9 shows a side view of the shield member in accordance with an embodiment of the invention;
[0019] FIG. 10 shows a PEALD plasma processing system according to another embodiment of the present invention; and [0020] FIG. 11 shows a PEALD plasma processing system according to yet another embodiment of the present invention.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS [0021] In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition system and descriptions of various components. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0022] Referring now to the drawings, wherein like reference numerals designate identical or corresponding parts throughout the several views, FIG. 1 illustrates a deposition system 1 for depositing a thin film on a substrate according to one embodiment. For example, during the metallization of inter-connect and intra-connect structures for semiconductor devices in back-end-of-line (BEOL) operations, a thin conformal barrier layer may be deposited on wiring trenches or vias to minimize the migration of metal into the inter-level or intrarlevel dielectric. Further, a thin conformal seed layer may be deposited on wiring trenches or vias to provide a film with acceptable adhesion properties for bulk metal fill, or a thin conformal adhesion layer may be deposited on wiring trenches or vias to provide a film with acceptable adhesion properties for metal seed deposition. In front-end-of line (FEOL) operations, the deposition system 1 may be used to deposit an ultra thin gate layer, and/or a gate dielectric layer such as a high-K film. [0023] The deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25, upon which the thin film is formed. The process chamber 10 further comprises an upper assembly 30 coupled to a first process material supply system 40, a second process material supply system 42, and a purge gas supply system 44. Additionally, the deposition system 1 comprises a first power source 50 coupled to the process chamber 10 and configured to generate plasma in the process chamber 10, and a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25. Additionally, deposition system 1 comprises a controller 70 that can be coupled to process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, first power source 50, and substrate temperature control system 60. [0024] Alternately, or in addition, controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer. [0025] In FIG 1 , singular processing elements (10, 20, 30, 40, 42, 44, 50, and 60) are shown, but this is not required for the invention. The deposition system 1 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements. [0026] The controller 70 can be used to configure any number of processing elements (10, 20, 30, 40, 42, 44, 50, and 60), and the controller 70 can collect, provide, process, store, and display data from processing elements. The controller 70 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements. [0027] Referring still to FIG. 1 , the deposition system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates. In fact, it is contemplated that the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto.
[0028] The first process material supply system 40 and the second process material supply system 42 are configured to altematingly and cyclically introduce a first process material to process chamber 10 and a second process material to process chamber 10. The first process material can, for example, comprise a film precursor, such as a composition having the principal atomic or molecular species found in the film formed on substrate 25. For instance, the film precursor can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase with or without the use of a carrier gas. The second process material can, for example, comprise a reducing agent, which may also include atomic or molecular species found in the film formed on substrate 25. For instance, the reducing agent can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase with or without the use of a carrier gas. [0029] Additionally, the purge gas supply system 44 can be configured to introduce a purge gas to process chamber 10 between introduction of the first process material and the second process material to process chamber 10, respectively. The purge gas can comprise an inert gas, such as a Noble gas (i.e., helium, neon, argon, xenon, krypton) nitrogen or hydrogen, or a combination of two or more of these gases. The purge gas supply system 44 can also be configured to introduce a reactive purge gas.
[0030] Referring still to FIG. 1, the deposition system 1 comprises a plasma generation system configured to generate a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 10. The plasma generation system can include a first power source 50 coupled to the process chamber 10, and configured to couple power to the first process material, or the second process material, or both in process chamber 10. The first power source 50 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10. The electrode can be formed in the upper assembly 30, and it can be configured to oppose the substrate holder 20. The impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma. For instance, the impedance match network serves to improve the transfer of RF power to plasma in plasma process chamber 10 by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T- type, etc.) and automatic control methods are well known to those skilled in the art. [0031] Alternatively, the first power source 50 may include a radio frequency (RF) generator and an impedance match network, and may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10. The antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source. [0032] Alternatively, the first power source 50 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10. The coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in US Patent No. 5,024,716, entitled "Plasma processing apparatus for etching, ashing, and film-formation"; the contents of which are herein incorporated by reference in its entirety.
[0033] Optionally, the deposition system 1 comprises a substrate bias generation system configured to generate or assist in generating a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 10. The substrate bias system can include a substrate power source 52 coupled to the process chamber 10, and configured to couple power to substrate 25. The substrate power source 52 may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25. The electrode can be formed in substrate holder 20. For instance, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 20. A typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz. RF bias systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. [0034] Although the plasma generation system and the optional substrate bias system are illustrated in FIG. 1 as separate entities, they may indeed comprise one or more power sources coupled to substrate holder 20. [0035] Still referring to FIG. 1 , deposition system 1 comprises substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and control the temperature of substrate 25. Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re-circulating coolant flow, in one or more separate cooling channels in the substrate holder 120, that receives heat from substrate holder 120 and transfers heat to one or more heat exchanger systems (not shown), or when heating, transfers heat from one or more heat exchanger systems. Additionally, the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers, which can be included in the substrate holder 20, as well as the chamber wall of the processing chamber 10 arid any other component within the deposition system 1. The temperature control system 60 may also be coupled to a contaminant shield in accordance with an embodiment of the invention, as will be discussed below with respect to FIG 8.
[0036] In order to improve the thermal transfer between substrate 25 and substrate holder 20, substrate holder 20 can include a mechanical clamping system, or an electrical clamping system, such as an electrostatic clamping system, to affix substrate 25 to an upper surface of substrate holder 20. Furthermore, substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the substrate backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25.
[0037] Furthermore, the process chamber 10 is further coupled to a pressure control system 32, including a vacuum pumping system 34 and a valve 36, through a duct 38, wherein the pressure control system 34 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the thin film on substrate 25, and suitable for use of the first and second process materials. Moreover, the pressure control system 32 may be coupled to a sealing assembly in accordance with an embodiment of the present invention, as will be discussed in relation to FIG. 4 below.
[0038] The vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure. In conventional plasma processing devices utilized for dry plasma etch, a 1000 to 3000 liter per second TMP is generally employed. Moreover, a device for monitoring chamber pressure (not shown) can be coupled to the processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, MA).
[0039] Still referring to FIG. 1 , controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 1 as well as monitor outputs from deposition system 1. Moreover, the controller 70 may be coupled to and may exchange information with the process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, first power source 50, second power source 52, substrate temperature controller 60, and pressure control system 32. For example, a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the deposition system 1 according to a process recipe in order to perform an etching process, or a deposition process. One example of the controller 70 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Texas.
[0040] The controller 70 may be locally located relative to the deposition system 1 , or it may be remotely located relative to the deposition system 1. For example, the controller 70 may exchange data with the deposition 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection. The controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 70 may be coupled to the Internet. Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 70 to exchange data via at least one of a direct connection, an intranet, and the Internet. As also would be appreciated by those skilled in the art, the controller 70 may exchange data with the deposition system 1 via a wireless connection. [0041] Referring now to FIG. 2, there is shown a deposition system 101 on which embodiments of the present invention may be implemented. The deposition system 101 of FIG. 2 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125, upon which the thin film is formed. As seen within the dashed oval of FIG. 2, the process chamber 110 includes process chamber wall 115 coupled to a separate upper assembly 130 and a separate lower assembly 135. Details of this coupling of the chamber wall will be further discussed with respect to the specific embodiment of FIG. 4 below. The upper assembly 130 is coupled to a first process material supply system 140, a second process material supply system 142, and a purge gas supply system 144. Additionally, the deposition system 101 comprises a first power source 150 coupled to the process chamber 110 and configured to generate plasma in the process chamber 110, and a substrate temperature control system 160 coupled to substrate holder 120 and configured to elevate and control the temperature of substrate 125. Additionally, deposition system 101 comprises a controller 170 that can be coupled to process chamber 110, substrate holder 120, upper assembly 130, first process material supply system 140, second process material supply system 142, purge gas supply system 144, first power source 150, and substrate temperature control system 160. The controller 170 may be implemented, for example, as the controller 70 described with respect to FIG 1 above.
[0042] The deposition system 101 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates. In fact, it is contemplated that the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Substrates can be introduced to process chamber 110 through passage 112, and they may be lifted to and from an upper surface of substrate holder 120 via substrate lift system 122.
[0043] The first process material supply system 140 and the second process material supply system 142 are configured to alternatingly and cyclically introduce a first process material to process chamber 110 and a second process material to process chamber 110. The first process material can, for example, comprise a film precursor, such as a composition having the principal atomic or molecular species found in the film formed on substrate 125. For instance, the film precursor can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 10 in a gaseous phase, and with or without a carrier gas. The second process material can, for example, comprise a reducing agent, which may also have atomic or molecular species found in the film formed on substrate 125. For instance, the reducing agent can originate as a solid phase, a liquid phase, or a gaseous phase, and it may be delivered to process chamber 110 in a gaseous phase, and with or without a carrier gas. [0044] Additionally, the purge gas supply system 144 can be configured to introduce a purge gas to process chamber 110 between introduction of the first process material and the second process material to process chamber 110, respectively. The purge gas can comprise an inert gas, such as a Noble gas (i.e., helium, neon, argon, xenon, krypton) nitrogen or hydrogen or a combination of two of more of these gases. In one embodiment, the purge gas supply system 144 can also be configured to introduce a reactive purge gas in to chamber 110 as will be further described herein.
[0045] The first material supply system 140, the second material supply system 142, and the purge gas supply system 144 can include one or more material sources, one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, or one or more flow sensors. As discussed with respect to FIG. 1 , the flow control devices can include pneumatic driven valves, electro-mechanical (solenoidal) valves, and/or high-rate pulsed gas injection valves. An exemplary pulsed gas injection system is described in greater detail in pending U.S. application 60/272,452, filed on March 2, 2001 , the entire contents of which is incorporated herein by reference in its entirety. [0046] Referring still to FIG.2, the first process material is coupled to process chamber 110 through first material line 141, and the second process material is coupled to process chamber 110 through second material line 143. Additionally, the purge gas may be coupled to process chamber 110 through the first material line 141 (as shown), the second material line 143 (as shown), or an independent line, or any combination thereof. In the embodiment of FIG 2, the first process material, second process material, and purge gas are introduced and distributed within process chamber 110 through the upper assembly 130 that includes gas injection assembly 180. While not shown in FIG 2, a sidewall gas injection valve may also be included in the processing system. The gas injection assembly 180 may comprise a first injection plate 182, a second injection plate 184, and a third injection plate 186, which are electrically insulated from process chamber 110 by insulation assembly 188. The first process material is coupled from the first process material supply system 140 to process chamber 110 through a first array of through-holes 194 in the second injection plate 184 and a first array of orifices 195 in the first injection plate 182 via a first plenum 190 formed between the second injection plate 184 and the third injection plate 186. The second process material, or purge gas, or both is coupled from the second process material supply system 142 or purge gas supply system 144 to process chamber 110 through a second array of orifices 197 in the first injection plate 182 via a second plenum 192 formed in the second injection plate 184.
[0047] Referring still to FIG. 2, the deposition system 101 comprises a plasma generation system configured to generate a plasma during at least a portion of the alternating and cyclical introduction of the first process material and the second process material to process chamber 110. The plasma generation system can include a first power source 150 coupled to the process chamber 110, and configured to couple power to the first process material, or the second process material, or both in process chamber 110. The first power source 150 may be variable and includes a radio frequency (RF) generator 154 and an impedance match network 156, and further includes an electrode, such as gas injection assembly 180, through which RF power is coupled to plasma in process chamber 110. The electrode is formed in the upper assembly 130 and is insulated from process chamber 110 via insulation assembly 188, and it can be configured to oppose the substrate holder 120. The RF frequency can, for example, range from approximately 100 kHz to approximately 100 MHz. Alternatively, the RF frequency can, for example, range from approximately 400 kHz to approximately 60 MHz. By way of further example, the RF frequency can, for example, be approximately 27.12 MHz.
[0048] Still referring to FIG. 2, deposition system 101 comprises substrate temperature control system 160 coupled to the substrate holder 120 and configured to elevate and control the temperature of substrate 125. Substrate temperature control system 160 comprises at least one temperature control element 162, including a resistive heating element such as an aluminum nitride heater. The substrate temperature control system 160 can, for example, be configured to elevate and control the substrate temperature up to from approximately 350° to
.0
400 C. Alternatively, the substrate temperature can, for example, range from approximately 150°C to 350°C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing ALD deposition of a particular material on the surface of a given substrate. Therefore, the temperature can be higher or lower than described above. As with the embodiment of FIG.1 , the temperature control system 160 may also be coupled to a contaminant shield in accordance with an embodiment of the invention, as will be discussed below with respect to FIG 8.
[0049] Furthermore, the process chamber 110 is further coupled to a pressure control system 132, including a vacuum pumping system 134 and a valve 136, through a duct 138, wherein the pressure control system 134 is configured to controllably evacuate the process chamber 110 to a pressure suitable for forming the thin film on substrate 125, and suitable for use of the first and second process materials. Moreover, the pressure control system 132 may be coupled to a sealing assembly in accordance with an embodiment of the present invention, as will be discussed in relation to FIG. 4 below.
[0050] FIG. 3 is a timing diagram for an exemplary plasma enhanced atomic layer deposition (PEALD) process that may be performed in a PEALD processing system in accordance with an embodiment of the present invention. As seen in this figure, a first process material is introduced to a process chamber, such as the chamber 10 or 110 (components noted by 10/110 below), for a first period of time 310 in order to deposit such material on exposed surfaces of substrate 25/125. The first process material is preferably a chemically volatile but thermally stable material that can be deposited on the substrate surface in a self limiting manner. The nature of such deposition depends on the composition of the first process material and the substrate being processed. For example, the first process material can be either or both of absorbed or chemically bonded with the substrate surface. [0051] In the embodiment of FIG 3, after the first process material is deposited on the substrate surface, the process chamber 10/110 is purged with a purge gas for a second period of time 320. Thereafter, a reducing agent (second process material), is introduced to process chamber 10/110 for a third period of time 330 while power is coupled through the upper assembly 30/130 from the first power source 50/150 to the reducing agent as shown by 335. The second process material is provided in the processing chamber to provide a reduction reaction with the deposited first process material in order to form a desired film on the substrate surface. Thus, the second process material preferably reacts aggressively with the first process material deposited on the substrate. The coupling of power to the reducing agent heats the reducing agent, thus causing ionization and dissociation of the reducing agent in order to form a radical that chemically reacts with the first precursor adsorbed (and/or bonded) on substrate 25/125. When substrate 25/125 is heated to an elevated temperature, the surface chemical reaction facilitates the formation of the desired film. The process chamber 10/110 is then purged with a purge gas for a fourth period of time 340. The introduction of the first and second process materials, and the formation of plasma can be repeated any number of times to produce a film of desired thickness on the substrate. [0052] The first process material and the second process material are chosen in accordance with the composition and characteristics of a material to be deposited on the substrate. For example, during the deposition of tantalum (Ta) as a barrier layer, the first process material can include a solid film precursor, such as tantalum pentachloride (TaCI5), and the second process material can include a reducing agent, such as hydrogen (H2) gas. In another example, during the deposition of tantalum nitride (TaN) or tantalum carbonitride (TaCN) as a barrier layer, the first process material can include a metal organic film precursor, such as tertiary amyl imido-tris-dimethylamido tantalum (Ta(NC(CH3)2C2H5)(N(CH3)2)3, hereinafter referred to as Taimata®; for additional details, see US patent no. 6,593,484), and the second process material can include a reducing agent, such as hydrogen (H2), ammonia (NH3), silane (SiH-O, or disilane (Si2He), or a combination thereof. In another example, when depositing tantalum nitride (i.e., TaNx), the first precursor can include a tantalum-containing precursor, such as TaCI5, PDEAT (pentakis(diethylamido) tantalum), PEMAT (pentakis(ethylmethylamido) tantalum), TaBr5, or TBTDET (t-butylimino tris(diethylamino) tantalum). The second precursor can include a mixture of H2 and N2, or NH3. Still further, when depositing tantalum pentoxide, the first process material can include TaCIs, and the second process material can include H2O, or H2 and O2.
[0053] In another example, when depositing tantalum (Ta), tantalum nitride, or tantalum carbonitride, the first process material can include TaF5, TaCIs, TaBr5,
TaI5, Ta(CO)5, Ta[N(C2H5CH3)J5 (PEMAT), Ta[N(CHs)2J5 (PDMAT), Ta[N(C2H5) 2]5
(PDEAT), Ta(NC(CH3)3)(N(C2H5)2)3 (TBTDET), Ta(NC2H5)(N(C2H5) 2)3,
Ta(NC(CH3)2C2H5)(N(CH3)2)3, or Ta(NC(CH3)3χN(CH3)2)3, and the second process material can include H2, NH3, N2 and H2, N2H4, NH(CH3) 2, or N2H3CH3.
[0054] In another example, when depositing titanium (Ti), titanium nitride, or titanium carbonitride, the first process material can include TiF4, TiCI4, TiBr4, TiI4,
Ti[N(C2H5CH3)I4 (TEMAT), Ti[N(CH3) 2]4 (TDMAT), or Ti[N(C2H5)2]4 (TDEAT), and the second process material can include H2, NH3, N2 and H2, N2H4, NH(CH3) 2, or
N2H3CH3.
[0055] As another example, when depositing tungsten (W), tungsten nitride, or tungsten carbonitride, the first process material can include WF6, or W(CO)6, and the second process material can include H2, NH3, N2 and H2, N2H4, NH(CH3) 2, or
N2H3CH3.
[0056] In another example, when depositing molybdenum (Mo), the first process material can include molybdenum hexafluoride (MoF6), and the second process material can include H2.
[0057] When depositing copper, the first process material can include organometallic compounds, such as Cu(TMVS)(hfac), also known by the trade name CupraSelect®, available from Schumacher, a unit of Air Products and
Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, Calif. 92009), or inorganic compounds, such as CuCI. The second process material can include at least one of H2, O2, N2, NH3, or H2O. As used herein, the term "at least one of A, B1 C, ... or
X" refers to any one of the listed elements or any combination of more than one of the listed elements.
[0058] In another example, when depositing ZrO2, the first process material can include Zr(NO3J4, Or ZrCI4, and the second process material can include H2O.
[0059] When depositing HfO2, the first process material can include Hf(OBuV
Hf(NO3)4, or HfCI4, and the second process material can include H2O. In another example, when depositing hafnium (Hf), the first process material can include HfCI4, and the second process material can include H2.
[0060] In still another example, when depositing niobium (Nb), the first process material can include niobium pentachloride (NbCI5), and the second process material can include H2.
[0061] In another example, when depositing zinc (Zn), the first process material can include zinc dichloride (ZnCI2), and the second process material can include H2.
[0062] In another example, when depositing SiO2, the first process material can include Si(OC2H5^, SiH2CI2, SiCI4, or Si(NO3)4, and the second process material can include H2O or O2. In another example, when depositing silicon nitride, the first process material can include SiCI4, or SiH2Cb1 and the second process material can include NH3, or N2 and H2. In another example, when depositing TiN, the first process material can include titanium nitrate (Ti(NOa)), and the second process material can include NH3.
[0063] In another example, when depositing aluminum, the first process material can include aluminum chloride (AI2CI6), or trimethylaluminum (AI(CH3)3), and the second process material can include H2. When depositing aluminum nitride, the first process material can include aluminum trichloride, or trimethylaluminum, and the second process material can include NH3, or N2 and H2. In another example, when depositing aluminum oxide, the first process material can include aluminum chloride, or trimethylaluminum, and the second process material can include H2O, or O2 and H2.
[0064] In still another example, when depositing GaN, the first process material can include gallium nitrate (Ga(NO3)3), or trimethylgallium (Ga(CH3)3), and the second process material can include NH3.
[0065] While FIG 3 shows discrete pulses of the first process material, the first process material may be a continuous flow, for example on a carrier gas, where such continuous flow will not cause undesirable reaction with the second process material prior to deposition on the substrate surface. While FIG. 3 shows plasma generation only during the reduction gas period, a plasma may also be generated during the first process material period in order to facilitate adsorption and/or chemical bonding of the first process material to the substrate surface. Moreover, although the second process material time period 330 and the plasma time period 335 are shown in FIG. 3 to exactly correspond to one another, it is sufficient for purposes of the present invention that such time periods merely overlap, as would be understood by one of ordinary skill in the art.
[0066] As discussed in the Related Art section above, one impediment to wide acceptance of ALD processes has been the contamination problems associated therewith. For example, it is known that byproducts from the ALD process materials, such as chlorine, can remain in the processing chamber and contaminate the ALD film layer. U.S. Patent Application serial Number having
Attorney docket Number 265511US and titled A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM AND METHOD filed on March 21 , 2005, discusses several methods of reducing such contamination in the processing chamber. The present inventors have discovered, however, that contamination problems also result from air permeating from the external environment into the interior of the processing chamber.
[0067] As discussed above, a processing chamber is constructed of separate pieces that define an internal processing space of the chamber. In the embodiment of FIG. 2, for example, the chamber sidewall 115 is coupled to upper assembly 130 and a lower assembly 135. Further, the upper assembly 130 includes an insulating part 188 coupled to the gas injection assembly (or "showerhead assembly") 180. Conventionally, a single o-ring was provided at the coupling interfaces of these chamber parts in order to isolate an external environment from an internal space of the processing chamber. The present inventors have recognized that despite these conventional sealing efforts, external contaminants remain problematic for growing films in a PEALD chamber. Specifically, the low vacuum pressures typical of PEALD processes can cause increased permeation of external air through the chamber part interfaces. For example, during first process material injection, the vacuum pressure may be -200 mTorr, while during second process material injection and plasma phase the vacuum pressure may be - 400 mTorr. At these pressures, for example, external air that permeates the chamber may include contaminants such as H2O, N2 and/or O2 that can degrade the quality of the deposited ALD film. Moreover, the present inventors have recognized that even small amounts of contaminants can have an undesirable effect on PEALD films, which are typically ultra thin and have critical characteristics that must be maintained for optimum device quality and operation. This is particularly true of tantalum containing films. For example, contaminants can reduce the density of deposited films resulting in poor film characteristics such as resistivity or dielectric constant.
[0068] Based on recognition of these problems, the present inventors have implemented techniques for reducing the amount of external air and contaminants that permeate a PEALD processing chamber from an external environment. FIG. 4 is a magnified view of a portion of a processing chamber showing sealing assemblies incorporated therein in accordance with an embodiment of the present invention. Specifically, FIG. 4 shows the processing chamber sidewall portion 115 coupled to the showerhead assembly 180 by way of insulating member 188. The showerhead assembly 180 includes items 182, 184, 186, 190, 192, 195 and 197 described with respect to FIG. 2, and described only as necessary with respect to FIG. 4. In the embodiment of FIG. 4, the insulating assembly 188 includes spacer ring 188A, sidewall joining member 188B, an upper showerhead joining member 188C and a lower showerhead joining member 188D. One or more of these components of the insulating member 188 comprises an insulating material such as alumina or quartz in order to provide electrical insulation between the showerhead assembly 180 and the chamber sidewall 115, which are typically conductive. However, components of the insulating member 188 may be non-insulating as long as the sidewall 115 is electrically insulated from the showerhead assembly 180. [0069] In the embodiment of FIG. 4, the spacer ring 188A is interposed between an upper surface of the chamber sidewall 115, and a lower surface of the sidewall joining member 188B. In one embodiment, the sidewall joining member 188B carries the weight of the showerhead assembly 180 and rests on the upper surface of the spacer ring 188A to provide pressure contact between the sidewall 115, spacer ring 188A and sidewall joining member 188B. In another embodiment, the pressure contact may be facilitated by a clamping device not shown in FIG. 4. [0070] The sidewall joining member 188B is coupled to the lower showerhead joining member 188D by use of some number of fixing pins 310 and retaining ring 315. The retaining ring 315 is typically metal, but can be made of other materials. As seen in FIG. 4, the fixing pin 310 and retaining ring 315 hold a right angle surface of the lower showerhead joining member 188D in contact with a corner edge of the sidewall joining member 188B. Similarly, a comer edge of the upper showerhead joining member 188C rests in a right angle surface of the sidewall joining member 188B to maintain contact therebetween. As also shown in FIG. 4, the showerhead assembly 180 includes a first coupling surface 410 and a second coupling surface 420 that rest on horizontal surfaces of the upper showerhead joining member 188C and the lower showerhead joining member 188D respectively. The first coupling surface 410 is maintained in contact with the upper showerhead joining member 188C by a clamping member 189, and the second coupling surface 420 is maintained in contact with the lower showerhead joining member 188D by a bond 430.
[0071] In the embodiment of FIG. 4, at least five paths exist for external air and contaminants in the external environment 500 to permeate into the internal chamber environment 550. Specifically, a first permeation path exists at an interface of the chamber sidewall 115 and the spacer ring 188A, and a second permeation path exists at an interface of the chamber sidewall 115 and the lower assembly 135. Similarly, external air and contaminants can permeate through a third permeation path at the interface of the spacer ring 188A and the chamber sidewall joining member 188B. A fourth more complex permeation path travels along the interface of the sidewall joining member 188B and the upper showerhead joining member 188C, then along the interface of the sidewall joining member 188B and the lower showerhead joining member 188D, and finally along the interface between the retaining ring 315 and the lower showerhead joining member 188D and into the internal chamber space 550. Finally a fifth permeation path travels along the interface of the coupling surface 410 and the upper showerhead joining assembly 188C, then along the interface between the upper showerhead joining member 188C and the showerhead assembly 188, then along the interface of the upper showerhead joining member 188C and the lower showerhead joining member 188D, and finally along the corner edge of the sidewall joining member and along the retaining ring 315 and into the chamber space 550 as previously described.
[0072] As seen in FIG. 4, a sealing assembly 600 is provided along each of the above described permeation paths to reduce permeation of contaminants from the external environment 500 into the interior 550 of the PEALD chamber 110. Each sealing assembly 600 includes a plurality of sealing members (two shown in FIG. 4). Based on the recognition of contamination problems in a PEALD chamber as discussed above, the present inventors have recognized that the use of a sealing assembly having a plurality of sealing members can reduce contamination of the ALD film to acceptable levels, resulting in improved ALD film characteristics. While a plurality of sealing assemblies 600 are shown at various coupling points in FIG. 4, this is not required for the present invention. For example, a sealing assembly 600 having a plurality of sealing members can be provided only at a coupling point determined to be most problematic for external contamination. [0073] FIG. 5 shows a detailed perspective view of a sealing assembly 600 in accordance with one embodiment of the invention. As seen in this figure, a first part 601 includes a first surface 601 A that cooperates in contact with a second part 602. The first and second parts may be any of the adjacent chamber parts having a sealing assembly therebetween as discussed in FIG. 4. In the embodiment of FIG. 4, a surface 601 A of the first part includes a first groove 603 having a first sealing member 604 secured therein, and a second groove 605 having a second sealing member 606 secured therein. As illustrated in FIG. 5, for the connection of two cylindrical components 601 and 602, these grooves 603 and 605 are substantially circular and substantially concentric about a center of the surface 601 A. However, the sealing members can be non-circular shapes. Moreover, while the grooves 603 and 605 are shown formed in the first part 601 , each groove may alternatively be formed in the second part 602, or the grooves can be partially formed in the first and second parts as indicated by the phantom grooves in the second part 602.
[0074] As also shown in FIG. 5, the grooves include a dovetail as shown by the groove 605 securing the sealing member 606, and by the groove 603 securing sealing member 604. The grooves 603 and 605 will be narrower where the groove is coplanar with the mating surface 601 A. Therefore, dovetail grooves have the advantage of being able to secure a sealing member inside, while allowing an upper portion of the sealing member to protrude out of the groove and contact the surface of another mating part and allowing the sealing member to spread out within the groove under compression. Thus, when the mating parts 601 an 602 are brought together, a seal of an interior region (such as a chamber processing space) from an exterior region (exterior to the chamber) is formed where the sealing members contact the surfaces of the groove and the second mating part. [0075] As also seen in FIG. 5, the grooves 603 and 605 also include a groove relief 607 in order to be able to extract the sealing member. A groove relief is a discontinuity in the groove at a particular point, and appears wider than the rest of the groove. Without the groove relief 607, removal of the sealing member is more difficult. In fact, the removal of the sealing member 606 from groove 605 without the groove relief 607 can cause damage to the sealing member 606 and/or the groove 605 that may disrupt the vacuum integrity of the mated components. [0076] Sealing members 604 and 606 typically comprise a known o-ring configuration having a cross sectional shape that is substantially circular. The sealing member can be made of an elastomer material (e.g., fluorosilicone, nitrile, fluorocarbon, silicone, neoprene, ethylene propylene, etc.). These materials are generally selected per application based upon the following physical characteristics: resistance to fluid, hardness, toughness, tensile strength, elongation, o-ring compression force, modulus, tear resistance, abrasion resistance, volume change, compression set, thermal effects, resilience, deterioration, corrosion, permeability, coefficient of friction, coefficient of thermal expansion, outgas rates, etc.
[0077] FIGS 6A, 6B and 6C are cross sectional views showing a sealing assembly according to different embodiments of the present invention. While these figures are shown in relation to the interface of the sidewall 115 and the lower assembly 135, the embodiments of FIGs 6A, 6B and 6C can be implemented at any of the interfaces discussed above. In the embodiment of FIG. 6A, the sealing assembly includes first and second dovetail grooves 610 and 620 formed in the lower assembly 135 and having first and second sealing members 630 and 640 formed therein respectively. This configuration of double sealing members provides reduced permeation of external air and contaminants into the PEALD processing chamber.
[0078] FIG. 6B shows a similar configuration as FIG. 6A except that a cavity 650 is included between the first and second dovetail grooves 610 and 620. The cavity 650 is shown as a groove having a rectangular cross section, but may have different cross-sectional shapes. Moreover, the cavity 650 may have various sizes. For example, the cavity may be approximately 1-10 mm in width. The cavity 650 is in communication with an interface of the chamber sidewall part 115 and the lower assembly 135. Therefore, any external air and contaminants permeating through this interface will encounter the cavity 650. A passage 660 couples the cavity 650 to an exterior portion of the lower assembly part 135 so that an environment within the cavity can be altered to reduce the amount of contaminants that permeate into the chamber. Specifically, the passage 660 may be coupled to a vacuum pump, such as that described in FIGS. 1 and 2, for creating a vacuum in cavity 650. Thus, external air and contaminants that are able to penetrate the sealing member 610 can be evacuated before penetrating the sealing member 620 to enter the chamber. As another example, the passage 660 may be coupled to an inert gas source which provides pressure in the cavity 650 to block or reduce the amount of external air and contaminants that permeate the sealing member 610. Reactive gasses may also be provided within the cavity 650 to reduce the affects of particular contaminants that enter the cavity.
[0079] FIG. 6C shows another embodiment of the invention having three concentric sealing members with a cavity interposed between adjacent sealing members. Specifically, In addition the components described in FIG 6B, the embodiment of FIG. 6C includes groove 670 having sealing member 680 secured therein, and cavity 655 interposed between sealing members 640 and 680. A passage 665 couples the cavity 655 to an exterior portion of the lower assembly part 135 so that an environment within the cavity can be altered to reduce the amount of contaminants that permeate into the chamber 110. The environment of cavities 650 and 655 may be the same or different from one another. For example, the cavity 650 may be under vacuum pressure, while the cavity 655 includes pressurized inert gas. In addition, any number of sealing members and cavities can be used to further reduce the contaminants entering the processing chamber. [0080] Apart from the improved sealing assemblies discussed above, the present inventors have discovered that contaminants that actually do permeate a PEALD processing chamber can be prevented or impeded from reaching the substrate by use of a shield mechanism. FIG. 7 is a deposition system 101 having a contaminant shield in accordance with an embodiment of the present invention. The processing chamber of FIG. 7 is identical to that of FIG. 2 except that the chamber of FIG. 7 includes a contaminant shield assembly 800. As seen in FIG. 7, the contaminant shield assembly 800 is positioned around a peripheral edge of the substrate holder 120. Thus, the shield assembly 800 is cylindrical in shape and substantially concentric with the substrate holder 120. While not shown in FIG. 7, the shield assembly 800 includes a slot in the area of the chamber passage 112 so that substrate wafers to be processed can be passed through the shield assembly 800 and placed on the substrate holder 120 for processing. The contaminant shield assembly 800 functions as a barrier to external contaminants that enter the processing chamber 110 through an interface of the sidewall 115, thereby impeding the contaminants from reaching the substrate 125 where an ALD film formed thereon can be damaged.
[0081] FIG. 8 is a magnified view of a portion of a processing chamber showing a contaminant shield incorporated therein in accordance with an embodiment of the present invention. FIG. 8 includes similar components as that described in FIG. 4 and therefore only those components necessary to describe the embodiment of FIG. 8 are now discussed. The shield assembly 800 includes a shield member 810, a baffle plate 820 and a mounting mechanism 840. In the embodiment of FIG 8, the shield assembly 800 is fixed to a lower horizontal portion of the sidewall 115 by mounting screw 860 projecting through the bottom of the mounting mechanism 840. As with the shield member 810, the mounting mechanism 840 is cylindrical in shape, and prefereably includes a plurality of mounting screws 860 positioned circumferentially around the mounting mechanism 840. Alternately mounting mechanism 840 may have some finite number of cylindrical posts, each with mounting threads projecting from the bottom. In alternate embodiments, the shield may be mounted to the chamber by other means such as coupling to the vertical portion of the chamber sidewall 115, coupling to the lower assembly 135 and/or coupling to the upper assembly 130. Moreover, the shield assembly 800 may be coupled to the substrate holder 120 rather than the processing chamber itself. [0082] While not shown in FIG. 8, the mounting assembly 840 may be adjustable to accommodate different size shields 810 and/or different sizes of the processing space between the upper assembly 130 and substrate holder 120. In addition, while the processing chamber of FIG. 8 includes the sealing assemblies discussed above, these are not required to realize the benefits of the contaminant shield embodiment of the invention. Indeed, the present inventors have also recognized that the contaminant shield assembly 800 can actually minimize the permeation of contamination through conventional sealing assemblies. Specifically, the placement of the shield assembly 800 tends to reduce the heating effects of the plasma on the chamber sidewall 115. As such, shielding the chamber sidewall 115, from excessive temperatures also allows shielding associated sealing member 600 from excessive heat loads, which can compromise material properties of the sealing member 600 to the point of seal leakage or failure. [0083] Baffle plate 820 is coupled to a top end of the mounting mechanism 840. The baffle plate 820 is positioned substantially at a right angle to the mounting mechanism 840 and extends toward the sidewall 115 of the processing chamber. As seen in FIG. 8, the baffle plate 820 includes a plurality of through holes 825 that allow process gases to flow through the baffle plate so that the substrate region can be evacuated. In the embodiment of FIG. 8, the shield member 810 has an L- shaped cross section, the horizontal portion of which rests on the baffle plate 820. A mounting screw 830 extends through the L-shaped shield 810 and the baffle plate 820 to engage the top of the mounting mechanism 840. Thus, the shield 810 functions as an integral unit of the shield assembly 800 coupled to the sidewall 115. [0084] As seen in FIG. 8, the shield 810 is positioned in close proximity to the upper assembly such that a gap 300 exists between the shield 810 and the lower showerhead joining member 188C. The gap 300 may be approximately 0.5 mm, and is preferably 1.0 mm. The gap size is selected to provide adequate shielding of contaminants while ensuring that no portion of the shield 810 contacts the member 188C of the upper assembly 130. As also seen in FIG. 8, the pressure in a process region is maintained at P-i, while pressure outside this region is maintained at P2, in one embodiment of the invention. The pressure P1 can be maintained higher than the pressure P2 in order to impede the permeation of contaminants that enter the chamber from permeating the shield 810. In this embodiment, the gap 300 may also be selected to help maintain pressure P1 higher than pressure P2.
[0085] FIG. 9 shows a side view of the shield member 810 in accordance with an embodiment of the invention. As seen in FIG 9, the shield includes a plurality of holes 815 that permit process gas flow through the shield 810. While shown in a series of arrays, the holes 815 may be arranged more randomly on the shield 810. The holes are preferably sized to permit adequate process gas flow from the substrate region in order to evacuate this region when necessary, while also providing adequate blocking of contaminants entering the chamber from the sidewall 115. For example, the holes 815 may be from approximately 0.5 to approximatelyθ.15 mm in diameter, or larger. Moreover, the holes 815 are typically high aspect ratio holes (ratio of length to diameter of 2:1 , 3:1 , 4:1 (or more) dependent on process) that allow pumping of process gases but will not let plasma through the hole, into pumping areas. However, the hole sizes and aspect ratios may vary depending on the type of PEALD process performed in the processing chamber.
[0086] The shield member 810 may be made of metallic material. The metallic material can be aluminum or stainless steel. The metallic material may be partially or completely coated or uncoated. If metallic material is coated, the coating may be an anodic layer. The coating may be plasma resistant coating made from at least one of a Ill-column element (at least one of Yttrium, Scandium, and Lanthanum) and a Lanthanon element (at least on e of Cerium, Dysprosium and Europium). The plasma resistant coating may be made from at least one of Y2SO3, SC2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and DyO3. Additionally, the shield member 810 may be constructed of a dielectric material or materials, or constructed of a partially dielectric and partially metallic structure, partially or fully coated or not, The dielectric material can be made from at least one of ceramic, quartz, silicon, silicon nitride, sapphire, polyimide, and silicon carbide.
[0087] The shield member 810 is preferably maintained at a temperature higher than a process temperature within the PEALD processing chamber in order to minimize deposition of materials on the shielding member 810. Specifically, the shielding member 810 is preferably maintained at a temperature to facilitate decomposition of first and second process materials and minimize a reduction reaction on the shielding member surface. In one embodiment, the shield is positioned such that a plasma generated in the process chamber heats the shield member 810 to a desired temperature. In another embodiment, the shield member 810 may be heated by an active heating device 890 such as a resistive heater as shown in FIG. 8. The resistive heater may be coupled to the shield member 810 directly, and may be part of the heating systems described with respect to FIGS. 1 and 2 above. Known alternative heating mechanisms may also be used. [0088] While embodiments of the present invention have been described with respect to processing chambers 1 and 110, the present invention may be implemented on other PEALD chamber configurations. For example, FIG. 10 shows a PEALD plasma processing system according to another embodiment of the present invention. The plasma processing system 1 of this figure is similar to that of FIG. 1 , except the system of FIG. 10 includes a RF plasma source comprising either a mechanically or electrically rotating DC magnetic field system 1010. Such a structure may be used to potentially increase plasma density and/or improve plasma processing uniformity. Moreover, the controller 70 is coupled to the rotating magnetic field system 1010 in order to regulate the speed of rotation and field strength.
[0089] FIG. 11 shows a PEALD plasma processing system according to yet another embodiment of the present invention. The plasma processing system 1 of this figure is similar to that of FIG. 1 , except the system of FIG. 11 includes a RF plasma source comprising an inductive coil 1110 to which RF power is coupled via a power source 50. RF power is inductively coupled from the inductive coil 1110 through a dielectric window (not shown) to the plasma-processing region above the substrate 25. A typical frequency for the application of RF power to the inductive coil 1110 ranges from 0.1 MHz to 100 MHz and can be 13.56 MHz. The RF power applied to the inductive coil can be between about 50 W and about 10000 W. Similarly, a typical frequency for the application of power to the chuck electrode ranges from 0.1 MHz to 30 MHz and can be 13.56 MHz. The RF power applied to the substrate holder can be between about 0 W and about 1000 W. In addition, a slotted Faraday shield (not shown) can be employed to reduce capacitive coupling between the inductive coil 80 and plasma. Moreover, the controller 70 is coupled to the power source 50 in order to control the application of power to the inductive coil 1110.
[0090] Although only certain exemplary embodiments of inventions have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. For example, various techniques have been disclosed herein for reducing contamination of ALD films. Any combination or all of these features can be implemented in a single PEALD processing system. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

CLAIMS:
1. A plasma enhanced atomic layer deposition (PEALD) system comprising: a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber; a substrate holder provided within said processing chamber, and configured to support a substrate; a first process material supply system configured to supply a first process material to said processing chamber; a second process material supply system configured to supply a second process material to said processing chamber; a power source configured to couple electromagnetic power to the processing chamber; and a sealing assembly interposed between the first and second chamber components, said sealing assembly comprising a plurality of sealing members configured to reduce the amount of external contaminants permeating through an interface of the first and second components into said isolated processing space of the processing chamber, wherein said film is formed on said substrate by alternatingly introducing said first process material and said second process material.
2. The PEALD system of claim 1 , wherein said first chamber component comprises a chamber sidewall and said second chamber component comprises a spacer ring.
3. The PEALD system of claim 2, wherein said first chamber component comprises a spacer ring and said second chamber component comprises a sidewall joining member.
4. The PEALD system of claim 2, wherein said first chamber component comprises a sidewall joining member and said second chamber component comprises an upper showerhead joining member coupled to a showerhead assembly.
5. The PEALD system of claim 4, further comprising a second sealing assembly interposed between the upper showerhead joining member and the showerhead assembly, said second sealing assembly comprising a second plurality of sealing members configured to reduce the amount of external contaminants permeating through an interface of the upper showerhead joining member and the showerhead assembly into said isolated processing space of the processing chamber.
6. The PEALD system of claim 1 , wherein said first chamber component comprises a chamber sidewall, and said second chamber component comprises a lower chamber assembly.
7. The PEALD system of claim 1 , wherein said first process material supply system is configured to introduce a first process material comprising at least one of TaF5, TaCI5, TaBr5, TaI5, Ta(CO)5, PEMAT, PDMAT, PDEAT, TBTDET, Ta(NC2H5)(N(C2H5) 2)3l Ta(NC(CH3)2C2H5)(N(CH3)2)3, Ta(NC(CH3)3)(N(CH3)2)3, TiF4, TiCI4, TiBr4, TiI4, TEMAT, TDMAT, TDEAT, Ti(NO3), WF6, W(CO)6, MoF6, Cu(TMVS)(hfac), CuCI, Zr(NO3)4, ZrCI4, Hf(OBu1J4, Hf(NO3)4, HfCI4, NbCI5 , ZnCI2, Si(OC2Hs)4, Si(NOs)4, SiCI4, SiH2CI2, AI2CI6, AI(CH3)3, Ga(NOs)3, or Ga(CH3)3.
8. The PEALD system of claim 1 , wherein said first process material supply system is configured to introduce a second process material comprising at least one of H2, N2, O2, H2O, NH3, H2O2, SiH4, Si2H6, NH(CH3)2l or N2H3CH3.
9. The PEALD system of claim 1 , wherein said sealing assembly comprises: a first groove formed in a surface of at least one of said first and second chamber components and configured to secure a first sealing member therein; and a second groove formed in a surface of at least one of said first and second chamber components adjacent to said first groove, said second groove configured to secure a second sealing member therein.
10. The PEALD system of claim 9, wherein at least one of said first and second grooves comprises a dovetail groove.
11. The PEALD system of claim 9, wherein at least one of said first and second grooves comprises a groove relief for facilitating removal of said sealing member.
12. The PEALD system of claim 9, wherein at least one of said first and second sealing members comprises an o-ring that is substantially circular in an azimuthal direction.
13. The PEALD system of claim 12 wherein said o-ring has a substantially circular cross-sectional shape.
14. The PEALD system of claim 1 wherein said sealing member comprises an elastomer material including at least one of fluorosilicone, nitrile, fluorocarbon, silicone, neoprene, or ethylene propylene.
15. The PEALD system of claim 14, wherein said elastomer material is selected based upon at least one of the following physical characteristics: resistance to fluid, hardness, toughness, tensile strength, elongation, o-ring compression force, modulus, tear resistance, abrasion resistance, volume change, compression set, thermal effects, resilience, deterioration, corrosion, permeability, coefficient of friction, coefficient of thermal expansion or outgas rates.
16. The PEALD system of claim 9, further comprising: a cavity formed in at least one of said first and second components and coupled to an interface of said fist and second components at a position between said first and second grooves; and a passage coupled from said cavity to a device for altering an environment in said cavity.
17. The PEALD system of claim 16, wherein said device comprises a vacuum system configured to create a vacuum environment in said cavity.
18. The PEALD system of claim 16, wherein said device comprises a gas source configured to create a high pressure environment in said cavity.
19. The PEALD system of claim 9, further comprising: a third groove formed in at least one of said first and second chamber components; and a third sealing member secured in said third groove.
20. The PEALD system of claim 1 , wherein said power source comprises a gas injection electrode having a plurality of orifices coupled to at least one of said first process material supply system or said second process material supply system.
21. The PEALD system of claim 1 , wherein said power source comprises a gas injection electrode having a plurality of sets of orifices, each set being coupled to a different one of said first process material supply system and said second process material supply system.
22. The PEALD system of claim 1 , further comprising a contaminant shield positioned along a periphery of said substrate holder and configured to impede external contaminants that permeate said chamber from traveling to a region of said substrate holder.
23. The PEALD system of claim 22, wherein said contaminant shield member is positioned to facilitate plasma heating of the contaminant shield to a temperature greater than a process temperature.
24. The PEALD system of claim 22, further comprising a heating device coupled to said contaminant shield and configured to heat the contaminant shield to a temperature greater than a temperature of a process performed in said chamber.
25. The PEALD system of claim 22, further comprising a heating device coupled to said shield member and configured to heat the contaminant shield to a temperature 100C higher than a process temperature.
26. A plasma enhanced atomic layer deposition (PEALD) system comprising: a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber; means provided within said processing chamber for supporting a substrate; means for supplying a first process material to said processing chamber; means for supplying a second process material to said processing chamber; means for generating and coupling electromagnetic power to the processing chamber while said second process material supply system supplies the second process material to the process chamber, in order to accelerate a reduction reaction at a surface of said substrate; means for sealing interposed between the first and second chamber components to reduce the amount of external contaminants permeating through an interface of the first and second components into said isolated processing space of the processing chamber, wherein said film is formed on said substrate by alternatingly introducing said first process material and said second process material
27. The PEALD system of claim 26, further comprising means for altering an environment of said sealing means to facilitate reduction of the amount of external contaminants permeating through an interface of the first and second components into said isolated processing space of the processing chamber.
PCT/US2006/010682 2005-03-28 2006-03-22 A plasma enhanced atomic layer deposition system WO2006104863A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/090,256 US7651568B2 (en) 2005-03-28 2005-03-28 Plasma enhanced atomic layer deposition system
US11/090,256 2005-03-28

Publications (2)

Publication Number Publication Date
WO2006104863A2 true WO2006104863A2 (en) 2006-10-05
WO2006104863A3 WO2006104863A3 (en) 2007-10-04

Family

ID=37033920

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/010682 WO2006104863A2 (en) 2005-03-28 2006-03-22 A plasma enhanced atomic layer deposition system

Country Status (3)

Country Link
US (1) US7651568B2 (en)
TW (1) TW200727342A (en)
WO (1) WO2006104863A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829158B2 (en) 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100749377B1 (en) * 2001-02-09 2007-08-14 동경 엘렉트론 주식회사 Film forming device
JP4943669B2 (en) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 Vacuum device seal structure
US8317926B2 (en) * 2005-07-21 2012-11-27 Hard Technologies Pty Ltd. Duplex surface treatment of metal objects
JP4997842B2 (en) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 Processing equipment
KR100849929B1 (en) * 2006-09-16 2008-08-26 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof
JP5308679B2 (en) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 Seal mechanism, seal groove, seal member, and substrate processing apparatus
KR101588482B1 (en) * 2008-07-07 2016-01-25 램 리써치 코포레이션 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
KR101606736B1 (en) 2008-07-07 2016-03-28 램 리써치 코포레이션 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR101253908B1 (en) * 2011-06-02 2013-04-16 주식회사 케이씨텍 Showerhead module of atomic layer deposition apparatus
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6054695B2 (en) * 2011-11-25 2016-12-27 東京エレクトロン株式会社 Deposition equipment
CN102677022B (en) * 2012-01-04 2014-12-24 北京印刷学院 Atomic layer deposition device
JP2013182961A (en) * 2012-02-29 2013-09-12 Toshiba Corp Semiconductor manufacturing device and method of manufacturing semiconductor device
WO2014143903A1 (en) * 2013-03-15 2014-09-18 Hemlock Semiconductor Corporation Manufacturing apparatus for depositing a material and a gasket for use therein
TWI627305B (en) * 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
US9478438B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
JP5800969B1 (en) * 2014-08-27 2015-10-28 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
JP5916909B1 (en) * 2015-02-06 2016-05-11 株式会社日立国際電気 Substrate processing apparatus, gas rectifier, semiconductor device manufacturing method and program
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
SG10201606973YA (en) * 2015-08-31 2017-03-30 Ultratech Inc Plasma-enhanced atomic layer deposition system with rotary reactor tube
WO2017070634A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
KR20170048787A (en) * 2015-10-27 2017-05-10 세메스 주식회사 Apparatus and Method for treating a substrate
JP6570993B2 (en) * 2015-12-16 2019-09-04 東京エレクトロン株式会社 Plasma processing equipment
KR20190092154A (en) * 2018-01-30 2019-08-07 삼성전자주식회사 Sealing device and Gas flow control device of semiconductor equipment
US11631571B2 (en) 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD931241S1 (en) 2019-08-28 2021-09-21 Applied Materials, Inc. Lower shield for a substrate processing chamber
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
DE102020112568A1 (en) 2020-02-14 2021-08-19 AIXTRON Ltd. Gas inlet element for a CVD reactor
KR20230035244A (en) * 2020-07-13 2023-03-13 램 리써치 코포레이션 Seal venting in substrate processing chambers
US11946140B2 (en) * 2021-03-26 2024-04-02 Applied Materials, Inc. Hot showerhead

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5935336A (en) * 1996-04-02 1999-08-10 Micron Technology, Inc. Apparatus to increase gas residence time in a reactor
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US20030200929A1 (en) * 1999-12-10 2003-10-30 Hayashi Otsuki Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20040017049A1 (en) * 2002-07-29 2004-01-29 Tokyo Electron Limited Sealing apparatus having a single groove
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3672207A (en) * 1971-01-04 1972-06-27 North American Rockwell Apparatus for verifying hermeticity of small electronic assemblies
KR0171600B1 (en) * 1990-02-26 1999-03-30 이노우에 아끼라 Sealing device
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
WO2000060653A1 (en) * 1999-03-30 2000-10-12 Tokyo Electron Limited Plasma treatment device, its maintenance method and its installation method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5935336A (en) * 1996-04-02 1999-08-10 Micron Technology, Inc. Apparatus to increase gas residence time in a reactor
US20030200929A1 (en) * 1999-12-10 2003-10-30 Hayashi Otsuki Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US20040017049A1 (en) * 2002-07-29 2004-01-29 Tokyo Electron Limited Sealing apparatus having a single groove
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829158B2 (en) 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material

Also Published As

Publication number Publication date
TW200727342A (en) 2007-07-16
US7651568B2 (en) 2010-01-26
WO2006104863A3 (en) 2007-10-04
US20060213438A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7670432B2 (en) Exhaust system for a vacuum processing system
US7740704B2 (en) High rate atomic layer deposition apparatus and method of using
JP5312036B2 (en) Method and system for performing plasma atomic layer deposition
KR101251133B1 (en) A plasma enhanced atomic layer deposition system and method
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
JP5209198B2 (en) Apparatus and method of operation for thermal and plasma enhanced deposition
US7740705B2 (en) Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) Sealing device and method for a processing system
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
JP5209197B2 (en) Apparatus and method of operation for thermal and plasma enhanced deposition
US20070116888A1 (en) Method and system for performing different deposition processes within a single chamber
US20060210713A1 (en) Plasma enhanced atomic layer deposition system and method
WO2006101886A2 (en) A plasma enhanced atomic layer deposition system and method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06748617

Country of ref document: EP

Kind code of ref document: A2