WO2006104670A2 - Treatment of a dielectric layer using supercritical co2 - Google Patents

Treatment of a dielectric layer using supercritical co2 Download PDF

Info

Publication number
WO2006104670A2
WO2006104670A2 PCT/US2006/008733 US2006008733W WO2006104670A2 WO 2006104670 A2 WO2006104670 A2 WO 2006104670A2 US 2006008733 W US2006008733 W US 2006008733W WO 2006104670 A2 WO2006104670 A2 WO 2006104670A2
Authority
WO
WIPO (PCT)
Prior art keywords
supercritical
low
dielectric
solution
dielectric material
Prior art date
Application number
PCT/US2006/008733
Other languages
French (fr)
Other versions
WO2006104670A3 (en
Inventor
Gunilla Jacobson
Deborah Yellowaga
Original Assignee
Supercritical Systems Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc. filed Critical Supercritical Systems Inc.
Priority to JP2008504078A priority Critical patent/JP2008535249A/en
Publication of WO2006104670A2 publication Critical patent/WO2006104670A2/en
Publication of WO2006104670A3 publication Critical patent/WO2006104670A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Definitions

  • the invention in general relates to the field of semiconductor wafer processing. More particularly, the invention relates to passivating or repairing damaged porous and non-porous dielectric materials having various dielectric constants with supercritical processing solutions.
  • Semiconductor fabrication generally uses photoresist in etching and other processing steps. In the etching steps, a photoresist masks areas of the semiconductor substrate that are not etched. Examples of the other processing steps include using a photoresist to mask areas of a semiconductor substrate in an ion implantation step or using the photoresist as a blanket protective coating of a processed wafer or using the photoresist as a blanket protective coating of a MEMS (micro electro-mechanical system) device.
  • MEMS micro electro-mechanical system
  • low-k materials exhibiting low dielectric constants of between 3.5-2.5
  • porous materials with dielectric constants of 2.5 and below are generally referred to as ultra low-k (ULK) materials.
  • ULK materials refer to both low-k and ultra low-k materials.
  • Low-k materials have been shown to reduce cross-talk and provide a transition into the fabrication of even smaller integrated circuit geometries. Low-k materials have also proven useful for low temperature processing.
  • spin-on-glass materials SOG
  • polymers can be coated onto a substrate and treated or cured with relatively low temperatures to make porous silicon oxide-based low-k layers.
  • Silicon oxide-based herein does not strictly refer to silicon-oxide materials.
  • hybrid materials there are a number of low-k materials that have silicon oxide and hydrocarbon components and/or carbon, wherein the formula is SiOxCyHz, referred to herein as hybrid materials and designated herein as MSQ materials. It is noted, however, that MSQ is often designated to mean Methyl Silsesquioxane, which is an example of the hybrid low-k materials described above.
  • low-k materials such as carbon doped oxide (COD) or fluoridated silicon glass (FSG)
  • COD carbon doped oxide
  • FSG fluoridated silicon glass
  • low-k materials are promising materials for fabrication of advanced micro circuitry, they also provide several challenges. They tend to be less robust than more traditional dielectric layer materials and can be damaged by etch and plasma ashing process generally used in patterning dielectric layers in wafer processing, especially in the case of the hybrid low-k materials, such as described above. Further, silicon oxide-based low-k materials tend to be highly reactive after patterning steps. The hydrophilic surface of the silicon oxide-based low-k material can readily absorb water and/or react with other vapors and/or process contaminants that can alter the electrical properties of the dielectric layer itself and/or diminish the ability to further process the wafer.
  • the present invention is directed to passivating silicon-oxide based low-k materials using a supercritical passivating solution.
  • Low-k materials are usually porous oxide-based materials and can include an organic or hydrocarbon component. Examples of low-k materials include, but are not limited to, carbon-doped oxide (COD), spin-on-glass (SOG) and fluoridated silicon glass (FSG) materials.
  • a supercritical passivating solution comprises supercritical carbon dioxide and an amount of a passivating agent that is preferably a silylating agent.
  • the silylating agent can be introduced into supercritical carbon dioxide directly.
  • the silylating agent can be introduced into supercritical carbon dioxide with a carrier solvent (cosolvent), such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1- propanol) or combinations thereof, to generate the supercritical passivating solution.
  • a carrier solvent such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1- propanol) or combinations thereof, to generate the supercritical passivating solution.
  • solvent such as N,N-d
  • the silylating agent is an organosilicon compound, and silyl groups (Si(CR3)3) attack silanol (Si-OH) groups on the surface of the silicon oxide-based low-k dielectric material and/or in the bulk of the silicon oxide-based low-k dielectric material to form surface capped organo-silyl groups during the passivating step.
  • a silicon oxide-based low-k material is passivated with a supercritical passivating solution comprising supercritical carbon dioxide and an organosilicon compound that comprises organo-groups.
  • the organo-groups or a portion thereof are methyl groups.
  • suitable organosilicon compounds useful as silylating agents in the invention include, but are not limited to, hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TJMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-aza
  • a silicon oxi ⁇ e-oase ⁇ low-k material in accordance with the embodiments of the invention, is maintained at temperatures in a range of 40 to 200 degrees Celsius, and preferably at a temperature of between approximately 50 degrees Celsius and approximately 150 degrees Celsius, and at pressures in a range of 1,070 to 9,000 psi, and preferably at a pressure between approximately 1,500 psi and approximately 3,500 psi, while a supercritical passivating solution, such as described herein, is circulated over the surface of the silicon oxide-based low-k material.
  • the surface of the silicon oxide-based low-k material is dried or re-treated prior to the passivating step, hi accordance with this embodiment of the invention, the silicon oxide-based low-k material is dried, or re-treated by exposing the low-k materials to a supercritical solution of supercritical carbon dioxide or supercritical carbon dioxide with one or more solvents including but not limited to ethanol, methanol, n-butanol and combinations thereof.
  • a supercritical processing solution with methanol and ethanol can be used to remove water from low-k materials.
  • a supercritcial processing solution with one or more alcohols can be used to remove low molecular weight compounds from a porous inter-level or inter-layer dielectric (ILD).
  • a dielectric surface is passivated during a cleaning processing step, wherein a post-etch residue is simultaneously removed from the dielectric surface using a supercritical cleaning solution comprising a passivating agent, such as described herein.
  • the post-etch residue can include a photoresist polymer or a photoresist polymer with an anti-reflective dye and/or an anti-reflective layer.
  • a patterned low-k dielectric layer is formed by depositing a continuous layer of a low-k dielectric material on a substrate or other surface, etching a pattern in the low-k material and passivating the patterned layer.
  • the low-k material can show a marked increase in the k- values as a result of degeneration of the material and/or removal of a portion of the organic component, in the case of low-k hybrid materials; increases of more than 1.0 in k-values have been observed.
  • the method of passivation in accordance with the present invention has the ability to restore or recover a portion of the Ic- value lost in the patterning steps, hi fact it has been observed that low-k materials passivated in accordance with the embodiments of the present invention can be restored to exhibit k-values near, or at, k-values of the original and un-patterned material. .BKLm JJJlSUKIrJLlUJN UJ? IJ ⁇ b JJKA W JJN LrS
  • FIGS. IA-C show schematic representations of organosilicon structures used as silylating agents in a supercritical processing step, in accordance with the embodiments of the invention.
  • FIG. ID shows schematic representations of silylating agents reacting with silanol groups in a low-k material, in accordance with the embodiments of the invention
  • FIGG. IE illustrates steric hindrance between a silanol-group and a silyl-group on a surface of a low-k material, which can lead to incomplete silylating of the surface
  • FIG. 2 shows an exemplary block diagram of a processing system in accordance with an embodiment of the invention
  • FIG. 3 illustrates an exemplary graph of pressure versus time for a supercritical process in accordance with an embodiment of the invention.
  • FIG. 4 shows a simplified flow diagram outlining steps for treating a silicon oxide- based low-k layer in accordance with the embodiments of the invention.
  • a dielectric layer is generally patterned using a photoresist mask in one or more etching and ashing steps.
  • an anti-reflective coating is required, hi earlier processes, an anti-reflective coating (ARC) of titanium nitride (TiN) was vapor deposited on the dielectric layer and the TiN anti-reflective coatings would not be removed after patterning but rather remained a part of the device fabricated.
  • TiN anti-reflective coatings are not preferred because anti-reflective coatings can dominate over the electrical properties of the dielectric layer.
  • polymeric spin-on anti-reflective coatings with an anti- reflective dye that can be removed after a patterning step are preferred.
  • these materials are preferably removed from the dialectic layer after the patterning process is complete.
  • lUU25JForous low-lc matenals are most commonly sincon-oxi ⁇ e oase ⁇ wim siianoi ( ⁇ i- ⁇ nj groups and/or organo components as described above. These low-k materials can become activated and/or damaged, which is believed to be in part due to depletion of an organic component during etch and/or ash steps.
  • the present invention is directed to a method of and system for passivating porous low-k dielectric materials.
  • the method of the present invention preferably passivates a layer of patterned low-k layer by end-capping silanol groups on the surface and/or in the bulk of the low-k material to produce a patterned low-k material that is more hydrophobic, more resistant to hydrophilic contamination, and/or less reactive.
  • a passivation processing step is carried out separately from a supercritical post-etch cleaning process or, alternatively, is carried out simultaneously with a supercritical post-etch cleaning process.
  • a supercritical passivating solution comprises a silane structure 10 which can have all organo groups, such as in the case with hexamethyldisilazane (HMDS) or a combination of organo and halide groups (F, Cl, Br and etc.) which are attached to any one of the positions 1-4.
  • HMDS hexamethyldisilazane
  • a supercritical passivating solution comprises a pent-valent organosilicon compound 20, wherein the silicon atom is coordinated to 5 ligands in the positions 1, 2, 3, 4 and 5 in a tiganolbipyramidal configuration.
  • such compounds 20 are anions with one or more of the positions 1-5 being coordinated with a halide atom, such as in the case with a difluorotrimethylilicate anion.
  • the compound 20 also includes a suitable cation, such as sodium, potassium or any other inorganic or organic cation (not shown).
  • a supercritical passivating solution comprises a silazane structure 30, which can be described as an amine structure with two organosilyl groups coordinated to the nitrogen of the amine, such as in the case of hexamethyldisilazane (HMDS) or a combination of organo and halide groups (F, Cl, Br, etc.), which are attached to any one of the positions 1-6.
  • ID shows schematic representations ot nexamethyidisiiazane ( ⁇ UVLDSJ reacting with silanol groups on a surface of a low-k material in reaction sequence (1).
  • a trimethyl amine can be produced in the reaction sequence (1), which can then further react with silanol groups on a surface of the low-k material in accordance with reaction sequence (2).
  • HMDS hexamethyldisilazane
  • FIG. IE illustrates steric hindrance between a silanol group 53 and silyl-group 55 on a surface 51 of a low-k material. Note that the silyl group 55 is extremely large and can actually provide a protective barrier for the silanol group 53. Accordingly, it is not generally possible to completely silylate an entire surface or bulk of a low-k material. [0032]It will be clear to one skilled in the art that a supercritical passivating solution with any number of silylating agents and combinations of silylating agents are within the scope of the present invention.
  • the silylating agent or agents used can be introduced into supercritical carbon dioxide neat or along with a carrier solvent, such as N, N- dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC) N- methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alkane or combinations thereof to generate the supercritical passivating solution.
  • a carrier solvent such as N, N- dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC) N- methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alkane or combinations thereof to generate the supercritical passivating solution.
  • cleaning and/or rinsing agents used in the present invention can be used in supercritical cleaning/rinsing processes to remove post-processing residues from a surface of
  • the present invention is particularly well suited for removing post-etch photopolymers from a wafer material and even more specifically is well suited to remove a post-etch photopolymer and/or a polymeric anti-reflective coating layer from a low-k silicon oxide- based layer, including low-k layers formed from porous MSQ and porous SiO2 (e.g., Honeywell's NANOGLASS®), while simultaneously passivating a silicon oxide-based layer.
  • porous MSQ and porous SiO2 e.g., Honeywell's NANOGLASS®
  • processing system 200 comprises a process module 210, a recirculation system 220, a process chemistry supply system 230, a high-pressure fluid supply system 240, a pressure control system 250, an exhaust control system 260, and a controller 280.
  • the processing system 200 can operate at pressures that can range from 1,000 psi. to 10,000 psi. hi addition, the processing system 200 can operate at temperatures that can range from 40 to 300 degrees Celsius.
  • the controller 280 can be coupled to the process module 210, the recirculation system 220, the process chemistry supply system 230, the high-pressure fluid supply system 240, the pressure control system 250, and the exhaust control system 260.
  • controller 280 can be coupled to one or more additional controllers/computers (not shown), and controller 280 can obtain setup and/or configuration information from an additional controller/computer.
  • FIG 2 singular processing elements (210, 220, 230, 240, 250, 260, and 280) are shown, but this is not required for the invention.
  • the semiconductor processing system 200 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 280 can be used to configure any number of processing elements (210, 220, 230, 240, 250, and 260), and the controller 280 can collect, provide, process, store, and display data from processing elements.
  • the controller 280 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 280 can include a GUI component (not shown) that can provide easy-to-use interfaces that enable a user to monitor and/or control one or more processing elements.
  • the process module 210 can include an upper assembly 212 and a lower assembly 216, and the upper assembly 212 can be coupled to the lower assembly 216 to form a process chamber 208.
  • a frame and or injection ring may be included and may be coupled to the upper assembly 212 and the lower assembly 216.
  • the upper assembly 212 can comprise a heater (not shown) for heating the process chamber 208, a substrate 205 contained within the process chamber 208, or a processing fluid, or a combination of two or more thereof. Alternatively, a heater is not required in the upper assembly 212.
  • the lower assembly 216 can comprise a heater (not shown) for heating the process chamber 208, the substrate 205, or the processing fluid, or a combination of two or more thereof.
  • the process module 210 can include means for flowing a processing fluid through the process chamber 208. hi one example, a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established.
  • the means tor tlowmg can De corrngure ⁇ ⁇ i ⁇ erentiy. ine lower assembly 216 can comprise one or more lifters (not shown) for moving a holder or chuck 218 and/or the substrate 105. Alternatively, a lifter is not required.
  • the process module 210 can include the holder or chuck 218 for supporting and holding the substrate 205 while processing the substrate 205.
  • the holder or chuck 218 can also be configured to heat or cool the substrate 205 before, during, and/or after processing the substrate 205.
  • the process module 210 can include a platen for supporting and holding the substrate 205 while processing the substrate 205.
  • a transfer system (not shown) can be used to move a substrate (e.g., 205) into and out of the process chamber 208 through a slot (not shown).
  • the slot can be opened and closed by moving the chuck, and in another example, the slot can be controlled using a gate valve.
  • the substrate can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof.
  • the semiconductor material can include Si, Ge, Si/Ge, or GaAs.
  • the metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof.
  • the dielectric material can include Si, O, N, or C, or combinations of two or more thereof.
  • the ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof.
  • the recirculation system 220 can be coupled to the process module 210 using one or more inlet lines 222 and one or more outlet lines 224.
  • a recirculation loop 215 can be configured that includes a portion of the recirculation system 220, a portion of the process module 210, one or more of the inlet lines 222 and one or more of the outlet lines 224.
  • the recirculation system 220 can comprise one or more pumps (not shown) that can be used to regulate the flow of the supercritical processing solution through the process chamber
  • the flow rate can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
  • the recirculation system 220 can comprise one or more valves for regulating the flow of a supercritical processing solution through the recirculation system and through the process module 210.
  • the recirculation system 220 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical process solution through the recirculation system 220 and through the process chamber 208 in the process module 210.
  • Processing system 200 can comprise a process chemistry supply system 230.
  • the process chemistry supply system 230 is coupled to the recirculation system 220 using one or more lines ZJD, out tms is noi required ior me invention.
  • the process chemistry supply system 230 can be configured differently and can be coupled to different elements in the processing system 200.
  • the process chemistry supply system 230 can be directly coupled to the process module 210.
  • Process chemistry is introduced by the process chemistry supply system 230 into the fluid introduced by the high-pressure fluid supply system 240 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the process chamber 208.
  • the ratio can vary from approximately 0.001 to approximately 15 percent by volume.
  • the process chemistry volumes can range from approximately ten micro liters to approximately one hundred fifty milliliters. In alternative embodiments, the volume and/or the ratio may be higher or lower.
  • the process chemistry supply system 230 can comprise a cleaning chemistry assembly (not shown) for providing cleaning chemistry for generating supercritical cleaning solutions within the process chamber 208.
  • the cleaning chemistry can include peroxides and a fluoride source. Further details of fluoride sources and methods of generating supercritical processing solutions with fluoride sources are described in U.S. Patent Application Serial No. 10/442,557, filed May 20, 2003, and titled "TETRA-ORGANIC AMMONIUM FLUORIDE AND HF IN SUPERCRITICAL FLUID FOR PHOTORESIST AND RESIDUE REMOVAL", and U.S. Patent Application Serial No.
  • the cleaning chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol and 2-propanol).
  • carrier solvents such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol and 2-propanol).
  • the process chemistry supply system 230 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the process chamber 208.
  • the rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols and ketones.
  • the rinsing chemistry can comprise solvents, such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), JN-methylpyrrolidone (JNJVLf), dimethyipiperi ⁇ one, propylene caroonate, ana aiconois ⁇ sucn as methanol, ethanol and 2-propanol).
  • solvents such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), JN-methylpyrrolidone (JNJVLf), dimethyipiperi ⁇ one, propylene caroonate, ana aiconois ⁇ sucn as methanol, ethanol and 2-propanol).
  • the process chemistry supply system 230 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination of low dielectric constant films (porous or non- porous).
  • the chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilaiie (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxys
  • the chemistry may include N-tert-butyl-l,l-dimethyl-l-(2,3,4,5-tetramethyl-2,4-cyclopentadiene- l-yl)silanamine, l,3-diphenyl-l,l,3,3-tetramethyldisilazane, or tert- butylchlorodiphenylsilane.
  • U.S. Patent Application Serial No. 10/682,196 filed October 10, 2003, and titled “METHOD AND SYSTEM FOR TREATING A DIELECTRIC FILM", and U.S. Patent Application Serial No. 10/379,984, filed March 4, 2003, and titled “METHOD OF PASSIVATING LOW DIELECTRIC MATERIALS IN WAFER PROCESSING", both incorporated by reference herein.
  • the processing system 200 can comprise the high-pressure fluid supply system 240. As shown in FIG. 2, the high-pressure fluid supply system 240 can be coupled to the recirculation system 220 using one or more lines 245, but this is not required.
  • the inlet line 245 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high-pressure fluid supply system 240.
  • high-pressure fluid supply system 240 can be configured differently and coupled differently.
  • the high-pressure fluid supply system 240 can be coupled to the process module 210 or to the recirculation system 220 or to both.
  • the high-pressure fluid supply system 240 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid.
  • the carbon dioxide source can include a CO 2 feed system
  • the flow control elements can include supply lines, valves, filters, pumps, and heaters.
  • the high- pressure fluid supply system 240 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the process chamber 208.
  • controller z» ⁇ can oe use ⁇ to ⁇ etermme ⁇ ui ⁇ parameters such as pressure, temperature, process time, and flow rate.
  • the processing system 200 can also comprise a pressure control system 250. As shown in FIG.
  • the pressure control system 250 can be coupled to the process module 210 using one or more lines 255, but this is not required.
  • Line 255 can be equipped with one or more back-flow valves, pumps, and/or heaters (not shown) for controlling the fluid flow to pressure control system 250.
  • pressure control system 250 can be configured differently and coupled differently.
  • the pressure control system 250 can also include one or more pumps (not shown), and a sealing means (not shown) for sealing the process chamber 208.
  • the pressure control system 250 can comprise means for raising and lowering the substrate 205 and/or the chuck 218.
  • the pressure control system 250 can include one or more pressure valves (not shown) for exhausting the process chamber 208 and/or for regulating the pressure within the process chamber 208.
  • the pressure control system 250 can also include one or more pumps (not shown).
  • the processing system 200 can comprise an exhaust control system 260.
  • the exhaust control system 260 can be coupled to the process module 210 using one or more lines 265, but this is not required.
  • Line 255 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 260.
  • exhaust control system 260 can be configured differently and coupled differently.
  • the exhaust control system 260 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid.
  • the exhaust control system 260 can be used to recycle the processing fluid.
  • controller 280 can comprise a processor 282 and a memory 284.
  • Memory 284 can be coupled to processor 282, and can be used for storing information and instructions to be executed by processor 282.
  • controller 280 can comprise a port 285 that can be used to couple processing system 200 to another system (not shown).
  • controller 280 can comprise input and/or output devices (not shown).
  • one or more of the processing elements may include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions.
  • the memory 284 may be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system.
  • One or more of the processing elements can comprise a means tor reading data and/or instructions from a computer readable medium.
  • one or more of the processing elements can comprise a means for writing data and/or instructions to a computer readable medium.
  • Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein.
  • the processing system 200 can perform a portion or all of the processing steps of the invention in response to the controller 280 executing one or more sequences of one or more computer-executable instructions contained in the memory 284. Such instructions may be received by the controller 280 from another computer, a computer readable medium, or a network connection.
  • the present invention includes software for controlling the processing system 200, for driving a device or devices for implementing the invention, and for enabling the processing system 200 to interact with a human user and/or another system, such as a factory system.
  • software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • computer readable medium refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • a computer readable medium may take many forms, including, but not limited to, non-volatile media, volatile media, and transmission media.
  • computer-executable instruction refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • Controller 280, processor 282, memory 284 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • the computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • Controller 280 can use port 285 to obtain computer code and/or software from another system (not shown), such as a tactory system, ihe computer code and/or sottware can De used to establish a control hierarchy.
  • the processing system 200 can operate independently, or can be controlled to some degree by a higher-level system (not shown).
  • the controller 280 can receive data from and/or send data to the other parts of the system 200. Controller 280 can use pre-process data, process data, and post-process data.
  • pre-process data can be associated with an incoming substrate. This pre- process data can include lot data, batch data, run data, composition data, and history data. The pre-process data can be used to establish an input state for a wafer. Process data can include process parameters. Post processing data can be associated with a processed substrate.
  • the controller 280 can use the pre-process data to predict, select, or calculate a set of process parameters to use to process the substrate 205.
  • this predicted set of process parameters can be a first estimate of a process recipe.
  • a process model can provide the relationship between one or more process recipe parameters or set points and one or more process results.
  • a process recipe can include a multi-step process involving a set of process modules.
  • Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.
  • the controller 280 can compute a predicted state for the substrate 205 based on the pre-process data, the process characteristics, and a process model. For example, a cleaning rate model can be used along with a contaminant level to compute a predicted cleaning time. Alternatively, a rinse rate model can be used along with a contaminant level to compute a processing time for a rinse process.
  • controller 280 can perform other functions in addition to those discussed here.
  • the controller 280 can monitor the pressure, temperature, flow, or other variables associated with the processing system 200 and take actions based on these values.
  • the controller 280 can process measured data, display data and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator.
  • the controller 280 can comprise a database component (not shown) for storing input and output data.
  • the desired process result can be a process result that is measurable using an optical measuring device, such as a scanning electron microscope (SEM) and/or a transmission electron microscope (TEM).
  • SEM scanning electron microscope
  • TEM transmission electron microscope
  • the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate below a predetermined threshold. After one or more cleaning process run, the desired process can be measured. In other case the desired process result can be a required dielectric constant.
  • FIG. 3 illustrates an exemplary graph 300 of pressure versus time for a supercritical process step in accordance with an embodiment of the invention.
  • the graph 300 of pressure versus time is shown, and the graph 300 can be used to represent a supercritical cleaning process step, a supercritical rinsing process step, or a supercritical curing process step, or a combination thereof.
  • different pressures, different timing, and different sequences may be used for different processes.
  • the substrate 205 to be processed prior to an initial time T 0 , the substrate 205 to be processed can be placed within the process chamber 208 and the process chamber 208 can be sealed.
  • a substrate can have post-etch and/or post-ash residue thereon.
  • the substrate 205, the process chamber 208, and the other elements in the recirculation loop 215 (FIG. 2) can be heated to an operational temperature.
  • the operational temperature can range from 40 to 300 degrees Celsius.
  • the process chamber 208, the recirculation system 220, and piping coupling the recirculation system 220 to the process chamber 208 can form the recirculation loop 215. [0070]From the initial time T 0 through a first duration of time T 1 , the elements in the recirculation loop 215 (FIG. 2) can be pressurized.
  • a temperature-controlled fluid can be provided into the recirculation loop 215 (FIG. 2).
  • the high-pressure fluid supply system 240 can be operated during a pressurization process and can be used to fill the recirculation loop 215 with temperature-controlled fluid.
  • the high-pressure fluid supply system 240 can comprise means for filling the recirculation loop 215 with the temperature- controlled fluid, and the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 10 degrees Celsius during the pressurization process.
  • the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during the pressurization process.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can be operated during a pressurization process and can be used to fill the recirculation loop 215 with temperature-controlled fluid.
  • a supercritical fluid such as substantially pure CO 2
  • a pump in the recirculation system 220 (FIG. 2) can be started and can be used to circulate the temperature controlled fluid through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2).
  • UU7ZJJLn one embodiment, w ⁇ en t ⁇ e pressure in me process cnamoer zus reacnes an operational pressure P 0 (approximately 2,500 psi), process chemistry can be injected into the process chamber 208, using the process chemistry supply system 230.
  • process chemistry can be injected into the process chamber 208, using the process chemistry supply system 230 when the pressure in the process chamber 208 exceeds a critical pressure, such as 1,070 psi.
  • process chemistry may be injected into the process chamber 208 before the pressure exceeds the critical pressure Pc using the process chemistry supply system 230.
  • process chemistry is not injected during the T 1 period.
  • process chemistry is injected in a linear fashion (e.g., at regular time intervals, at a steady rate, at a steadily increasing rate, etc.), and the injection time can be based on a recirculation time.
  • the recirculation time can be determined based on the length of a recirculation path and the flow rate
  • process chemistry may be injected in a non-linear fashion (e.g., at non-regular time intervals or at a varying rate).
  • process chemistry can be injected in one or more steps.
  • the process chemistry can include a cleaning agent, a rinsing agent, or a curing agent, or a combination thereof that is injected into the supercritical fluid.
  • One or more injections of process chemistries can be performed over the duration of time T 1 to generate a supercritical processing solution with the desired concentrations of chemicals.
  • the process chemistry in accordance with the embodiments of the invention, can also include one more or more carrier solvents.
  • the process chemistry can include a passivating agent and a solvent that is injected into the supercritical fluid.
  • the processing chemistry preferably includes hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TMCS) and combinations thereof.
  • HMDS hexamethyldisilazane
  • TMCS chlorotrimethylsilane
  • TMCS trichloromethylsilane
  • the processing chemistry can also include one or more carrier solvents.
  • the supercritical processing solution can be re-circulated over the substrate 205 and through the process chamber 208 using the recirculation system 220, such as described above.
  • the process chemistry supply system 230 can be switched off, and process chemistry is not injected into the recirculation loop 215 during the second time T 2 .
  • the process chemistry supply system 230 may be switched on one or more times during T 2 , and process chemistry may be injected into the process chamber 208 during the second time T 2 or after the second time T 2 .
  • the process chamber 208 can operate at a pressure above 1,500 psi during the second time T 2 .
  • the pressure can range irom approximately zp ⁇ u psi to approximately 3,100 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions.
  • the pressure is maintained at approximately P 1 .
  • the supercritical processing solution is circulated over the substrate 205 and through the process chamber 208 using the recirculation system 220, such as described above.
  • the supercritical conditions within the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2) are maintained during the second time T 2 , and the supercritical processing solution continues to be circulated over the substrate 205 and through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2).
  • the recirculation system 220 (FIG. 2) can be used to regulate the flow of the supercritical processing solution through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2).
  • one or more push-through processes can be performed. As illustrated in the embodiment of Figure 3, during the third time T 3 , the pressure is maintained at approximately P 2 .
  • the high- pressure fluid supply system 240 can be operated during a push-through process and can be used to fill the recirculation loop 215 with temperature-controlled fluid.
  • the high-pressure fluid supply system 240 can comprise means for providing a first volume of temperature- controlled fluid during a push-through process, and the first volume can be larger than the volume of the recirculation loop 215. Alternatively, the first volume can be less than or approximately equal to the volume of the recirculation loop 215.
  • the temperature differential within the first volume of temperature-controlled fluid during the push-through process can be controlled to be less than approximately 10 degrees Celsius.
  • the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during a push-through process.
  • the high-pressure fluid supply system 240 can comprise means for providing one or more volumes of temperature controlled fluid during a push-through process; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; and the temperature variation associated with each volume can be controlled to be less than 10 degrees Celsius.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the process chamber 208 and the other elements in the recirculation loop 215 from the high-pressure fluid supply system 240, and the supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 26U.
  • supercritical carbon dioxide can be fed into the recirculation system 220 from the high-pressure fluid supply system 240, and the supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260.
  • the temperature of the fluid supplied by the high-pressure fluid supply system 240 can vary over a wider temperature range than the range used during the second time T 2 .
  • a single second time T 2 is followed by a single third time T 3 , but this is not required.
  • other time sequences may be used to process a substrate.
  • a pressure cycling process can be performed. Alternatively, one or more pressure cycles can occur during the push-through process. In other embodiments, a pressure cycling process is not required.
  • the process chamber 208 can be cycled through a plurality of decompression and compression cycles.
  • the pressure can be cycled between a first pressure P 3 and a second pressure P 4 one or more times.
  • the first pressure P 3 and the second pressure P 4 can vary, hi one embodiment, the pressure can be lowered by venting through the exhaust control system 260. For example, this can be accomplished by lowering the pressure to below approximately 1,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be increased by using the high-pressure fluid supply system 240 and/or the pressure control system 250 to provide additional high-pressure fluid.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop 215. Alternatively, the first volume can be less than or approximately equal to the volume of the recirculation loop 215. m addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately 10 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Ueisius during a compression cycle.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop 215. Alternatively, the second volume can be less than or approximately equal to the volume of the recirculation loop 215.
  • the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately 10 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during a decompression cycle.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing one or more volumes of temperature controlled fluid during a compression cycle and/or decompression cycle; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; the temperature variation associated with each volume can be controlled to be less than 10 degrees Celsius; and the temperature variation can be allowed to increase as additional cycles are performed.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the process chamber 208 and the other elements in the recirculation loop 215, and the supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260.
  • supercritical carbon dioxide can be fed into the recirculation system 220, and the supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260.
  • the temperature of the fluid supplied can vary over a wider temperature range than the range used during the second time T 2 .
  • a single third time T 3 is followed by a single fourth time T 4 , but this is not required.
  • other time sequences may be used to process a substrate.
  • the exhaust control system ZbU can be switched ori during a portion of the fourth time T 4 .
  • the exhaust control system 260 can be switched off during a compression cycle.
  • the process chamber 208 can be returned to a lower pressure. For example, after the pressure cycling process is completed, then the process chamber 208 can be vented or exhausted to atmospheric pressure.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a volume of temperature-controlled fluid during a venting process, and the volume can be larger than the volume of the recirculation loop 215. Alternatively, the volume can be less than or approximately equal to the volume of the recirculation loop 215.
  • the temperature differential within the volume of temperature-controlled fluid during the venting process can be controlled to be less than approximately 20 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 15 degrees Celsius during a venting process.
  • the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing one or more volumes of temperature controlled fluid during a venting process; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; the temperature variation associated with each volume can be controlled to be less than 20 degrees Celsius; and the temperature variation can be allowed to increase as the pressure approaches a final processing pressure.
  • one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the recirculation loop 215, and the remaining supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260.
  • supercritical carbon dioxide can be fed into the process chamber 208 and/or the recirculation system 220, and the remaining supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260.
  • a recirculation pump (not shown) can be switched off.
  • the temperature of the fluid supplied by the high- pressure fluid supply system 240 can vary over a wider temperature range than the range used during the second time T 2 .
  • the temperature can range below the temperature required for supercritical operation.
  • the chamber pressure can be made substantially equal to the pressure inside of a transfer chamber (not shown) coupled to the process chamber 208.
  • the substrate can be moved from the process chamber 208 into the transfer chamber, and moved to a second process apparatus or module to continue processing.
  • the pressure returns to an initial pressure P 0 , but this is not required for the invention.
  • the pressure does not have to return to P 0 , and the process sequence can continue with additional time steps such as those shown in time steps T 1 , T 2 , T 3 , T 4 , or T 5 .
  • the graph 300 is provided for exemplary purposes only.
  • a low-k layer can be treated using 1 to 10 passivation steps each taking less than approximately 3 minutes, as described above.
  • a supercritical processing step can have any number of different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, rinsing, and/or curing process sequences with each step having any number of compression and decompression cycles are contemplated.
  • concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
  • FIG. 4 shows a simplified flow diagram outlining steps for treating a silicon oxide- based low-k layer in accordance with the embodiments of the invention, hi the illustrated embodiment, a method 400 is shown for treating a substrate structure comprising a patterned low-k layer and post-etch residue thereon using a supercritical cleaning and passivating solution.
  • the substrate structure comprising a dielectric layer and the post-etch residue is placed within a process chamber, which is then sealed.
  • the process chamber is pressurized with supercritical CO 2 and passivating chemistry is added to the supercritical CO 2 to generate a supercritical cleaning and passivatmg solution.
  • me cleaning ana passivatmg cnemistry comprises at least one organosilicon compound.
  • the substrate structure is maintained in the supercritical processing solution for a period of time sufficient to remove at least a portion of the residue from the substrate structure and passivate surfaces exposed after the residue is removed.
  • the supercritical cleaning and passivatmg solution is circulated through the process chamber and/or otherwise flowed to move the supercritical cleaning and passivating solution over surfaces of the substrate structure.
  • the process chamber is partially exhausted in the step 408.
  • the cleaning process comprising steps 404 and 406 can be repeated any number of times, as indicated by the arrow connecting the steps 408 to 404, required to remove the residue from the substrate structure and passivate the surfaces exposed.
  • the processing comprising the steps 404 and 406, in accordance with the embodiments of the invention, use fresh supercritical carbon dioxide, fresh chemistry, or both.
  • the concentration of the cleaning chemistry is modified by diluting the process chamber with supercritical carbon dioxide, by adding additional charges of cleaning chemistry or a combination thereof.
  • the substrate structure is preferably treated to a supercritical clean and/or rinse solution.
  • the supercritical clean and/or rinse solution preferably comprises supercritical CO 2 and one or more organic solvents, but can be pure supercritical CO 2 .
  • the process chamber is depressurized, and the substrate structure is removed from the process chamber.
  • the substrate structure can be cycled through one or more additional cleaning/ rinse processes comprising the steps 404, 406, 408 and 410 as indicated by the arrow connecting steps 410 and 404.
  • the substrate structure is treated to several rinse cycles prior to removing the substrate structure from the process chamber in the step 412, as indicated by the arrow connecting the steps 410 and 408.
  • the substrate structure can be dried and/or pretreated prior to passivatmg the low-k layer thereon by using a supercritical solution comprising supercritical carbon dioxide and one or more solvents such as methanol, ethanol, n-hexane, and/or combinations thereof.
  • a substrate comprising a post-etch residue and/or a patterned low-k dialectic layer can be treated to any number of cleaning and passivatmg steps and/or sequences.
  • the present invention has the advantages of being capable of passivating a low-k surface and being compatible with other processing steps, such as removing post-etch residues (including, but not limited to, spin-on polymeric anti-reflective coating layers and photopolymers) for patterned low-k layers in a supercritical processing environment.
  • the present invention also has been observed to restore or partially restore k -values of materials lost after patterning steps and has been shown to produce low-k layers that are stable over time.

Abstract

A method of passivating silicon-oxide based low-k materials using a supercritical carbon dioxide passivating solution comprising a silylating agent is disclosed. The silylating agent is preferably an organosilicon compound comprising organo-groups with five carbon atoms such as hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS) and combinations thereof. In accordance with further embodiments of the invention, a post ash substrate comprising a dielectric material is simultaneously cleaned and passivated using a supercritical carbon dioxide cleaning solution.

Description

TREATMENT OF A DIELECTRIC LAYER USING SUPERCRITICAL CO2
CROSS-REFERENCE TO RELATED APPLICATIONS
[OOOIJThis Patent Application is a continuation-in-part (CIP) of the co-pending U.S. Patent Application, Serial No. 10/379,984 filed March 4, 2003, and entitled "METHODS OF PASSrVATING POROUS LOW-K DIELECTRIC FILM" which claims priority under 35 U.S.C. 119 (e) of the U.S. Provisional Patent Application, Serial No. 60/361,917 filed March 4, 2002, and entitled "METHODS OF PASSIVATING POROUS LOW-K DIELECTRIC FILM" and the U.S. Provisional Patent Application, Serial No. 60/369,052 filed March 29, 2002, and entitled "USE OF SUPERCRITICAL CO2 PROCESSING FOR INTEGRATION AND FORMATION OF ULK DIELECTRICS". The co-pending U.S. Patent Application, Serial No. 10/379,984 filed March 4, 2003, and entitled "METHODS OF PASSIVATING POROUS LOW-K DIELECTRIC FILM"; the Provisional Patent Application, Serial No. 60/361,917 filed March 4, 2002, and entitled "METHODS OF PASSIVATING POROUS LOW-K DIELECTRIC FILM"; and the Provisional Patent Application, Serial No. 60/369,052 filed March 29, 2002, and entitled "USE OF SUPERCRITICAL CO2 PROCESSING FOR INTEGRATION AND FORMATION OF ULK DIELECTRICS" are all hereby incorporated by reference.
FIELD OF THE INVENTION
[0002]The invention in general relates to the field of semiconductor wafer processing. More particularly, the invention relates to passivating or repairing damaged porous and non-porous dielectric materials having various dielectric constants with supercritical processing solutions.
BACKGROUND OF THE INVENTION
[0003] Semiconductor fabrication generally uses photoresist in etching and other processing steps. In the etching steps, a photoresist masks areas of the semiconductor substrate that are not etched. Examples of the other processing steps include using a photoresist to mask areas of a semiconductor substrate in an ion implantation step or using the photoresist as a blanket protective coating of a processed wafer or using the photoresist as a blanket protective coating of a MEMS (micro electro-mechanical system) device. [0004] State of the art integrated circuits can contain up to 6 million transistors and more than 800 meters of wiring. There is a constant push to increase the numoer oi transistors on wafer-based integrated circuits. As the number of transistors is increased, there is a need to reduce the cross-talk between the closely packed wires in order to maintain high performance requirements. The semiconductor industry is continuously looking for new processes and new materials that can help improve the performance of wafer-based integrated circuits. [0005]Materials exhibiting low dielectric constants of between 3.5-2.5 are generally referred to as low-k materials and porous materials with dielectric constants of 2.5 and below are generally referred to as ultra low-k (ULK) materials. For the purpose of this application low- k materials refer to both low-k and ultra low-k materials. Low-k materials have been shown to reduce cross-talk and provide a transition into the fabrication of even smaller integrated circuit geometries. Low-k materials have also proven useful for low temperature processing. For example, spin-on-glass materials (SOG) and polymers can be coated onto a substrate and treated or cured with relatively low temperatures to make porous silicon oxide-based low-k layers. Silicon oxide-based herein does not strictly refer to silicon-oxide materials. In fact, there are a number of low-k materials that have silicon oxide and hydrocarbon components and/or carbon, wherein the formula is SiOxCyHz, referred to herein as hybrid materials and designated herein as MSQ materials. It is noted, however, that MSQ is often designated to mean Methyl Silsesquioxane, which is an example of the hybrid low-k materials described above. Some low-k materials, such as carbon doped oxide (COD) or fluoridated silicon glass (FSG), are deposited using chemical vapor deposition techniques, while other low-k materials, such as MSQ, porous-MSQ, and porous silica, are deposited using a spin-on process.
[0006] While low-k materials are promising materials for fabrication of advanced micro circuitry, they also provide several challenges. They tend to be less robust than more traditional dielectric layer materials and can be damaged by etch and plasma ashing process generally used in patterning dielectric layers in wafer processing, especially in the case of the hybrid low-k materials, such as described above. Further, silicon oxide-based low-k materials tend to be highly reactive after patterning steps. The hydrophilic surface of the silicon oxide-based low-k material can readily absorb water and/or react with other vapors and/or process contaminants that can alter the electrical properties of the dielectric layer itself and/or diminish the ability to further process the wafer.
[0007]What is needed is an apparatus and method of passivating a low-k layer especially after a patterning step. Preferably, the method of passivating the low-k layer is compatible with other wafer processing steps, such as processing steps for removing contaminants and/or post-etch residue after a patterning step. b UMMAKY UJb IMJa JLJN V JbJN l IUlN
[0008]The present invention is directed to passivating silicon-oxide based low-k materials using a supercritical passivating solution. Low-k materials are usually porous oxide-based materials and can include an organic or hydrocarbon component. Examples of low-k materials include, but are not limited to, carbon-doped oxide (COD), spin-on-glass (SOG) and fluoridated silicon glass (FSG) materials.
[0009]In accordance with the embodiments of the present invention, a supercritical passivating solution comprises supercritical carbon dioxide and an amount of a passivating agent that is preferably a silylating agent. In one embodiment, the silylating agent can be introduced into supercritical carbon dioxide directly. In alternative embodiments, the silylating agent can be introduced into supercritical carbon dioxide with a carrier solvent (cosolvent), such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 1- propanol) or combinations thereof, to generate the supercritical passivating solution. [001O]In accordance with a preferred embodiment of the invention, the silylating agent is an organosilicon compound, and silyl groups (Si(CR3)3) attack silanol (Si-OH) groups on the surface of the silicon oxide-based low-k dielectric material and/or in the bulk of the silicon oxide-based low-k dielectric material to form surface capped organo-silyl groups during the passivating step.
[001I]In accordance with further embodiments of the invention, a silicon oxide-based low-k material is passivated with a supercritical passivating solution comprising supercritical carbon dioxide and an organosilicon compound that comprises organo-groups. In accordance with one embodiment of the invention the organo-groups or a portion thereof, are methyl groups. For example, suitable organosilicon compounds useful as silylating agents in the invention include, but are not limited to, hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TJMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP)5 methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). lUUlZJDuπng a supercritical passivatmg step, a silicon oxiαe-oaseα low-k material, in accordance with the embodiments of the invention, is maintained at temperatures in a range of 40 to 200 degrees Celsius, and preferably at a temperature of between approximately 50 degrees Celsius and approximately 150 degrees Celsius, and at pressures in a range of 1,070 to 9,000 psi, and preferably at a pressure between approximately 1,500 psi and approximately 3,500 psi, while a supercritical passivating solution, such as described herein, is circulated over the surface of the silicon oxide-based low-k material.
[0013]hi accordance with still further embodiments of the invention, the surface of the silicon oxide-based low-k material is dried or re-treated prior to the passivating step, hi accordance with this embodiment of the invention, the silicon oxide-based low-k material is dried, or re-treated by exposing the low-k materials to a supercritical solution of supercritical carbon dioxide or supercritical carbon dioxide with one or more solvents including but not limited to ethanol, methanol, n-butanol and combinations thereof. A supercritical processing solution with methanol and ethanol can be used to remove water from low-k materials. In addition, a supercritcial processing solution with one or more alcohols can be used to remove low molecular weight compounds from a porous inter-level or inter-layer dielectric (ILD). [0014]hi accordance with yet further embodiments of the invention, a dielectric surface is passivated during a cleaning processing step, wherein a post-etch residue is simultaneously removed from the dielectric surface using a supercritical cleaning solution comprising a passivating agent, such as described herein. The post-etch residue can include a photoresist polymer or a photoresist polymer with an anti-reflective dye and/or an anti-reflective layer. [0015]In accordance with the method of the present invention, a patterned low-k dielectric layer is formed by depositing a continuous layer of a low-k dielectric material on a substrate or other surface, etching a pattern in the low-k material and passivating the patterned layer. [0016] After a low-k material is patterned by treating the low-k material to an etch and/or ash process, the low-k material can show a marked increase in the k- values as a result of degeneration of the material and/or removal of a portion of the organic component, in the case of low-k hybrid materials; increases of more than 1.0 in k-values have been observed. The method of passivation in accordance with the present invention has the ability to restore or recover a portion of the Ic- value lost in the patterning steps, hi fact it has been observed that low-k materials passivated in accordance with the embodiments of the present invention can be restored to exhibit k-values near, or at, k-values of the original and un-patterned material. .BKLm JJJlSUKIrJLlUJN UJ? IJ±b JJKA W JJN LrS
[0017] A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:
[0018]FIGS. IA-C show schematic representations of organosilicon structures used as silylating agents in a supercritical processing step, in accordance with the embodiments of the invention;
[0019]FIG. ID shows schematic representations of silylating agents reacting with silanol groups in a low-k material, in accordance with the embodiments of the invention; [002O]FIG. IE illustrates steric hindrance between a silanol-group and a silyl-group on a surface of a low-k material, which can lead to incomplete silylating of the surface; [002I]FIG. 2 shows an exemplary block diagram of a processing system in accordance with an embodiment of the invention;
[0022JFIG. 3 illustrates an exemplary graph of pressure versus time for a supercritical process in accordance with an embodiment of the invention; and
[0023JFIG. 4 shows a simplified flow diagram outlining steps for treating a silicon oxide- based low-k layer in accordance with the embodiments of the invention.
DETAILED DESCRIPTION OF SEVERAL EJMBODIMENTS
[0024]hi semiconductor fabrication, a dielectric layer is generally patterned using a photoresist mask in one or more etching and ashing steps. Generally, to obtain the high- resolution line widths and high feature aspect ratios, an anti-reflective coating is required, hi earlier processes, an anti-reflective coating (ARC) of titanium nitride (TiN) was vapor deposited on the dielectric layer and the TiN anti-reflective coatings would not be removed after patterning but rather remained a part of the device fabricated. With new classes of low dielectric layers that can be made to be very thin, TiN anti-reflective coatings are not preferred because anti-reflective coatings can dominate over the electrical properties of the dielectric layer. Accordingly, polymeric spin-on anti-reflective coatings with an anti- reflective dye that can be removed after a patterning step are preferred. Regardless of the materials that are used in the patterning steps, after patterning the dielectric layer these materials are preferably removed from the dialectic layer after the patterning process is complete. lUU25JForous low-lc matenals are most commonly sincon-oxiαe oaseα wim siianoi (δi-υnj groups and/or organo components as described above. These low-k materials can become activated and/or damaged, which is believed to be in part due to depletion of an organic component during etch and/or ash steps. In either case, of activation and/or damage, additional silanol groups are exposed which can readily adsorb water and/or contaminants and/or chemicals that are present during other processing steps. Accordingly, partial device structures with exposed low-k dielectric layers are difficult to handle and maintain contaminant free, especially after patterning steps. Further, activation and/or damage of the bulk low-k material can result in increased k-values. It has been observed that low-k materials that are activated and/or damaged can exhibit increases in k-values by 1.0 or more. [0026] The present invention is directed to a method of and system for passivating porous low-k dielectric materials. The method of the present invention preferably passivates a layer of patterned low-k layer by end-capping silanol groups on the surface and/or in the bulk of the low-k material to produce a patterned low-k material that is more hydrophobic, more resistant to hydrophilic contamination, and/or less reactive. In accordance with the embodiments of the present invention, a passivation processing step is carried out separately from a supercritical post-etch cleaning process or, alternatively, is carried out simultaneously with a supercritical post-etch cleaning process.
[0027]Referring now to FIG. IA, in accordance with the embodiments of the invention, a supercritical passivating solution comprises a silane structure 10 which can have all organo groups, such as in the case with hexamethyldisilazane (HMDS) or a combination of organo and halide groups (F, Cl, Br and etc.) which are attached to any one of the positions 1-4. [0028]Now referring to FIG. IB, in accordance with further embodiments of the invention, a supercritical passivating solution comprises a pent-valent organosilicon compound 20, wherein the silicon atom is coordinated to 5 ligands in the positions 1, 2, 3, 4 and 5 in a tiganolbipyramidal configuration. Typically, such compounds 20 are anions with one or more of the positions 1-5 being coordinated with a halide atom, such as in the case with a difluorotrimethylilicate anion. When the structure 20 is an anion, the compound 20 also includes a suitable cation, such as sodium, potassium or any other inorganic or organic cation (not shown).
[0029]Now referring to FIG. 1C, in accordance with yet further embodiments of the present invention, a supercritical passivating solution comprises a silazane structure 30, which can be described as an amine structure with two organosilyl groups coordinated to the nitrogen of the amine, such as in the case of hexamethyldisilazane (HMDS) or a combination of organo and halide groups (F, Cl, Br, etc.), which are attached to any one of the positions 1-6. ID shows schematic representations ot nexamethyidisiiazane (±UVLDSJ reacting with silanol groups on a surface of a low-k material in reaction sequence (1). For example, a trimethyl amine can be produced in the reaction sequence (1), which can then further react with silanol groups on a surface of the low-k material in accordance with reaction sequence (2). Hence, hexamethyldisilazane (HMDS) provides an excellent silylating agent for use in accordance with the method of the present invention.
[003I]FIG. IE illustrates steric hindrance between a silanol group 53 and silyl-group 55 on a surface 51 of a low-k material. Note that the silyl group 55 is extremely large and can actually provide a protective barrier for the silanol group 53. Accordingly, it is not generally possible to completely silylate an entire surface or bulk of a low-k material. [0032]It will be clear to one skilled in the art that a supercritical passivating solution with any number of silylating agents and combinations of silylating agents are within the scope of the present invention. Further, the silylating agent or agents used can be introduced into supercritical carbon dioxide neat or along with a carrier solvent, such as N, N- dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC) N- methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alkane or combinations thereof to generate the supercritical passivating solution. In addition, cleaning and/or rinsing agents used in the present invention can be used in supercritical cleaning/rinsing processes to remove post-processing residues from a surface of a patterned low-k material.
[0033] The present invention is particularly well suited for removing post-etch photopolymers from a wafer material and even more specifically is well suited to remove a post-etch photopolymer and/or a polymeric anti-reflective coating layer from a low-k silicon oxide- based layer, including low-k layers formed from porous MSQ and porous SiO2 (e.g., Honeywell's NANOGLASS®), while simultaneously passivating a silicon oxide-based layer. [0034JFIG. 2 shows an exemplary block diagram of a processing system in accordance with an embodiment of the invention, hi the illustrated embodiment, processing system 200 comprises a process module 210, a recirculation system 220, a process chemistry supply system 230, a high-pressure fluid supply system 240, a pressure control system 250, an exhaust control system 260, and a controller 280. The processing system 200 can operate at pressures that can range from 1,000 psi. to 10,000 psi. hi addition, the processing system 200 can operate at temperatures that can range from 40 to 300 degrees Celsius. [0035]The details concerning one example of a process chamber are disclosed in co-owned and co-pending United States Patent Applications, Serial No. 09/912,844, entitled "HIGH PRESSURE PROCESSING CHAMBER FOR SEMICONDUCTOR SUBSTRATE," filed July 24, 2001, Serial Mo. θy/y /υ,jυy, entitled "J±KJJH. JfJbaibbUKu ±'KUUJiJs&JUNLr
CHAMBER FOR MULTIPLE SEMICONDUCTOR SUBSTRATES," filed October 3, 2001, Serial No. 10/121,791, entitled "HIGH PRESSURE PROCESSING CHAMBER FOR SEMICONDUCTOR SUBSTRATE INCLUDING FLOW ENHANCING FEATURES," filed April 10, 2002, and Serial No. 10/364,284, entitled "HIGH-PRESSURE PROCESSING CHAMBER FOR A SEMICONDUCTOR WAFER," filed February 10, 2003, the contents of which are all incorporated herein by reference.
[0036]The controller 280 can be coupled to the process module 210, the recirculation system 220, the process chemistry supply system 230, the high-pressure fluid supply system 240, the pressure control system 250, and the exhaust control system 260. Alternatively, controller 280 can be coupled to one or more additional controllers/computers (not shown), and controller 280 can obtain setup and/or configuration information from an additional controller/computer.
[0037]hi FIG 2, singular processing elements (210, 220, 230, 240, 250, 260, and 280) are shown, but this is not required for the invention. The semiconductor processing system 200 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements. [0038]The controller 280 can be used to configure any number of processing elements (210, 220, 230, 240, 250, and 260), and the controller 280 can collect, provide, process, store, and display data from processing elements. The controller 280 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 280 can include a GUI component (not shown) that can provide easy-to-use interfaces that enable a user to monitor and/or control one or more processing elements. [0039] The process module 210 can include an upper assembly 212 and a lower assembly 216, and the upper assembly 212 can be coupled to the lower assembly 216 to form a process chamber 208. hi an alternative embodiment, a frame and or injection ring may be included and may be coupled to the upper assembly 212 and the lower assembly 216. The upper assembly 212 can comprise a heater (not shown) for heating the process chamber 208, a substrate 205 contained within the process chamber 208, or a processing fluid, or a combination of two or more thereof. Alternatively, a heater is not required in the upper assembly 212. In another embodiment, the lower assembly 216 can comprise a heater (not shown) for heating the process chamber 208, the substrate 205, or the processing fluid, or a combination of two or more thereof. The process module 210 can include means for flowing a processing fluid through the process chamber 208. hi one example, a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established. Alternatively, the means tor tlowmg can De corrngureα αiπerentiy. ine lower assembly 216 can comprise one or more lifters (not shown) for moving a holder or chuck 218 and/or the substrate 105. Alternatively, a lifter is not required.
[0040]m one embodiment, the process module 210 can include the holder or chuck 218 for supporting and holding the substrate 205 while processing the substrate 205. The holder or chuck 218 can also be configured to heat or cool the substrate 205 before, during, and/or after processing the substrate 205. Alternatively, the process module 210 can include a platen for supporting and holding the substrate 205 while processing the substrate 205.
[0041] A transfer system (not shown) can be used to move a substrate (e.g., 205) into and out of the process chamber 208 through a slot (not shown). In one example, the slot can be opened and closed by moving the chuck, and in another example, the slot can be controlled using a gate valve.
[0042]The substrate can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof.
The semiconductor material can include Si, Ge, Si/Ge, or GaAs. The metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof. The dielectric material can include Si, O, N, or C, or combinations of two or more thereof. The ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof.
[0043]The recirculation system 220 can be coupled to the process module 210 using one or more inlet lines 222 and one or more outlet lines 224. hi one embodiment, a recirculation loop 215 can be configured that includes a portion of the recirculation system 220, a portion of the process module 210, one or more of the inlet lines 222 and one or more of the outlet lines 224.
[0044]The recirculation system 220 can comprise one or more pumps (not shown) that can be used to regulate the flow of the supercritical processing solution through the process chamber
208 and the other elements in the recirculation loop 215. The flow rate can vary from approximately 0.01 liters/minute to approximately 100 liters/minute.
[0045]The recirculation system 220 can comprise one or more valves for regulating the flow of a supercritical processing solution through the recirculation system and through the process module 210. The recirculation system 220 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical process solution through the recirculation system 220 and through the process chamber 208 in the process module 210.
[0046]Processing system 200 can comprise a process chemistry supply system 230. hi the illustrated embodiment, the process chemistry supply system 230 is coupled to the recirculation system 220 using one or more lines ZJD, out tms is noi required ior me invention. In alternative embodiments, the process chemistry supply system 230 can be configured differently and can be coupled to different elements in the processing system 200. For example, the process chemistry supply system 230 can be directly coupled to the process module 210.
[0047] Process chemistry is introduced by the process chemistry supply system 230 into the fluid introduced by the high-pressure fluid supply system 240 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the process chamber 208. The ratio can vary from approximately 0.001 to approximately 15 percent by volume. For example, when the recirculation loop 215 comprises a volume of about one liter, the process chemistry volumes can range from approximately ten micro liters to approximately one hundred fifty milliliters. In alternative embodiments, the volume and/or the ratio may be higher or lower.
[0048]The process chemistry supply system 230 can comprise a cleaning chemistry assembly (not shown) for providing cleaning chemistry for generating supercritical cleaning solutions within the process chamber 208. The cleaning chemistry can include peroxides and a fluoride source. Further details of fluoride sources and methods of generating supercritical processing solutions with fluoride sources are described in U.S. Patent Application Serial No. 10/442,557, filed May 20, 2003, and titled "TETRA-ORGANIC AMMONIUM FLUORIDE AND HF IN SUPERCRITICAL FLUID FOR PHOTORESIST AND RESIDUE REMOVAL", and U.S. Patent Application Serial No. 10/321,341, filed December 26, 2002, and titled "FLUORIDE IN SUPERCRITICAL FLUID FOR PHOTORESIST POLYMER AND RESIDUE REMOVAL," both incorporated by reference herein. [0049]In addition, the cleaning chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol and 2-propanol).
[0050] The process chemistry supply system 230 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the process chamber 208. The rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols and ketones. For example, the rinsing chemistry can comprise solvents, such as N,N-dimethylacetamide (DMAc), gamma- butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), JN-methylpyrrolidone (JNJVLf), dimethyipiperiαone, propylene caroonate, ana aiconois ^sucn as methanol, ethanol and 2-propanol).
[0051]Furthermore, the process chemistry supply system 230 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination of low dielectric constant films (porous or non- porous). The chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilaiie (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). Additionally, the chemistry may include N-tert-butyl-l,l-dimethyl-l-(2,3,4,5-tetramethyl-2,4-cyclopentadiene- l-yl)silanamine, l,3-diphenyl-l,l,3,3-tetramethyldisilazane, or tert- butylchlorodiphenylsilane. For further details, see U.S. Patent Application Serial No. 10/682,196, filed October 10, 2003, and titled "METHOD AND SYSTEM FOR TREATING A DIELECTRIC FILM", and U.S. Patent Application Serial No. 10/379,984, filed March 4, 2003, and titled "METHOD OF PASSIVATING LOW DIELECTRIC MATERIALS IN WAFER PROCESSING", both incorporated by reference herein.
[0052]The processing system 200 can comprise the high-pressure fluid supply system 240. As shown in FIG. 2, the high-pressure fluid supply system 240 can be coupled to the recirculation system 220 using one or more lines 245, but this is not required. The inlet line 245 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high-pressure fluid supply system 240. hi alternative embodiments, high-pressure fluid supply system 240 can be configured differently and coupled differently. For example, the high-pressure fluid supply system 240 can be coupled to the process module 210 or to the recirculation system 220 or to both. [0053]The high-pressure fluid supply system 240 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid. For example, the carbon dioxide source can include a CO2 feed system, and the flow control elements can include supply lines, valves, filters, pumps, and heaters. The high- pressure fluid supply system 240 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the process chamber 208. For example, controller z»υ can oe useα to αetermme πuiα parameters such as pressure, temperature, process time, and flow rate. [0054]The processing system 200 can also comprise a pressure control system 250. As shown in FIG. 2, the pressure control system 250 can be coupled to the process module 210 using one or more lines 255, but this is not required. Line 255 can be equipped with one or more back-flow valves, pumps, and/or heaters (not shown) for controlling the fluid flow to pressure control system 250. m alternative embodiments, pressure control system 250 can be configured differently and coupled differently. For example, the pressure control system 250 can also include one or more pumps (not shown), and a sealing means (not shown) for sealing the process chamber 208. In addition, the pressure control system 250 can comprise means for raising and lowering the substrate 205 and/or the chuck 218. The pressure control system 250 can include one or more pressure valves (not shown) for exhausting the process chamber 208 and/or for regulating the pressure within the process chamber 208. Alternatively, the pressure control system 250 can also include one or more pumps (not shown).
[0055]Furthermore, the processing system 200 can comprise an exhaust control system 260. As shown in FIG. 2, the exhaust control system 260 can be coupled to the process module 210 using one or more lines 265, but this is not required. Line 255 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 260. In alternative embodiments, exhaust control system 260 can be configured differently and coupled differently. The exhaust control system 260 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternatively, the exhaust control system 260 can be used to recycle the processing fluid.
[0056]Li one embodiment, controller 280 can comprise a processor 282 and a memory 284. Memory 284 can be coupled to processor 282, and can be used for storing information and instructions to be executed by processor 282. Alternatively, different controller configurations can be used. In addition, controller 280 can comprise a port 285 that can be used to couple processing system 200 to another system (not shown). Furthermore, controller 280 can comprise input and/or output devices (not shown). [0057]In addition, one or more of the processing elements (210, 220, 230, 240, 250, 260, and 280) may include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions. For example, the memory 284 may be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system. One or more of the processing elements can comprise a means tor reading data and/or instructions from a computer readable medium. In addition, one or more of the processing elements can comprise a means for writing data and/or instructions to a computer readable medium.
[0058]Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein. [0059]The processing system 200 can perform a portion or all of the processing steps of the invention in response to the controller 280 executing one or more sequences of one or more computer-executable instructions contained in the memory 284. Such instructions may be received by the controller 280 from another computer, a computer readable medium, or a network connection.
[0060] Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the processing system 200, for driving a device or devices for implementing the invention, and for enabling the processing system 200 to interact with a human user and/or another system, such as a factory system. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
[0061]The term "computer readable medium" as used herein refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction. A computer readable medium may take many forms, including, but not limited to, non-volatile media, volatile media, and transmission media. The term "computer-executable instruction" as used herein refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
[0062] Controller 280, processor 282, memory 284 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art. The computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art. [0063] Controller 280 can use port 285 to obtain computer code and/or software from another system (not shown), such as a tactory system, ihe computer code and/or sottware can De used to establish a control hierarchy. For example, the processing system 200 can operate independently, or can be controlled to some degree by a higher-level system (not shown). [0064]The controller 280 can receive data from and/or send data to the other parts of the system 200. Controller 280 can use pre-process data, process data, and post-process data. For example, pre-process data can be associated with an incoming substrate. This pre- process data can include lot data, batch data, run data, composition data, and history data. The pre-process data can be used to establish an input state for a wafer. Process data can include process parameters. Post processing data can be associated with a processed substrate.
[0065] The controller 280 can use the pre-process data to predict, select, or calculate a set of process parameters to use to process the substrate 205. For example, this predicted set of process parameters can be a first estimate of a process recipe. A process model can provide the relationship between one or more process recipe parameters or set points and one or more process results. A process recipe can include a multi-step process involving a set of process modules. Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days. The controller 280 can compute a predicted state for the substrate 205 based on the pre-process data, the process characteristics, and a process model. For example, a cleaning rate model can be used along with a contaminant level to compute a predicted cleaning time. Alternatively, a rinse rate model can be used along with a contaminant level to compute a processing time for a rinse process.
[0066]It will be appreciated that the controller 280 can perform other functions in addition to those discussed here. The controller 280 can monitor the pressure, temperature, flow, or other variables associated with the processing system 200 and take actions based on these values. For example, the controller 280 can process measured data, display data and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator. The controller 280 can comprise a database component (not shown) for storing input and output data.
[0067]In a supercritical cleaning/rinsing process, the desired process result can be a process result that is measurable using an optical measuring device, such as a scanning electron microscope (SEM) and/or a transmission electron microscope (TEM). For example, the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate below a predetermined threshold. After one or more cleaning process run, the desired process can be measured. In other case the desired process result can be a required dielectric constant.
[0068]FIG. 3 illustrates an exemplary graph 300 of pressure versus time for a supercritical process step in accordance with an embodiment of the invention. In the illustrated embodiment, the graph 300 of pressure versus time is shown, and the graph 300 can be used to represent a supercritical cleaning process step, a supercritical rinsing process step, or a supercritical curing process step, or a combination thereof. Alternatively, different pressures, different timing, and different sequences may be used for different processes. [0069]Now referring to both FIGs. 2 and 3, prior to an initial time T0, the substrate 205 to be processed can be placed within the process chamber 208 and the process chamber 208 can be sealed. For example, during cleaning and/or rinsing processes, a substrate can have post-etch and/or post-ash residue thereon. The substrate 205, the process chamber 208, and the other elements in the recirculation loop 215 (FIG. 2) can be heated to an operational temperature. For example, the operational temperature can range from 40 to 300 degrees Celsius. For example, the process chamber 208, the recirculation system 220, and piping coupling the recirculation system 220 to the process chamber 208 can form the recirculation loop 215. [0070]From the initial time T0 through a first duration of time T1, the elements in the recirculation loop 215 (FIG. 2) can be pressurized. As illustrated in the embodiment shown in Figure 3, from the time T0 during the first duration of time T1, the pressure is increased from P0 to P1. During a first portion of the time T1, a temperature-controlled fluid can be provided into the recirculation loop 215 (FIG. 2). In one embodiment, the high-pressure fluid supply system 240 can be operated during a pressurization process and can be used to fill the recirculation loop 215 with temperature-controlled fluid. The high-pressure fluid supply system 240 can comprise means for filling the recirculation loop 215 with the temperature- controlled fluid, and the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 10 degrees Celsius during the pressurization process. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during the pressurization process. In alternative embodiments, the high-pressure fluid supply system 240 and/or the pressure control system 250 can be operated during a pressurization process and can be used to fill the recirculation loop 215 with temperature-controlled fluid.
[0071]For example, a supercritical fluid, such as substantially pure CO2, can be used to pressurize the elements in the recirculation loop 215 (FIG. 2). During time T1, a pump (not shown) in the recirculation system 220 (FIG. 2) can be started and can be used to circulate the temperature controlled fluid through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2). |UU7ZJJLn one embodiment, wήen tήe pressure in me process cnamoer zus reacnes an operational pressure P0 (approximately 2,500 psi), process chemistry can be injected into the process chamber 208, using the process chemistry supply system 230. In an alternative embodiment, process chemistry can be injected into the process chamber 208, using the process chemistry supply system 230 when the pressure in the process chamber 208 exceeds a critical pressure, such as 1,070 psi. In other embodiments, process chemistry may be injected into the process chamber 208 before the pressure exceeds the critical pressure Pc using the process chemistry supply system 230. In other embodiments, process chemistry is not injected during the T1 period.
[0073]fri one embodiment, process chemistry is injected in a linear fashion (e.g., at regular time intervals, at a steady rate, at a steadily increasing rate, etc.), and the injection time can be based on a recirculation time. For example, the recirculation time can be determined based on the length of a recirculation path and the flow rate, hi other embodiments, process chemistry may be injected in a non-linear fashion (e.g., at non-regular time intervals or at a varying rate). For example, process chemistry can be injected in one or more steps. [0074] The process chemistry can include a cleaning agent, a rinsing agent, or a curing agent, or a combination thereof that is injected into the supercritical fluid. One or more injections of process chemistries can be performed over the duration of time T1 to generate a supercritical processing solution with the desired concentrations of chemicals. The process chemistry, in accordance with the embodiments of the invention, can also include one more or more carrier solvents.
[0075] When dielectric material is being treated, the process chemistry can include a passivating agent and a solvent that is injected into the supercritical fluid. The processing chemistry preferably includes hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TMCS) and combinations thereof. The processing chemistry can also include one or more carrier solvents.
[0076] Still referring to both FIGs. 2 and 3, during a second time T2, the supercritical processing solution can be re-circulated over the substrate 205 and through the process chamber 208 using the recirculation system 220, such as described above. In one embodiment, the process chemistry supply system 230 can be switched off, and process chemistry is not injected into the recirculation loop 215 during the second time T2. Alternatively, the process chemistry supply system 230 may be switched on one or more times during T2, and process chemistry may be injected into the process chamber 208 during the second time T2 or after the second time T2. [0077]The process chamber 208 can operate at a pressure above 1,500 psi during the second time T2. For example, the pressure can range irom approximately zpυu psi to approximately 3,100 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions. As illustrated in the embodiment of Figure 3, during the second time T2 the pressure is maintained at approximately P1. The supercritical processing solution is circulated over the substrate 205 and through the process chamber 208 using the recirculation system 220, such as described above. The supercritical conditions within the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2) are maintained during the second time T2, and the supercritical processing solution continues to be circulated over the substrate 205 and through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2). The recirculation system 220 (FIG. 2) can be used to regulate the flow of the supercritical processing solution through the process chamber 208 and the other elements in the recirculation loop 215 (FIG. 2).
[0078] Still referring to both FIGs. 2 and 3, during a third time T3, one or more push-through processes can be performed. As illustrated in the embodiment of Figure 3, during the third time T3, the pressure is maintained at approximately P2. In one embodiment, the high- pressure fluid supply system 240 can be operated during a push-through process and can be used to fill the recirculation loop 215 with temperature-controlled fluid. The high-pressure fluid supply system 240 can comprise means for providing a first volume of temperature- controlled fluid during a push-through process, and the first volume can be larger than the volume of the recirculation loop 215. Alternatively, the first volume can be less than or approximately equal to the volume of the recirculation loop 215. In addition, the temperature differential within the first volume of temperature-controlled fluid during the push-through process can be controlled to be less than approximately 10 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during a push-through process.
[0079] In other embodiments, the high-pressure fluid supply system 240 can comprise means for providing one or more volumes of temperature controlled fluid during a push-through process; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; and the temperature variation associated with each volume can be controlled to be less than 10 degrees Celsius.
[0080]For example, during the third time T3, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the process chamber 208 and the other elements in the recirculation loop 215 from the high-pressure fluid supply system 240, and the supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 26U. in an alternative embodiment, supercritical carbon dioxide can be fed into the recirculation system 220 from the high-pressure fluid supply system 240, and the supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260. [0081]Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the process chamber 208 and the other elements in the recirculation loop 215 from dropping out and/or adhering to the process chamber 208 and the other elements in the recirculation loop 215. hi addition, during the third time T3, the temperature of the fluid supplied by the high-pressure fluid supply system 240 can vary over a wider temperature range than the range used during the second time T2.
[0082]hi the illustrated embodiment shown in FIG. 3, a single second time T2 is followed by a single third time T3, but this is not required. In alternative embodiments, other time sequences may be used to process a substrate.
[0083] After the push-through process is complete, a pressure cycling process can be performed. Alternatively, one or more pressure cycles can occur during the push-through process. In other embodiments, a pressure cycling process is not required. During a fourth time T4, the process chamber 208 can be cycled through a plurality of decompression and compression cycles. The pressure can be cycled between a first pressure P3 and a second pressure P4 one or more times. In alternative embodiments, the first pressure P3 and the second pressure P4 can vary, hi one embodiment, the pressure can be lowered by venting through the exhaust control system 260. For example, this can be accomplished by lowering the pressure to below approximately 1,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be increased by using the high-pressure fluid supply system 240 and/or the pressure control system 250 to provide additional high-pressure fluid.
[0084]The high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop 215. Alternatively, the first volume can be less than or approximately equal to the volume of the recirculation loop 215. m addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately 10 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Ueisius during a compression cycle.
[0085]In addition, the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop 215. Alternatively, the second volume can be less than or approximately equal to the volume of the recirculation loop 215. In addition, the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately 10 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 5 degrees Celsius during a decompression cycle. [0086]Li other embodiments, the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing one or more volumes of temperature controlled fluid during a compression cycle and/or decompression cycle; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; the temperature variation associated with each volume can be controlled to be less than 10 degrees Celsius; and the temperature variation can be allowed to increase as additional cycles are performed.
[0087]Furthermore, during the fourth time T4, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the process chamber 208 and the other elements in the recirculation loop 215, and the supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260. In an alternative embodiment, supercritical carbon dioxide can be fed into the recirculation system 220, and the supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260. [0088]Providing temperature-controlled fluid during the pressure cycling process prevents process residue suspended or dissolved within the fluid being displaced from the process chamber 208 and the other elements in the recirculation loop 215 from dropping out and/or adhering to the process chamber 208 and the other elements in the recirculation loop 215. In addition, during the fourth time T4, the temperature of the fluid supplied can vary over a wider temperature range than the range used during the second time T2. [0089]In the illustrated embodiment shown in FIG. 3, a single third time T3 is followed by a single fourth time T4, but this is not required. In alternative embodiments, other time sequences may be used to process a substrate. lυuyujln an alternative embodiment, the exhaust control system ZbU can be switched ori during a portion of the fourth time T4. For example, the exhaust control system 260 can be switched off during a compression cycle.
[0091]During a fifth time T5, the process chamber 208 can be returned to a lower pressure. For example, after the pressure cycling process is completed, then the process chamber 208 can be vented or exhausted to atmospheric pressure.
[0092] The high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing a volume of temperature-controlled fluid during a venting process, and the volume can be larger than the volume of the recirculation loop 215. Alternatively, the volume can be less than or approximately equal to the volume of the recirculation loop 215. In addition, the temperature differential within the volume of temperature-controlled fluid during the venting process can be controlled to be less than approximately 20 degrees Celsius. Alternatively, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately 15 degrees Celsius during a venting process.
[0093]In other embodiments, the high-pressure fluid supply system 240 and/or the pressure control system 250 can comprise means for providing one or more volumes of temperature controlled fluid during a venting process; each volume can be larger than the volume of the process chamber 208 or the volume of the recirculation loop 215; the temperature variation associated with each volume can be controlled to be less than 20 degrees Celsius; and the temperature variation can be allowed to increase as the pressure approaches a final processing pressure.
[0094]Furthermore, during the fifth time T5, one or more volumes of temperature controlled supercritical carbon dioxide can be fed into the recirculation loop 215, and the remaining supercritical cleaning solution along with process residue suspended or dissolved therein can be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260. In an alternative embodiment, supercritical carbon dioxide can be fed into the process chamber 208 and/or the recirculation system 220, and the remaining supercritical cleaning solution along with process residue suspended or dissolved therein can also be displaced from the process chamber 208 and the other elements in the recirculation loop 215 through the exhaust control system 260. [0095]Providing temperature-controlled fluid during the venting process prevents process residue suspended or dissolved within the fluid being displaced from the process chamber 208 and the other elements in the recirculation loop 215 from dropping out and/or adhering to the process chamber 208 and the other elements in the recirculation loop 215. lυυyojin me illustrated embodiment snown in lαti. ό, a single iourtn time I4 is lonowed Dy a single fifth time T5, but this is not required. In alternative embodiments, other time sequences may be used to process a substrate.
[0097]In one embodiment, during a portion of the fifth time T5, a recirculation pump (not shown) can be switched off. In addition, the temperature of the fluid supplied by the high- pressure fluid supply system 240 can vary over a wider temperature range than the range used during the second time T2. For example, the temperature can range below the temperature required for supercritical operation.
[0098]For substrate processing, the chamber pressure can be made substantially equal to the pressure inside of a transfer chamber (not shown) coupled to the process chamber 208. hi one embodiment, the substrate can be moved from the process chamber 208 into the transfer chamber, and moved to a second process apparatus or module to continue processing. [0099]In the illustrated embodiment shown in FIG. 3, at the end of the fifth time T5 the pressure returns to an initial pressure P0, but this is not required for the invention. In alternative embodiments, the pressure does not have to return to P0, and the process sequence can continue with additional time steps such as those shown in time steps T1, T2, T3, T4, or T5. [OOlOOJThe graph 300 is provided for exemplary purposes only. For example, a low-k layer can be treated using 1 to 10 passivation steps each taking less than approximately 3 minutes, as described above. It will be understood by those skilled in the art that a supercritical processing step can have any number of different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, rinsing, and/or curing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
[0010I]FIG. 4 shows a simplified flow diagram outlining steps for treating a silicon oxide- based low-k layer in accordance with the embodiments of the invention, hi the illustrated embodiment, a method 400 is shown for treating a substrate structure comprising a patterned low-k layer and post-etch residue thereon using a supercritical cleaning and passivating solution.
[00102]In the step 402, the substrate structure comprising a dielectric layer and the post-etch residue is placed within a process chamber, which is then sealed. [00103]In the step 404, the process chamber is pressurized with supercritical CO2 and passivating chemistry is added to the supercritical CO2 to generate a supercritical cleaning and passivatmg solution. In one embodiment, me cleaning ana passivatmg cnemistry comprises at least one organosilicon compound.
[00104]In the step 406, the substrate structure is maintained in the supercritical processing solution for a period of time sufficient to remove at least a portion of the residue from the substrate structure and passivate surfaces exposed after the residue is removed. In addition, the supercritical cleaning and passivatmg solution is circulated through the process chamber and/or otherwise flowed to move the supercritical cleaning and passivating solution over surfaces of the substrate structure.
[00105] Still referring to FIG. 4, after at least a portion of the residue is removed from the substrate structure, the process chamber is partially exhausted in the step 408. The cleaning process comprising steps 404 and 406 can be repeated any number of times, as indicated by the arrow connecting the steps 408 to 404, required to remove the residue from the substrate structure and passivate the surfaces exposed. The processing comprising the steps 404 and 406, in accordance with the embodiments of the invention, use fresh supercritical carbon dioxide, fresh chemistry, or both. Alternatively, the concentration of the cleaning chemistry is modified by diluting the process chamber with supercritical carbon dioxide, by adding additional charges of cleaning chemistry or a combination thereof.
[00106] Still referring to FIG. 4, after the processing steps 404, 406 and 408 are complete, in the step 410 the substrate structure is preferably treated to a supercritical clean and/or rinse solution. The supercritical clean and/or rinse solution preferably comprises supercritical CO2 and one or more organic solvents, but can be pure supercritical CO2.
[00107] After the substrate structure is cleaned in the steps 404, 406 and 408 and rinsed in the step 410, in the step 412, the process chamber is depressurized, and the substrate structure is removed from the process chamber. Alternatively, the substrate structure can be cycled through one or more additional cleaning/ rinse processes comprising the steps 404, 406, 408 and 410 as indicated by the arrow connecting steps 410 and 404. Alternatively, or in addition to cycling the substrate structure through one or more additional cleaning/rinse cycles, the substrate structure is treated to several rinse cycles prior to removing the substrate structure from the process chamber in the step 412, as indicated by the arrow connecting the steps 410 and 408.
[00108] As described previously, the substrate structure can be dried and/or pretreated prior to passivatmg the low-k layer thereon by using a supercritical solution comprising supercritical carbon dioxide and one or more solvents such as methanol, ethanol, n-hexane, and/or combinations thereof. In addition, it will be clear of one skilled in the art that a substrate comprising a post-etch residue and/or a patterned low-k dialectic layer can be treated to any number of cleaning and passivatmg steps and/or sequences.
[00109]It will be understood by one skilled in the art, that while the method of passivating low-k material has been primarily described herein with reference to a post-etch treatment and/or a post-etch cleaning treatment, the method of the present invention can be used to directly passivate low-k materials. Further, it will be appreciated that when treating a low-k material, in accordance with the method of the present invention, a supercritical rinse step is not always necessary and simply drying the low-k material prior to treating the low-k material with a supercritical passivating solution can be appropriate for some applications. [00110]The present invention has the advantages of being capable of passivating a low-k surface and being compatible with other processing steps, such as removing post-etch residues (including, but not limited to, spin-on polymeric anti-reflective coating layers and photopolymers) for patterned low-k layers in a supercritical processing environment. The present invention also has been observed to restore or partially restore k -values of materials lost after patterning steps and has been shown to produce low-k layers that are stable over time.
[00111] While the invention has been described in terms of specific embodiments incorporating details to facilitate the understanding of the principles of construction and operation of the invention, such reference herein to specific embodiments and details thereof is not intended to limit the scope of the claims appended hereto. It will be apparent to those skilled in the art that modifications may be made in the embodiments chosen for illustration without departing from the spirit and scope of the invention. Specifically, while supercritical CO2 is the preferred medium for cleaning and/or passivating, other supercritical media alone or in combination with supercritical CO2 can also be used.

Claims

Wήat is claimed is:
1. A method of treating a low-k surface comprising: treating a plurality of features in a dielectric material with a passivating solution comprising an amount of a silylating agent comprising organic groups; and removing the passivating solution, wherein at least one of the plurality of features is at least partially passivated with the organic groups.
2. The method of claim 1, wherein the dielectric material comprises a low-k material.
3. The method, of claim 1, wherein the dielectric material comprises an ultra-low-k material.
4. The method of claim 1, wherein the passivating solution comprises a supercritical fluid.
5. The method of claim 4, wherein the supercritical fluid comprises CO2.
6. The method of claim 1, wherein the organic groups comprise alky groups.
7. The method of claim 1, wherein the organic groups comprise less than six carbon atoms.
8. The method of claim 1, wherein the organic groups comprise an organosilicon compound.
9. The method of claim 8, wherein the organosilicon compound is selected from the group consisting of hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), and combinations thereof.
10. The method of claim 1, wherein the passivating solution further comprises a carrier solvent.
11. The method of claim 10, wherein the carrier solvent is selected from the group consisting of N, N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alkane and combinations thereof.
12. The method of claim 1, wherein the dielectric material is maintained at temperatures in a range of approximately 40 degrees Celsius to approximately 250 degrees Celsius. i ό . i ne metnoα oi claim i , wnerem me passivatmg solution is mamtameα at temperatures in a range of approximately 40 degrees Celsius to approximately 250 degrees Celsius.
14. The method of claim 1, wherein the treating a plurality of features in a dielectric material with a passivating solution further comprises circulating the passivatmg solution over the low-k surface.
15. The method of claim 1, wherein the passivating solution is maintained at pressures in a range of approximately 1,000 psi to approximately 9,000 psi.
16. The method of claim 1, further comprising drying at least one surface of the dielectric material prior to treating the plurality of features.
17. The method of claim 16, wherein the drying at least one surface comprises treating the at least one surface to a supercritical drying solution comprising supercritical carbon dioxide.
18. The method of claim 1, wherein the dielectric material comprises silicon-oxide.
19. The method of claim 1, wherein the dielectric material comprises a material selected from the group consisting of a carbon doped oxide (COD), a spin-on-glass (SOG), a fluoridated silicon glass (FSG), and combinations thereof.
20. A method of treating a dielectric surface, comprising: removing post ash residue from the dielectric surface with a supercritical cleaning solution; and treating the dielectric surface with a passivating agent in the supercritical cleaning solution to form a passivated dielectric surface.
21. The method of claim 20, wherein the post ash residue comprises a polymer.
22. The method of claim 21, wherein the polymer is a photoresist polymer.
23. The method of claim 22, wherein the photoresist polymer comprises an anti-reflective dye.
24. The method of claim 20, wherein the dielectric surface comprises a low-k material.
25. The method of claim 20, wherein the dielectric surface comprises an ultra-low-k material. 26. JL he method ot claim ZO, wήerem the post asn resiαue comprises an anti- reflective coating.
27. The method of claim 26, wherein the anti-reflective coating comprises an organic spin-on anti-reflective material.
28. The method of claim 20, wherein the passivating agent comprises an organosilicon compound.
29. The method of claim 28, wherein the organosilicon compound is selected from the group consisting of hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS) and combinations thereof.
30. A method of forming a patterned dielectric layer, the method comprising; depositing a continuous layer of dielectric material; forming a photoresist mask over the continuous layer of dielectric material; patterning the continuous layer of dielectric material through the photoresist mask; removing the photoresist mask, thereby forming a post-ash residue; and removing the post-ash residue using a supercritical solution comprising supercritical carbon dioxide and a silicon-based passivating agent.
31. The method of claim 30, wherein the supercritical processing solution comprises supercritical carbon dioxide.
32. The method of claim 30, wherein the silicon-based passivating agent comprises an organosilicon compound.
33. The method of claim 30, wherein the supercritical solution further comprises a carrier solvent.
34. The method of claim 33, wherein the carrier solvent is selected from the group consisting of N, N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, alkane and combinations thereof.
35. The method of claim 30, wherein the dielectric material comprises a low-k material.
36. The method of claim 30, wherein the dielectric material comprises an ultra-low-k material.
PCT/US2006/008733 2005-03-28 2006-03-07 Treatment of a dielectric layer using supercritical co2 WO2006104670A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008504078A JP2008535249A (en) 2005-03-28 2006-03-07 Dielectric layer processing method using supercritical CO2

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/092,031 2005-03-28
US11/092,031 US7387868B2 (en) 2002-03-04 2005-03-28 Treatment of a dielectric layer using supercritical CO2

Publications (2)

Publication Number Publication Date
WO2006104670A2 true WO2006104670A2 (en) 2006-10-05
WO2006104670A3 WO2006104670A3 (en) 2007-11-01

Family

ID=37053869

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/008733 WO2006104670A2 (en) 2005-03-28 2006-03-07 Treatment of a dielectric layer using supercritical co2

Country Status (3)

Country Link
US (1) US7387868B2 (en)
JP (1) JP2008535249A (en)
WO (1) WO2006104670A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008243901A (en) * 2007-03-26 2008-10-09 Interuniv Micro Electronica Centrum Vzw Treatment method of porous damaged dielectric
JP2012009899A (en) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc Active chemical method for enhancing material characteristic of dielectric film
US11306249B2 (en) 2018-01-30 2022-04-19 Tokyo Electron Limited Substrate processing method, substrate processing device and etching liquid

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005516405A (en) * 2002-01-25 2005-06-02 東京エレクトロン株式会社 Method for reducing the formation of contaminants during a supercritical carbon dioxide process
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US20050022850A1 (en) * 2003-07-29 2005-02-03 Supercritical Systems, Inc. Regulation of flow of processing chemistry only into a processing chamber
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
JP5019741B2 (en) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 Semiconductor device manufacturing method and substrate processing system
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
KR100829923B1 (en) * 2006-08-30 2008-05-16 세메스 주식회사 Spin head and method using the same for treating substrate
US9620410B1 (en) * 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US8987032B2 (en) * 2009-03-03 2015-03-24 Akrion Systems, Llc Method for selective under-etching of porous silicon
JP5261291B2 (en) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 Processing method and storage medium
JP5663160B2 (en) * 2009-09-28 2015-02-04 東京応化工業株式会社 Surface treatment agent and surface treatment method
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US10297510B1 (en) * 2018-04-25 2019-05-21 Internationel Business Machines Corporation Sidewall image transfer process for multiple gate width patterning
KR20200015279A (en) 2018-08-03 2020-02-12 삼성전자주식회사 Method for forming nanocrystalline graphene and device including the same
JP7197396B2 (en) * 2019-02-06 2022-12-27 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP7394563B2 (en) * 2019-09-12 2023-12-08 東京エレクトロン株式会社 Cleaning method for substrate processing equipment and substrate processing system
US11640115B2 (en) * 2020-09-04 2023-05-02 Samsung Electronics Co., Ltd. Substrate processing apparatus, semiconductor manufacturing equipment, and substrate processing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030008155A1 (en) * 2001-06-11 2003-01-09 Jsr Corporation Method for the formation of silica film, silica film, insulating film, and semiconductor device
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process
US20040175958A1 (en) * 2003-03-07 2004-09-09 Taiwan Semiconductor Manufacturing Company Novel application of a supercritical CO2 system for curing low k dielectric materials

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) * 1948-04-13 Method of rendering glass
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) * 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US2993449A (en) * 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) * 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3681171A (en) * 1968-08-23 1972-08-01 Hitachi Ltd Apparatus for producing a multilayer printed circuit plate assembly
DE1965723B2 (en) * 1969-01-06 1972-12-07 The Hobart Mfg Co , Troy, Ohio (V St A) HYDRAULIC CONTROL DEVICE FOR WASHING MACHINES
US3623627A (en) * 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (en) * 1971-03-02 1980-03-07 Cnen
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) * 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
US4145161A (en) * 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) * 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) * 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
DE3110341C2 (en) * 1980-03-19 1983-11-17 Hitachi, Ltd., Tokyo Method and apparatus for aligning a thin substrate in the image plane of a copier
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
US4316750A (en) * 1981-01-16 1982-02-23 Western Electric Company, Inc. Apparatus and method for cleaning a flux station of a soldering system
DE3112434A1 (en) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf PNEUMATIC DIAPHRAGM PUMP
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (en) * 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Process for removing peelable layers of material from coated objects,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426388A (en) * 1982-04-02 1984-01-17 Merck & Co., Inc. 5-Benzothiazolesulfonamide derivatives for the topical treatment of elevated intraocular pressure
US4426358A (en) 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (en) 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad COOKING VESSEL FROM COOKER AND LID, ESPECIALLY STEAM PRESSURE COOKER
FR2536433A1 (en) * 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
US4626509A (en) * 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) * 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) * 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) * 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4618769A (en) * 1985-01-04 1986-10-21 The United States Of America As Represented By The United States Department Of Energy Liquid chromatography/Fourier transform IR spectrometry interface flow cell
US4788043A (en) * 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4730630A (en) * 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
JPS63157870A (en) * 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3861050D1 (en) * 1987-05-07 1990-12-20 Micafil Ag METHOD AND DEVICE FOR EXTRACTING OIL OR POLYCHLORIZED BIPHENYL FROM IMPREGNATED ELECTRICAL PARTS BY MEANS OF A SOLVENT AND DISTILLING THE SOLVENT.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (en) 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US4789077A (en) * 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (en) 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
US4879431A (en) * 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
DE3914065A1 (en) 1989-04-28 1990-10-31 Leybold Ag DEVICE FOR CARRYING OUT PLASMA ETCHING PROCESSES
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (en) 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5196134A (en) 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE4018464A1 (en) 1990-06-08 1991-12-12 Ott Kg Lewa DIAPHRAGM FOR A HYDRAULICALLY DRIVED DIAPHRAGM PUMP
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
EP0773477B1 (en) * 1990-09-21 2001-05-30 Dai Nippon Printing Co., Ltd. Process for producing a phase shift photomask
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (en) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde DOUBLE DIAPHRAGM PUMP
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (en) 1991-03-04 1994-09-15 Xorella Ag Wettingen Device for sliding and pivoting of a container-closure.
US5195878A (en) 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5197800A (en) * 1991-06-28 1993-03-30 Nordson Corporation Method for forming coating material formulations substantially comprised of a saturated resin rich phase
US5242641A (en) * 1991-07-15 1993-09-07 Pacific Trinetics Corporation Method for forming filled holes in multi-layer integrated circuit packages
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
US5190373A (en) 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
KR0170421B1 (en) * 1992-04-16 1999-03-30 이노우에 아키라 Spindrier
KR940009563B1 (en) * 1992-09-04 1994-10-15 대우전자주식회사 Tableware washing machine
JP3259380B2 (en) * 1992-12-04 2002-02-25 ソニー株式会社 Method for manufacturing semiconductor device
JP3356480B2 (en) * 1993-03-18 2002-12-16 株式会社日本触媒 Leakless pump
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
JP3415373B2 (en) * 1995-11-29 2003-06-09 東芝マイクロエレクトロニクス株式会社 Method and apparatus for dissolving a surface layer such as a semiconductor substrate
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
KR100524204B1 (en) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
JP2000265945A (en) * 1998-11-10 2000-09-26 Uct Kk Chemical supplying pump, chemical supplying device, chemical supplying system, substrate cleaning device, chemical supplying method, and substrate cleaning method
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
TW510807B (en) * 1999-08-31 2002-11-21 Kobe Steel Ltd Pressure processing device
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
JP4014127B2 (en) * 2000-10-04 2007-11-28 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
JP2002367984A (en) * 2001-06-11 2002-12-20 Jsr Corp Formation method of silica film, silica film, insulating film, and semiconductor device
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP2003282698A (en) * 2002-03-22 2003-10-03 Sony Corp Method for fabricating semiconductor and the same
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US6929901B2 (en) * 2002-12-18 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reworking a lithographic process to provide an undamaged and residue free arc layer
US20040168709A1 (en) * 2003-02-27 2004-09-02 Drumm James M. Process control, monitoring and end point detection for semiconductor wafers processed with supercritical fluids
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US6857437B2 (en) * 2003-06-18 2005-02-22 Ekc Technology, Inc. Automated dense phase fluid cleaning system
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030036023A1 (en) * 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process
US20030008155A1 (en) * 2001-06-11 2003-01-09 Jsr Corporation Method for the formation of silica film, silica film, insulating film, and semiconductor device
US20040175958A1 (en) * 2003-03-07 2004-09-09 Taiwan Semiconductor Manufacturing Company Novel application of a supercritical CO2 system for curing low k dielectric materials

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012009899A (en) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc Active chemical method for enhancing material characteristic of dielectric film
JP2008243901A (en) * 2007-03-26 2008-10-09 Interuniv Micro Electronica Centrum Vzw Treatment method of porous damaged dielectric
US11306249B2 (en) 2018-01-30 2022-04-19 Tokyo Electron Limited Substrate processing method, substrate processing device and etching liquid

Also Published As

Publication number Publication date
JP2008535249A (en) 2008-08-28
US20050191865A1 (en) 2005-09-01
WO2006104670A3 (en) 2007-11-01
US7387868B2 (en) 2008-06-17

Similar Documents

Publication Publication Date Title
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US7270941B2 (en) Method of passivating of low dielectric materials in wafer processing
US7553769B2 (en) Method for treating a dielectric film
US20050227187A1 (en) Ionic fluid in supercritical fluid for semiconductor processing
US7345000B2 (en) Method and system for treating a dielectric film
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7789971B2 (en) Treatment of substrate using functionalizing agent in supercritical carbon dioxide
JP4424998B2 (en) Method of reducing damage during cleaning of porous dielectric film
US20060185693A1 (en) Cleaning step in supercritical processing
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
US20060185694A1 (en) Rinsing step in supercritical processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US20060219268A1 (en) Neutralization of systemic poisoning in wafer processing
WO2006091316A2 (en) Improved rinsing step in supercritical processing

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008504078

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06737866

Country of ref document: EP

Kind code of ref document: A2