WO2006071540A2 - Cmos imager of eliminating high reflectivity interfaces - Google Patents

Cmos imager of eliminating high reflectivity interfaces Download PDF

Info

Publication number
WO2006071540A2
WO2006071540A2 PCT/US2005/045328 US2005045328W WO2006071540A2 WO 2006071540 A2 WO2006071540 A2 WO 2006071540A2 US 2005045328 W US2005045328 W US 2005045328W WO 2006071540 A2 WO2006071540 A2 WO 2006071540A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
pixel
array
barrier material
interlevel dielectric
Prior art date
Application number
PCT/US2005/045328
Other languages
French (fr)
Other versions
WO2006071540A3 (en
Inventor
James W. Adkisson
Jeffrey P. Gambino
Mark D. Jaffe
Robert K. Leidy
Richard J. Rassel
Anthony K. Stamper
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to EP05854112A priority Critical patent/EP1839338A4/en
Priority to JP2007548302A priority patent/JP2008526022A/en
Publication of WO2006071540A2 publication Critical patent/WO2006071540A2/en
Publication of WO2006071540A3 publication Critical patent/WO2006071540A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14645Colour imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Definitions

  • the present invention relates to semiconductor image sensors, and particularly, to a novel CMOS (complementary metal oxide semiconductor) image sensor array structure exhibiting reduced reflectivity and increased light sensitivity, and a process for manufacturing such image sensor array.
  • CMOS complementary metal oxide semiconductor
  • CMOS image sensors are beginning to replace conventional CCD sensors for applications requiring image pick-up such as digital cameras, cellular phones, PDA (personal digital assistant), personal computers, and the like.
  • CMOS image sensors are fabricated by applying present CMOS fabricating process for semiconductor devices such as photodiodes or the like, at low costs.
  • CMOS image sensors can be operated by a single power supply so that the power consumption for that can be restrained lower than that of CCD sensors, and further, CMOS logic circuits and like logic processing devices are easily integrated in the sensor chip and therefore the CMOS image sensors can be miniaturized.
  • Figure 1 depicts a CMOS image sensor pixel array 10 according to the prior art.
  • the array comprises a plurality of microlenses 12, each having a hemisphere shape, arranged on a smooth planarization layer 17, e.g., a spin on polymer, that is formed on top of a color filter array 15 enabling formation of the microlens array.
  • the color filter array 15 includes individual red, green and blue filter elements 25 (primary color filters) or l alternately, cyan, magenta and yellow filter elements (complementary color filter).
  • Each microlens 22 of the microlens array 12 is aligned with a corresponding color filter element 25 and comprises an upper light receiving portion of a pixel 20.
  • the pixel 20 includes a cell portion fabricated upon a semiconductor substrate 14 portion including a stack of comprising one or more interlevel dielectric layers 30a-30c incorporating metallization interconnect levels Ml, M2 Aluminum (Al) wire layers 35a, 35b.
  • Interlevel dielectric materials may comprise a polymer or SiO2, for example.
  • Al metallization interconnect layers 35a, 35b do not require passivation, no respective barrier layers are shown.
  • each pixel cell 20 having the Al metallizations 35a,b further includes a final Aluminum metal level 36 that enables wire bonding to the Ml and M2 metallizations between each pixel 20, and a final passivation layer 28 is formed above the wire bonding level 36.
  • each pixel 20 includes a photoelectric converting device including a light sensitive element such as a photodiode 18 that performs photoelectric conversion and a CMOS transistor (not shown) that performs charge amplification and switching.
  • a light sensitive element such as a photodiode 18 that performs photoelectric conversion
  • CMOS transistor not shown
  • Each of the pixels 20 generates a signal charge corresponding to the intensity of light received by each pixel and is converted to a signal current by the photoelectric conversion (photodiode) element 18 formed on semiconductor substrate 14.
  • a further barrier or capping layer e.g., a nitride such as SiN layer 38, is formed above unsilicided diffusion regions formed at the Si substrate 14 surface.
  • CMOS image sensor and method of manufacture wherein the sensor comprises Copper (Cu) metal lines for the metallization Ml, M2 levels which would enable a thinner interlevel dielectric stack and, further having respective ultra- thin barrier layer portions in the pixel cell optical path or removed from the pixel cell optical path to thereby increase the sensitivity of the pixel cell.
  • Cu Copper
  • barrier layer metal for the Cu interconnect metal wire in the array is formed to be equal to or less than 20 nm in thickness.
  • portions of the barrier layer metal are completely removed at locations of the optical path for each pixel in the array.
  • barrier metal layer may be formed by a self-aligned electroless Cu cap deposition, i.e., self-aligned to the Cu, or by using the same mask to selectively pattern and remove the barrier in the pixel areas.
  • an image sensor including an array of pixels comprising: [Para 15] a semiconductor substrate including a light sensitive element formed therein at each location of a corresponding pixel in the array for receiving incident light;
  • a barrier material layer is formed atop each said Cu metal wire structure that traverses the optical path of each pixel of said array.
  • portions of the barrier material formed atop the metal interconnect layer is selectively removed from regions that traverse an optical path of each array pixel.
  • [Para 23] a. forming a corresponding light sensitive element in a semiconductor substrate for each array pixel, the element adapted to receive light incident to a respective pixel;
  • [Para 25] c. forming at least one metal interconnect layer formed atop the first interlevel dielectric layer, the formed metal interconnect layer including a Cu metal wire structure formed between each light sensitive element in the array;
  • a barrier material layer is formed on top each Cu metal wire structure of the metal interconnect layer in a self-aligned process.
  • a thin barrier material layer is blanket deposited atop the Cu metal wire structures and atop the first interlevel dielectric layer thereby traversing an optical path of each array pixel.
  • the thin barrier material layer is selectively removed at portions that traverse the optical path of each pixel of said array by a variety of processing including implementing one or more masks or in a self-aligned mask process. Further to these embodiments, there is optionally provided for each pixel of the array, a layer of light reflective material formed on pixel sidewalls, the light reflective material layers increasing an amount of light received by said light sensitive element of the pixel.
  • Figure 1 depicts a CMOS image sensor pixel array 10 according to the prior art
  • Figure 2 illustrates, through a cross-sectional view, the image sensor array 100 according to a first embodiment of the invention
  • Figure 3(a) illustrates, through a cross-sectional view, the image sensor array 100a formed by a method in accordance with a second embodiment of the invention
  • Figure 3(b) illustrates, through a cross-sectional view, an alternate second embodiment of the image sensor array 100b of Figure 3(a)
  • Figure 4(a) illustrates, through a cross-sectional view, the image sensor array 100c formed by a method in accordance with a third embodiment of the invention
  • Figure 4(b) illustrates, through a cross-sectional view, an alternate third embodiment of the image sensor array lOOd of Figure 4(a);
  • Figure 5(a) illustrates, through a cross-sectional view, the image sensor array lOOe formed by a method in accordance with a fourth embodiment of the invention;
  • Figure 5(b) illustrates, through a cross-sectional view, an alternate fourth embodiment of the image sensor array lOOf of Figure 5(a);
  • Figure 6(a) illustrates, through a cross-sectional view, the image sensor array lOOg formed by a method in accordance with a fifth embodiment of the invention;
  • Figure 6(b) illustrates, through a cross-sectional view, an alternate fifth embodiment of the image sensor array lOOh of Figure 6(a);
  • Figure 7(a) illustrates, through a cross-sectional view, the image sensor array lOOi formed by a method in accordance with a sixth embodiment of the invention;
  • Figure 7(b) illustrates, through a cross-sectional view, an alternate sixth embodiment of the image sensor array 10Oj of Figure 6(a);
  • Figure 8 illustrates, through a cross-sectional view, alternate embodiments of the image sensor array of the invention incorporating a self- aligned mask process to selectively deposit a barrier material on the Cu metallizations.
  • Figure 2 illustrates, through a cross-sectional view, a back end of line image sensor array stack 100 according to a first embodiment of the invention. While the upper light receiving portion of the pixels 20 (microlens and color filters) are the same as the prior art depicted in Figure 1, the invention includes the formation of Cu metallization interconnects Ml, M2 allowing for the formation of thinner stack of interlevel dielectric layers 130a- 130c formed on the substrate 14.
  • the substrate 14 may be a bulk semiconductor including, for example, Si, SiGe, SiC, SiGeC, GaAs, InP, InAs and other IH-V compound semiconductors, H-V compound semiconductors, or layered semiconductors such as silicon-on-insulators (SOI), SiC-on-insulator (SiCOI) or silicon germanium-on-insulators (SGOI).
  • SOI silicon-on-insulators
  • SiCOI SiC-on-insulator
  • SGOI silicon germanium-on-insulators
  • the interlevel dielectric material may comprise an organic or inorganic interlevel dielectric (ILD) material which may be deposited by any of number of well known techniques such as sputtering, spin-on, or PECVD and may include a conventional spun-on organic dielectrics, spun-on inorganic dielectrics or combinations thereof which have a dielectric constant of about 4.2 or less.
  • ILD organic or inorganic interlevel dielectric
  • Suitable organic dielectrics that can be employed in the present invention include dielectrics that comprise C, O, F and/or H.
  • organic dielectrics examples include, but are not limited to: aromatic thermosetting polymeric resins, for example, resins sold by DOW Chemical Company under the tradename SiLK®, Honeywell under the tradename Flare®, and similar resins from other suppliers, and other like organic dielectrics.
  • aromatic thermosetting polymeric resins for example, resins sold by DOW Chemical Company under the tradename SiLK®, Honeywell under the tradename Flare®, and similar resins from other suppliers, and other like organic dielectrics.
  • the organic dielectric employed as interlevel dielectric layers may or may not be porous, with porous organic dielectric layers being highly preferred due to the reduced k value.
  • Suitable inorganic dielectrics that may be employed as the interlevel dielectric typically comprise Si, O and H, and optionally C, e.g., SiO2, FSG (fluorosilicate glass), SiCOH, SiOCH, carbon-doped oxides (CDO), silicon-oxicarbides, organosilicate glasses (OSG) deposited by plasma enhanced chemical vapor deposition (CVD) techniques.
  • C e.g., SiO2, FSG (fluorosilicate glass), SiCOH, SiOCH, carbon-doped oxides (CDO), silicon-oxicarbides, organosilicate glasses (OSG) deposited by plasma enhanced chemical vapor deposition (CVD) techniques.
  • Illustrative examples of some types of inorganic dielectrics include, but are not limited to: the silsesquioxane HOSP (sold by Honeywell), methylsilsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), MSQ-HSQ copolymers, SiO2 deposited using tetraethylorthosilicate (TEOS) or SiH4 as a Silicon source and 02, N2O, NO, etc. as an oxidizer; organosilanes and any other Si-containing material.
  • the inorganic dielectric material is SiO2.
  • the methodology for forming the Ml layer includes first depositing the SiO2 dielectric layer 130c, e.g., to a thickness ranging between about 2 kA to 20 kA with a range of between 4 kA and 5 kA preferred, on top of the substrate capping layer 38, patterning trenches in the SiO2 layer 130c using known lithography and RIE techniques, and, lining the formed trenches with a metal liner such as one or more refractory metals, e.g., Ta, TaN, TiN, TiSiN, W, WCN, Ru.
  • a metal liner such as one or more refractory metals, e.g., Ta, TaN, TiN, TiSiN, W, WCN, Ru.
  • the lined trenches are filled with a copper material to form the Cu Ml layer 135b which is subsequently polished using known CMP technique.
  • a barrier or Cu diffusion layer 132b such as SiN, is deposited on top of the Cu Ml metallization, e.g., to a thickness ranging between about 2 ⁇ A to 2 kA with a range of between 100 A and 200 A preferred.
  • the thickness of the nitride layer 132b on top of the Cu interconnect is reduced to minimize reflectance.
  • barrier layers materials may be used including, but not limited to, SiON, SiC, SiCN, SiCON, SiCO materials etc.
  • a thin M2 dielectric layer 130b e.g., SiO2
  • the M2 metallization layer is formed by patterning trenches in the SiO2 layer 130b using known lithography and RIE techniques, lining the formed trenches with a metal liner such as a refractory metal, and, filling the lined trenches with a copper material to form the Cu M2 135a layer which is subsequently polished using known CMP technique.
  • a barrier or Cu diffusion layer 132a such as SiN is deposited on top of the Cu M2 layer 135a, e.g., to a thickness ranging between about 20 A to 2 kA.
  • the subsequent steps include forming the interlevel dielectric layer 130a on top of the diffusion layer 132a and the final Al metallization according to known techniques. It is preferred that, in the embodiment depicted in Figure 2, the total thickness of both the Ml and M2 diffusion barriers be about 20 nm or less, in order to minimize reflectance.
  • an additional layer of materials with an index of refraction that is in between that of SiN (1.98) and SiO2 (1.46), such as SiON, can be formed on top of the thin SiN layers 132a,b to comparable thicknesses (e.g., about 2 ⁇ A to 2 kA) to further reduce reflections.
  • the provision of Cu metallization and corresponding thin interlevel dielectric layers 130a-130c and minimum diffusion barrier layers 132a,b in the optical path minimizes light reflectance, thus allowing greater amounts of light 13 to flow through the optical path of pixel 20 and reach underlying photodiode 18.
  • each the pixel array 100 may include an additional STI isolation dielectric region 138 formed in the substrate on top of the light sensitive element, e.g., photodiode 18.
  • the bottom nitride capping layer 38 is removed from the pixel optical path.
  • a second embodiment of the invention there is performed a selective removal of those portions of the barrier SiN layers 132a,b formed in the pixel array optical paths to avoid the refraction index mismatch problem.
  • additional masks a resist mask or a hardmask
  • additional lithography patterning and etching step (wet or dry etch) is implemented to open up the SiN at the select locations.
  • a maskless process may be implemented for removing select portions of the SiN layers 132a,b, e.g., using clustered ion beam etching.
  • a fluorine-based dry etch e.g., CF4 plus an oxygen plasma
  • a wet etch is desirable to minimize damage or roughness at the surface after the etch (which might cause reflections or scattering of the light).
  • the wet etch may comprise of a dilute HF
  • process steps of forming the interlevel dielectric layer 130b, the Cu Ml metallization layer 135b, and corresponding SiN barrier layer deposition 132b is conducted, and selective removal of the barrier SiN layer 132b in the pixel array is performed. These process steps are then repeated for the subsequent M2 dielectric 130b, metal layer M2 and barrier layer 132a.
  • the surface of that layer may not be perfectly flat which may compromise the subsequent formed M2 dielectric and, potentially effect the lithography or the polishing of the subsequent Cu for the subsequent M2 level 135a.
  • an additional polishing step is performed at the surface of the SiO2 dielectric 130b level before the M2 metallization 135a is patterned.
  • the thin top nitride layer 28 and nitride capping layer 38 shown on top of the substrate 14 remain without affecting the pixel array sensitivity assuming the photodiode element 18 is situated in an active area directly in the silicon substrate without provision of an dielectric insulator layer 138, e.g., an STI isolation, in the substrate 14 formed on top of the photodiode 18.
  • an dielectric insulator layer 138 e.g., an STI isolation
  • Figure 4(a) illustrates, through a cross-sectional view, the image sensor array 100c according to a third embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the STI regions 138 above the pixel's light sensitive element (photodiode) 18 in the substrate 14.
  • the substrate capping layer 38, interlevel dielectric layer 130a, interlevel metallization 135b (Ml) and corresponding barrier layer 132b, e.g., SiN are formed without the Ml barrier etching.
  • the M2 dielectric layer 130b, metallization M2 135a and corresponding barrier layer 132a, e.g., SiN are formed.
  • a mask is subsequently patterned and an etch is conducted to create an opening 51 to remove that portion of the Nitride barriers 132a for the M2 layer from the pixels' optical paths.
  • the M2 dielectric layer 130b and the Ml barrier opening 51a is created so that the only one mask is needed to remove both metallization barrier layers 132a,b in the optical path.
  • the interlevel dielectric e.g., oxide
  • a spin-on SiO2 refill process e.g. a spin-on SiO2 refill process
  • the fill dielectric may alternately comprise a polymer dielectric (polyimide, Dow Chemical's SiLK®, etc.) and that other techniques for depositing the interlevel dielectric (oxide, SiO2, or carbon-based oxides, etc.) having excellent gap fill capability such as CVD or (plasma enhanced) PE-CVD processing may be used.
  • the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch may be optionally performed to remove the barrier layer materials. Thereafter, the etched path is again filled with an interlevel dielectric, e.g. a spin-on SiO2 or polymer dielectric (polyimide, Dow Chemical's SiLK®, etc.) refill process, and a planarization step is performed. It is understood that other techniques for depositing the interlevel dielectric (oxide, SiO2, or carbon-based oxides, etc.) having excellent gap fill capability such as CVD or (plasma enhanced) PE-CVD processing may be used.
  • an interlevel dielectric e.g. a spin-on SiO2 or polymer dielectric (polyimide, Dow Chemical's Si
  • Figure 5(a) illustrates the image sensor array lOOe according to a fourth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without formed dielectric (e.g., STI) regions 138 above the pixel's light sensitive element (photodiode) 18 in the substrate 14.
  • the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel metallizations 35a, 35b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN are formed.
  • a lithographic patterning and application of a single mask is performed and an etch is conducted to create openings 52 and 52a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in a single etch step, each of the barrier layers 132a,b for each of the metallizations are removed in addition to each of the interlevel dielectric layers 130a - 130c (using a single mask). Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), a thin nitride liner 140 is deposited that conforms to the sidewalls and bottom of the etched hole.
  • the interlevel dielectric e.g., oxide
  • a SiN material or a thin liner material having light reflective properties may be deposited to line the bottom and sidewalls of the etched opening using known deposition techniques such as PE-CVD.
  • liner materials having light reflective properties include but are not limited to: SiC, certain metals, e.g. Al, TiN, Tungsten, Ru, PoIy-Si, PoIy-Ge, etc.
  • This thin liner 140 may be deposited to a thickness ranging between 50 A to 2 kA and effectively functions to prevent mobile ion ingress and additionally act as a mirror surface to reflect scattered light so that any light that enters the lens at an angle will reach the photodiode.
  • the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
  • the single etch process is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch may be performed to remove the barrier layer materials. Then, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric, thin nitride spacers 141 are deposited that conforms to the sidewalls and bottom of the etched hole. Preferably, a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques.
  • the reflective liner material conforming to the bottom of the hole is removed by a spacer etch, i.e., any directional etch that is used to form SiN spacers along the sidewalls of an etched opening.
  • a spacer etch i.e., any directional etch that is used to form SiN spacers along the sidewalls of an etched opening.
  • a F-based directional etch is used to form SiN spacers.
  • the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
  • Figure 6(a) illustrates, through a cross-sectional view, the image sensor array lOOg according to a fifth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the formed STI regions above the pixel's light sensitive element (photodiode) 18 in the substrate 14.
  • the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel Cu metallizations 135a, 135b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN are formed. Additionally formed is the top metal conductor (i.e., Aluminum) layer 36 patterned as shown in Figure 6(a) between each pixel.
  • the top metal conductor i.e., Aluminum
  • a single etch process is conducted to create openings 53 and 53a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in the single etch step, each of the barrier layers 132a,b for each of the metallization levels are removed, in addition to each of the interlevel dielectric layers 130a - 130c using the patterned Al layer 36 which functions as a self-aligned mask. Then, in a subsequent step, the interlevel dielectric material is deposited back into the etched hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
  • the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch process may be performed to remove the barrier layers 132a,b and capping layer 38.
  • Figure 7(a) illustrates, through a cross-sectional view, the image sensor array lOOi according to a sixth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the STI regions above the pixel's light sensitive element (photodiode) 18 in the substrate 14.
  • the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel metallizations 135a, 135b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN are formed. Additionally formed is the top metal conductor (i.e., Aluminum) layer 36 patterned as shown in Figure 7(a) between each pixel.
  • the top metal conductor i.e., Aluminum
  • a single etch process is conducted to create openings 54 and 54a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in the single etch step, each of the barrier layers 132a,b for each of the metallization levels are removed in addition to each of the interlevel dielectric layers 130a - 130c using the patterned Al layer 36 which functions as a self-aligned mask. Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), a thin nitride liner 140 is deposited that conforms to bottom and sidewalls of the etched hole.
  • the interlevel dielectric e.g., oxide
  • a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques such as PE-CVD, as described.
  • This thin reflecting liner 140 may be deposited to a thickness ranging between 50 A to 2 kA and effectively acts as a mirror surface to reflect scattered light so that any light that enters the pixel lens at an angle will reach the photodiode.
  • the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
  • the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch process may be performed to remove the barrier layers 132a,b and capping layer 38. Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), thin nitride spacers 141 are deposited that conform to the sidewalls of the etched hole.
  • the interlevel dielectric e.g., oxide
  • a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques such as PE-CVD, as described.
  • This thin reflecting spacer 141 may be deposited to a thickness ranging between 50 A to 2 kA and effectively acts as a mirror surface to reflect scattered light so that any light that enters the lens at an angle will reach the photodiode.
  • the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
  • a self-aligned Cu metal cap e.g., CoWP
  • a self-aligned Cu metal cap may be formed, for example, by electroless plating, rather than forming a blanket SiN cap and removing the select portions of the barrier levels 132a,b in the optical paths as described.
  • an electroless plating may be performed in a self-aligned process to selectively deposit a barrier material 142, e.g., a compound such as NiWP, CoWP, CoWB directly on the Cu which protects the Cu against oxidation.
  • a deposition process e.g., a CVD may be performed for selectively depositing a barrier layer material such as a refractory metal including but not limited to W.
  • a barrier layer material such as a refractory metal including but not limited to W.
  • the Cu is recessed by either a wet etch, electropolishing, or dry etch.
  • a blanket capping layer (Ta, TaN, W, SiN, SiC) is deposited and a polishing step conducted to remove those capping layer portions formed in the regions between the metal lines.

Abstract

An image sensor (20) and method of fabrication wherein the sensor includes Copper (Cu) metallization levels (135a, 135b) allowing for incorporation of a thinner interlevel dielectric stack (130a-130c) to result in a pixel array (100) exhibiting increased light sensitivity. The image sensor includes structures having a minimum thickness of barrier layer metal (132a, 132b) that traverses the optical path of each pixel in the sensor array or, that have portions (50) of barrier layer metal selectively removed from the optical paths of each pixel, thereby minimizing reflectance. That is, by implementing various block or single mask methodologies, portions of the barrier layer metal are completely removed at locations of the optical path for each pixel in the array. In a further embodiment, the barrier metal layer (142) may be formed atop the Cu metallization by a self-aligned deposition.

Description

A CMOS IMAGER WITH CU WIRING AND METHOD OF ELIMINATING HIGH RELFECTIVITY INTERFACES THEREFROM
[Para 1] TECHNICAL FIELD
[Para 2] The present invention relates to semiconductor image sensors, and particularly, to a novel CMOS (complementary metal oxide semiconductor) image sensor array structure exhibiting reduced reflectivity and increased light sensitivity, and a process for manufacturing such image sensor array.
[Para 3] BACKGROUND ART
[Para 4] CMOS image sensors are beginning to replace conventional CCD sensors for applications requiring image pick-up such as digital cameras, cellular phones, PDA (personal digital assistant), personal computers, and the like. Advantageously, CMOS image sensors are fabricated by applying present CMOS fabricating process for semiconductor devices such as photodiodes or the like, at low costs. Furthermore, CMOS image sensors can be operated by a single power supply so that the power consumption for that can be restrained lower than that of CCD sensors, and further, CMOS logic circuits and like logic processing devices are easily integrated in the sensor chip and therefore the CMOS image sensors can be miniaturized.
[Para 5] Figure 1 depicts a CMOS image sensor pixel array 10 according to the prior art. As shown, the array comprises a plurality of microlenses 12, each having a hemisphere shape, arranged on a smooth planarization layer 17, e.g., a spin on polymer, that is formed on top of a color filter array 15 enabling formation of the microlens array. The color filter array 15 includes individual red, green and blue filter elements 25 (primary color filters) or l alternately, cyan, magenta and yellow filter elements (complementary color filter). Each microlens 22 of the microlens array 12 is aligned with a corresponding color filter element 25 and comprises an upper light receiving portion of a pixel 20. The pixel 20 includes a cell portion fabricated upon a semiconductor substrate 14 portion including a stack of comprising one or more interlevel dielectric layers 30a-30c incorporating metallization interconnect levels Ml, M2 Aluminum (Al) wire layers 35a, 35b. Interlevel dielectric materials may comprise a polymer or SiO2, for example. As Al metallization interconnect layers 35a, 35b do not require passivation, no respective barrier layers are shown. As further shown in Figure 1, each pixel cell 20 having the Al metallizations 35a,b further includes a final Aluminum metal level 36 that enables wire bonding to the Ml and M2 metallizations between each pixel 20, and a final passivation layer 28 is formed above the wire bonding level 36. This final passivation layer 28 may comprise SiN, SiO2, or combinations of these. Although not shown in detail, each pixel 20 includes a photoelectric converting device including a light sensitive element such as a photodiode 18 that performs photoelectric conversion and a CMOS transistor (not shown) that performs charge amplification and switching. Each of the pixels 20 generates a signal charge corresponding to the intensity of light received by each pixel and is converted to a signal current by the photoelectric conversion (photodiode) element 18 formed on semiconductor substrate 14. A further barrier or capping layer, e.g., a nitride such as SiN layer 38, is formed above unsilicided diffusion regions formed at the Si substrate 14 surface.
[Para 6] The use of Aluminum metal levels 35a, 35b in current CMOS image sensors require a taller dielectric stack due to the increased resistivity of the Al metal. The taller the dielectric means thicker dielectric layers 30a- 30c are required which results in a reduced intensity of light that reaches the pixel photoconversion element (photodiode), i.e., the sensitivity of the pixel 20 is compromised. [Para 7] As the semiconductor industry is staying with AICu for the 0.18mm node CMOS image sensor technology, it would be highly desirable to provide a CMOS image sensor having Copper (Cu) metal lines for the Ml, M2 levels which would require a thinner interlevel dielectric stack thus increasing the sensitivity of the pixel array as more light will reach the photodiode. However, as passivation levels are required on Cu metals due to copper's susceptibility to oxidation and contamination, a SiN, SiC, SiCN or like passivation layer is required above the copper wires. However, as passivation layers provide a refractive index mismatch, their presence will tend to reflect light in the optical path of the pixel cell thus degrading device sensitivity.
[Para 8] It would thus be further highly desirable to provide a CMOS image sensor and method of manufacture wherein the sensor comprises Copper (Cu) metal lines for the metallization Ml, M2 levels which would enable a thinner interlevel dielectric stack and, further having respective ultra- thin barrier layer portions in the pixel cell optical path or removed from the pixel cell optical path to thereby increase the sensitivity of the pixel cell.
[Para 9] DISCLOSURE OF INVENTION
[Para 10] It thus an object of the invention to provide an image sensor having Copper (Cu) metallization levels allowing for incorporation of a thinner interlevel dielectric stack to result in a pixel array exhibiting increased light r sensitivity. Improved photosensitivity is possible due to simple geometry; as the height is reduced, the amount of light that arrives in the correct pixel is higher for any given angle.
[Para 11] It is a further object of the invention to resolve the refractive index mismatch problem caused by the provision of additional Copper metal passivation layers, and, at the same time, increase the sensitivity of the optical image sensors by optimizing the thickness of the dielectric layers under the lenses. [Para 12] It a further object of the invention to provide a method of fabricating an image sensor having Copper (Cu) metallization levels that allow for incorporation of a thinner interlevel dielectric stack to result in a pixel array exhibiting increased light sensitivity.
[Para 13] A number of embodiments are described that include structures having a minimum thickness of barrier layer metal that traverses the optical path of each pixel in the sensor array or, that have portions of barrier layer metal selectively removed from the optical paths of each pixel, thereby minimizing reflectance. For instance, in one embodiment, the barrier layer metal for the Cu interconnect metal wire in the array is formed to be equal to or less than 20 nm in thickness. Alternately, implementing various block or single mask methodologies, portions of the barrier layer metal are completely removed at locations of the optical path for each pixel in the array. In a further embodiment, barrier metal layer may be formed by a self-aligned electroless Cu cap deposition, i.e., self-aligned to the Cu, or by using the same mask to selectively pattern and remove the barrier in the pixel areas.
[Para 14] According to one aspect of the invention, there is provided an image sensor including an array of pixels comprising: [Para 15] a semiconductor substrate including a light sensitive element formed therein at each location of a corresponding pixel in the array for receiving incident light;
[Para 16] a first interlevel dielectric layer formed atop the substrate; [Para 17] at least one metal interconnect layer formed atop the first interlevel dielectric layer, the metal interconnect layer including a Cu metal wire structure formed between each light sensitive element in the array; and, [Para 18] a second interlevel dielectric layer formed between the Cu metal interconnect layer in the array and a top layer for receiving incident light, [Para 19] wherein the Cu metal interconnect layer enables thin first and second interlevel dielectric layers to shorten an optical path and thereby increase an amount of light received by each light sensitive element in the array.
[Para 20] In one embodiment, a barrier material layer is formed atop each said Cu metal wire structure that traverses the optical path of each pixel of said array.
[Para 21] In a further embodiment, portions of the barrier material formed atop the metal interconnect layer is selectively removed from regions that traverse an optical path of each array pixel. Further to this embodiment, there is optionally provided for each pixel of the array, a layer of light reflective material formed on pixel sidewalls, the light reflective material layers increasing an amount of light received by the light sensitive element of the pixel.
[Para 22] According to another aspect of the invention, there is provided a method for fabricating an image sensor array of pixels, the method comprising the steps of:
[Para 23] a. forming a corresponding light sensitive element in a semiconductor substrate for each array pixel, the element adapted to receive light incident to a respective pixel;
[Para 24] b. forming a first interlevel dielectric layer formed atop the substrate;
[Para 25] c. forming at least one metal interconnect layer formed atop the first interlevel dielectric layer, the formed metal interconnect layer including a Cu metal wire structure formed between each light sensitive element in the array; and,
[Para 26] d. forming a second interlevel dielectric layer atop the Cu metal interconnect layer in the array,
[Para 27] wherein the Cu metal interconnect layer enables formation of thin first and interlevel dielectric layers to shorten an optical path and thereby increase an amount of light received by each light sensitive element in the array.
[Para 28] In one embodiment, a barrier material layer is formed on top each Cu metal wire structure of the metal interconnect layer in a self-aligned process.
[Para 29] In another embodiment, a thin barrier material layer is blanket deposited atop the Cu metal wire structures and atop the first interlevel dielectric layer thereby traversing an optical path of each array pixel.
[Para 30] In further embodiments, the thin barrier material layer is selectively removed at portions that traverse the optical path of each pixel of said array by a variety of processing including implementing one or more masks or in a self-aligned mask process. Further to these embodiments, there is optionally provided for each pixel of the array, a layer of light reflective material formed on pixel sidewalls, the light reflective material layers increasing an amount of light received by said light sensitive element of the pixel.
[Para 31] BRIEF DESCRIPTION OF THE DRAWINGS
[Para 32] The objects, features and advantages of the present invention will become apparent to one skilled in the art, in view of the following detailed description taken in combination with the attached drawings, in which:
[Para 33] Figure 1 depicts a CMOS image sensor pixel array 10 according to the prior art;
[Para 34] Figure 2 illustrates, through a cross-sectional view, the image sensor array 100 according to a first embodiment of the invention;
[Para 35] Figure 3(a) illustrates, through a cross-sectional view, the image sensor array 100a formed by a method in accordance with a second embodiment of the invention; [Para 36] Figure 3(b) illustrates, through a cross-sectional view, an alternate second embodiment of the image sensor array 100b of Figure 3(a); [Para 37] Figure 4(a) illustrates, through a cross-sectional view, the image sensor array 100c formed by a method in accordance with a third embodiment of the invention;
[Para 38] Figure 4(b) illustrates, through a cross-sectional view, an alternate third embodiment of the image sensor array lOOd of Figure 4(a); [Para 39] Figure 5(a) illustrates, through a cross-sectional view, the image sensor array lOOe formed by a method in accordance with a fourth embodiment of the invention;
[Para 40] Figure 5(b) illustrates, through a cross-sectional view, an alternate fourth embodiment of the image sensor array lOOf of Figure 5(a); [Para 41] Figure 6(a) illustrates, through a cross-sectional view, the image sensor array lOOg formed by a method in accordance with a fifth embodiment of the invention;
[Para 42] Figure 6(b) illustrates, through a cross-sectional view, an alternate fifth embodiment of the image sensor array lOOh of Figure 6(a); [Para 43] Figure 7(a) illustrates, through a cross-sectional view, the image sensor array lOOi formed by a method in accordance with a sixth embodiment of the invention;
[Para 44] Figure 7(b) illustrates, through a cross-sectional view, an alternate sixth embodiment of the image sensor array 10Oj of Figure 6(a); and,
[Para 45] Figure 8 illustrates, through a cross-sectional view, alternate embodiments of the image sensor array of the invention incorporating a self- aligned mask process to selectively deposit a barrier material on the Cu metallizations.
[Para 46] BEST MODE FOR CARRYING OUT THE INVENTION
[Para 47] Figure 2 illustrates, through a cross-sectional view, a back end of line image sensor array stack 100 according to a first embodiment of the invention. While the upper light receiving portion of the pixels 20 (microlens and color filters) are the same as the prior art depicted in Figure 1, the invention includes the formation of Cu metallization interconnects Ml, M2 allowing for the formation of thinner stack of interlevel dielectric layers 130a- 130c formed on the substrate 14. The substrate 14 may be a bulk semiconductor including, for example, Si, SiGe, SiC, SiGeC, GaAs, InP, InAs and other IH-V compound semiconductors, H-V compound semiconductors, or layered semiconductors such as silicon-on-insulators (SOI), SiC-on-insulator (SiCOI) or silicon germanium-on-insulators (SGOI). Preferably, the interlevel dielectric material may comprise an organic or inorganic interlevel dielectric (ILD) material which may be deposited by any of number of well known techniques such as sputtering, spin-on, or PECVD and may include a conventional spun-on organic dielectrics, spun-on inorganic dielectrics or combinations thereof which have a dielectric constant of about 4.2 or less. Suitable organic dielectrics that can be employed in the present invention include dielectrics that comprise C, O, F and/or H. Examples of some types of organic dielectrics that can be employed in the present invention include, but are not limited to: aromatic thermosetting polymeric resins, for example, resins sold by DOW Chemical Company under the tradename SiLK®, Honeywell under the tradename Flare®, and similar resins from other suppliers, and other like organic dielectrics. The organic dielectric employed as interlevel dielectric layers may or may not be porous, with porous organic dielectric layers being highly preferred due to the reduced k value. Suitable inorganic dielectrics that may be employed as the interlevel dielectric typically comprise Si, O and H, and optionally C, e.g., SiO2, FSG (fluorosilicate glass), SiCOH, SiOCH, carbon-doped oxides (CDO), silicon-oxicarbides, organosilicate glasses (OSG) deposited by plasma enhanced chemical vapor deposition (CVD) techniques. Illustrative examples of some types of inorganic dielectrics that can be employed include, but are not limited to: the silsesquioxane HOSP (sold by Honeywell), methylsilsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), MSQ-HSQ copolymers, SiO2 deposited using tetraethylorthosilicate (TEOS) or SiH4 as a Silicon source and 02, N2O, NO, etc. as an oxidizer; organosilanes and any other Si-containing material. For purposes of discussion it is assumed that the inorganic dielectric material is SiO2.
[Para 48] Referring to Figure 2, the methodology for forming the Ml layer includes first depositing the SiO2 dielectric layer 130c, e.g., to a thickness ranging between about 2 kA to 20 kA with a range of between 4 kA and 5 kA preferred, on top of the substrate capping layer 38, patterning trenches in the SiO2 layer 130c using known lithography and RIE techniques, and, lining the formed trenches with a metal liner such as one or more refractory metals, e.g., Ta, TaN, TiN, TiSiN, W, WCN, Ru. Then, the lined trenches are filled with a copper material to form the Cu Ml layer 135b which is subsequently polished using known CMP technique. Thereafter, a barrier or Cu diffusion layer 132b, such as SiN, is deposited on top of the Cu Ml metallization, e.g., to a thickness ranging between about 2θA to 2 kA with a range of between 100 A and 200 A preferred. In this embodiment, the thickness of the nitride layer 132b on top of the Cu interconnect is reduced to minimize reflectance. It is understood that other barrier layers materials may be used including, but not limited to, SiON, SiC, SiCN, SiCON, SiCO materials etc. The process is repeated for the subsequent Cu M2 metallization layer whereby a thin M2 dielectric layer 130b, e.g., SiO2, is deposited over the Cu diffusion layer 132b, to a thickness ranging between about 2 kA to 20 kA, preferably 1 micron, and then the M2 metallization layer is formed by patterning trenches in the SiO2 layer 130b using known lithography and RIE techniques, lining the formed trenches with a metal liner such as a refractory metal, and, filling the lined trenches with a copper material to form the Cu M2 135a layer which is subsequently polished using known CMP technique. Thereafter, a barrier or Cu diffusion layer 132a, such as SiN, is deposited on top of the Cu M2 layer 135a, e.g., to a thickness ranging between about 20 A to 2 kA. The subsequent steps include forming the interlevel dielectric layer 130a on top of the diffusion layer 132a and the final Al metallization according to known techniques. It is preferred that, in the embodiment depicted in Figure 2, the total thickness of both the Ml and M2 diffusion barriers be about 20 nm or less, in order to minimize reflectance. Further to the embodiment depicted in Figure 2, it should be understood that an additional layer of materials with an index of refraction that is in between that of SiN (1.98) and SiO2 (1.46), such as SiON, can be formed on top of the thin SiN layers 132a,b to comparable thicknesses (e.g., about 2θA to 2 kA) to further reduce reflections. According to this first embodiment, the provision of Cu metallization and corresponding thin interlevel dielectric layers 130a-130c and minimum diffusion barrier layers 132a,b in the optical path minimizes light reflectance, thus allowing greater amounts of light 13 to flow through the optical path of pixel 20 and reach underlying photodiode 18. As further shown in Figure 2, in an alternate embodiment, each the pixel array 100 may include an additional STI isolation dielectric region 138 formed in the substrate on top of the light sensitive element, e.g., photodiode 18. In this alternate embodiment, the bottom nitride capping layer 38 is removed from the pixel optical path.
[Para 49] In a second embodiment of the invention, there is performed a selective removal of those portions of the barrier SiN layers 132a,b formed in the pixel array optical paths to avoid the refraction index mismatch problem. In this second embodiment of the image sensor array 100a, depicted in Figure 3(a), additional masks (a resist mask or a hardmask) are used at each level of processing to create openings 50 in the SiN barrier layers 132a,b at the location of each pixel's optical path. That is, after each respective barrier layer 132a,b is formed, an additional lithography patterning and etching step (wet or dry etch) is implemented to open up the SiN at the select locations. It is understood however, that a maskless process may be implemented for removing select portions of the SiN layers 132a,b, e.g., using clustered ion beam etching. Preferably, a fluorine-based dry etch (e.g., CF4 plus an oxygen plasma), however, a wet etch is desirable to minimize damage or roughness at the surface after the etch (which might cause reflections or scattering of the light). The wet etch may comprise of a dilute HF
"smoothing" etch after SiN RIE, or it could be an H3PO4 etch to remove the SiN, using SiO2 as a hardmask. Thus, in this embodiment, process steps of forming the interlevel dielectric layer 130b, the Cu Ml metallization layer 135b, and corresponding SiN barrier layer deposition 132b is conducted, and selective removal of the barrier SiN layer 132b in the pixel array is performed. These process steps are then repeated for the subsequent M2 dielectric 130b, metal layer M2 and barrier layer 132a. After the Ml SiN barrier layer 132b is etched, however, the surface of that layer may not be perfectly flat which may compromise the subsequent formed M2 dielectric and, potentially effect the lithography or the polishing of the subsequent Cu for the subsequent M2 level 135a. Thus, after the SiO2 layer 130b for M2 is patterned and deposited, an additional polishing step is performed at the surface of the SiO2 dielectric 130b level before the M2 metallization 135a is patterned. It is further shown in the embodiment depicted Figure 3(a) that the thin top nitride layer 28 and nitride capping layer 38 shown on top of the substrate 14 (each ranging between about 20 A to 2 kA in thickness) remain without affecting the pixel array sensitivity assuming the photodiode element 18 is situated in an active area directly in the silicon substrate without provision of an dielectric insulator layer 138, e.g., an STI isolation, in the substrate 14 formed on top of the photodiode 18.
[Para 50] Thus, in an alternate second embodiment of pixel array 100b depicted in Figure 3(b), for the embodiment including STI isolation dielectric regions 138 formed on top of the light sensitive element, e.g., photodiode 18, it is advantageous to remove the substrate capping layer 38 in the optical path on top of the substrate 14 image sensor array 100a in addition to the Ml and M2 level dielectrics 132a,b in the optical path. Thus, in the manner described herein with respect to Figure 3(a), an additional mask is required and additional lithographic patterning and etching steps (wet or dry etch) implemented to provide additional openings 50a in the SiN capping layer 38 at the locations of the pixel's optical path. [Para 51] Figure 4(a) illustrates, through a cross-sectional view, the image sensor array 100c according to a third embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the STI regions 138 above the pixel's light sensitive element (photodiode) 18 in the substrate 14. According to this embodiment, the substrate capping layer 38, interlevel dielectric layer 130a, interlevel metallization 135b (Ml) and corresponding barrier layer 132b, e.g., SiN, are formed without the Ml barrier etching. Then, the M2 dielectric layer 130b, metallization M2 135a and corresponding barrier layer 132a, e.g., SiN, are formed. A mask is subsequently patterned and an etch is conducted to create an opening 51 to remove that portion of the Nitride barriers 132a for the M2 layer from the pixels' optical paths. However, in the same etch process step, the M2 dielectric layer 130b and the Ml barrier opening 51a is created so that the only one mask is needed to remove both metallization barrier layers 132a,b in the optical path. After this etch, the interlevel dielectric (e.g., oxide) is deposited back into the etched path, e.g. a spin-on SiO2 refill process, and a planarization step is performed. It is understood that the fill dielectric may alternately comprise a polymer dielectric (polyimide, Dow Chemical's SiLK®, etc.) and that other techniques for depositing the interlevel dielectric (oxide, SiO2, or carbon-based oxides, etc.) having excellent gap fill capability such as CVD or (plasma enhanced) PE-CVD processing may be used.
[Para 52] In an alternate third embodiment depicted in Figure 4(b), where the image sensor array lOOd includes STI isolation dielectric regions 138 formed on top of the light sensitive element, e.g., photodiode 18, it is advantageous to remove the bottom nitride layer 38 shown on top of the substrate 14 in addition to the Ml and M2 level dielectrics 132a,b. Thus, in the manner described herein with respect to Figure 4(a), after formation of the M2 barrier level 132a, a lithographic patterning of a single mask is performed and an etch is conducted to create openings 51, 51a and 51b in each of the barrier levels in the pixel's optical path. That is, the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch may be optionally performed to remove the barrier layer materials. Thereafter, the etched path is again filled with an interlevel dielectric, e.g. a spin-on SiO2 or polymer dielectric (polyimide, Dow Chemical's SiLK®, etc.) refill process, and a planarization step is performed. It is understood that other techniques for depositing the interlevel dielectric (oxide, SiO2, or carbon-based oxides, etc.) having excellent gap fill capability such as CVD or (plasma enhanced) PE-CVD processing may be used.
[Para 53] Figure 5(a), through a cross-sectional view, illustrates the image sensor array lOOe according to a fourth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without formed dielectric (e.g., STI) regions 138 above the pixel's light sensitive element (photodiode) 18 in the substrate 14. According to this embodiment, the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel metallizations 35a, 35b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN, are formed. However, after the formation of the top interlevel dielectric layer 130a, a lithographic patterning and application of a single mask is performed and an etch is conducted to create openings 52 and 52a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in a single etch step, each of the barrier layers 132a,b for each of the metallizations are removed in addition to each of the interlevel dielectric layers 130a - 130c (using a single mask). Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), a thin nitride liner 140 is deposited that conforms to the sidewalls and bottom of the etched hole. Preferably, a SiN material or a thin liner material having light reflective properties may be deposited to line the bottom and sidewalls of the etched opening using known deposition techniques such as PE-CVD. For example, other liner materials having light reflective properties include but are not limited to: SiC, certain metals, e.g. Al, TiN, Tungsten, Ru, PoIy-Si, PoIy-Ge, etc. This thin liner 140 may be deposited to a thickness ranging between 50 A to 2 kA and effectively functions to prevent mobile ion ingress and additionally act as a mirror surface to reflect scattered light so that any light that enters the lens at an angle will reach the photodiode. In a subsequent step, after depositing the thin nitride liner, the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
[Para 54] In an alternate fourth embodiment depicted in Figure 5(b), where the image sensor array lOOf includes STI isolation dielectric regions 138 formed on top of the light sensitive element, e.g., photodiode 18, it is advantageous to remove those portions of the bottom nitride layer 38 shown on top of the substrate 14 in the pixel optical path in addition to the Ml and M2 level barriers 132a,b. Thus, in the manner described herein with respect to Figure 5(a), after lithographically patterning and applying a single mask, a single etch is conducted to create openings 52, 52a and 52b in each of the barrier levels in the pixel's optical path. That is, the single etch process is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch may be performed to remove the barrier layer materials. Then, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric, thin nitride spacers 141 are deposited that conforms to the sidewalls and bottom of the etched hole. Preferably, a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques. Thereafter, the reflective liner material conforming to the bottom of the hole is removed by a spacer etch, i.e., any directional etch that is used to form SiN spacers along the sidewalls of an etched opening. For example, a F-based directional etch is used to form SiN spacers. In a subsequent step, after depositing the thin nitride and etching to form spacers 141, the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
[Para 55] Figure 6(a) illustrates, through a cross-sectional view, the image sensor array lOOg according to a fifth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the formed STI regions above the pixel's light sensitive element (photodiode) 18 in the substrate 14. According to this embodiment, the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel Cu metallizations 135a, 135b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN, are formed. Additionally formed is the top metal conductor (i.e., Aluminum) layer 36 patterned as shown in Figure 6(a) between each pixel. However, after the patterning of the top Aluminum layer 36, a single etch process is conducted to create openings 53 and 53a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in the single etch step, each of the barrier layers 132a,b for each of the metallization levels are removed, in addition to each of the interlevel dielectric layers 130a - 130c using the patterned Al layer 36 which functions as a self-aligned mask. Then, in a subsequent step, the interlevel dielectric material is deposited back into the etched hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
[Para 56] In an alternate fifth embodiment depicted in Figure 6(b), where the image sensor array lOOh includes STI isolation dielectric regions 138 formed on top of the light sensitive element, e.g., photodiode 18, it is advantageous to remove those portions of the bottom nitride layer 38 shown on top of the substrate 14 in the pixel optical path in addition to the Ml and M2 level barriers 132a,b. Thus, in the manner described herein with respect to Figure 6(a), after lithographically patterning the Al layer 36 that additionally functions as a single self-aligned mask, a single (wet or dry) etch is conducted to create openings 53, 53a and 53b in each of the barrier levels in the pixel's optical path. That is, the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch process may be performed to remove the barrier layers 132a,b and capping layer 38.
[Para 57] Figure 7(a) illustrates, through a cross-sectional view, the image sensor array lOOi according to a sixth embodiment of the invention which corresponds to the image sensor embodiment depicted in Figure 3(a) without the STI regions above the pixel's light sensitive element (photodiode) 18 in the substrate 14. According to this embodiment, the substrate capping layer 38, interlevel dielectric layers 130a - 130c, interlevel metallizations 135a, 135b (M2 and Ml) and corresponding barrier layers 132a,b, e.g., SiN, are formed. Additionally formed is the top metal conductor (i.e., Aluminum) layer 36 patterned as shown in Figure 7(a) between each pixel. However, after the patterning of the top Aluminum layer 36, a single etch process is conducted to create openings 54 and 54a in each of the respective M2 and Ml barrier levels in the pixel's optical path. That is, in the single etch step, each of the barrier layers 132a,b for each of the metallization levels are removed in addition to each of the interlevel dielectric layers 130a - 130c using the patterned Al layer 36 which functions as a self-aligned mask. Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), a thin nitride liner 140 is deposited that conforms to bottom and sidewalls of the etched hole. Preferably, a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques such as PE-CVD, as described. This thin reflecting liner 140 may be deposited to a thickness ranging between 50 A to 2 kA and effectively acts as a mirror surface to reflect scattered light so that any light that enters the pixel lens at an angle will reach the photodiode. In a subsequent step, after depositing the thin nitride liner, the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
[Para 58] In an alternate sixth embodiment depicted in Figure 7(b), where the image sensor array 10Oj includes STI isolation dielectric regions 138 formed on top of the light sensitive element, e.g., photodiode 18, it is advantageous to remove those portions of the bottom nitride layer 38 shown on top of the substrate 14 in the pixel optical path in addition to the Ml and M2 level dielectrics 132. Thus, in the manner described herein, after lithographically patterning the Al layer 36 that additionally functions as a single self-aligned mask, a single (wet or dry) etch is conducted to create openings 54, 54a and 54b in each of the barrier levels in the pixel's optical path. That is, the etch is conducted to remove the M2 Nitride barrier, and at the same time the M2 dielectric layer 130b, the Ml barrier, the Ml dielectric layer 130c and, the additional bottom nitride layer 38 using the preferred dry etch techniques described herein (e.g., CF4 and 02 plasma). It is understood that a wet etch process may be performed to remove the barrier layers 132a,b and capping layer 38. Further, in this embodiment, after opening the hole in the optical path and prior to filling the hole with the interlevel dielectric (e.g., oxide), thin nitride spacers 141 are deposited that conform to the sidewalls of the etched hole. Preferably, a SiN material or a thin liner material having light reflective properties may be deposited to line the hole using known deposition techniques such as PE-CVD, as described. This thin reflecting spacer 141 may be deposited to a thickness ranging between 50 A to 2 kA and effectively acts as a mirror surface to reflect scattered light so that any light that enters the lens at an angle will reach the photodiode. In a subsequent step, after depositing the thin nitride spacers, the interlevel dielectric material is deposited back into the lined hole utilizing, e.g. a spin-on SiO2 refill process, and a final planarization step is performed.
[Para 59] It should be understood that in each of the embodiments described herein with respect to Figures 3(a)-7(b) a self-aligned Cu metal cap, e.g., CoWP, may be formed, for example, by electroless plating, rather than forming a blanket SiN cap and removing the select portions of the barrier levels 132a,b in the optical paths as described. Thus, as shown in Figure 8, after the patterning formation of each Cu metallization layer and polishing thereof, an electroless plating may be performed in a self-aligned process to selectively deposit a barrier material 142, e.g., a compound such as NiWP, CoWP, CoWB directly on the Cu which protects the Cu against oxidation. Alternately, a deposition process, e.g., a CVD may be performed for selectively depositing a barrier layer material such as a refractory metal including but not limited to W. Alternately, after each Cu CMP step, the Cu is recessed by either a wet etch, electropolishing, or dry etch. Then, a blanket capping layer (Ta, TaN, W, SiN, SiC) is deposited and a polishing step conducted to remove those capping layer portions formed in the regions between the metal lines.
[Para 60] While there has been shown and described what is considered to be preferred embodiments of the invention, it will, of course, be understood that various modifications and changes in form or detail could readily be made without departing from the spirit of the invention. It is therefore intended that the invention be not limited to the exact forms described and illustrated, but should be constructed to cover all modifications that may fall within the scope of the appended claims.

Claims

What is claimed is:
[Claim 1] 1. An image sensor including an array of pixels comprising: a semiconductor substrate including a light sensitive element formed therein at each location of a corresponding pixel in said array for receiving incident light; a first interlevel dielectric layer formed atop said substrate; at least one metal interconnect layer formed atop said first interlevel dielectric layer, said metal interconnect layer including a Cu metal wire structure formed between each light sensitive element in said array; and, a second interlevel dielectric layer formed between said Cu metal interconnect layer in said array and a top layer for receiving incident light, wherein said Cu metal interconnect layer enables thin first and second interlevel dielectric layers to shorten an optical path and thereby increase an amount of light received by each light sensitive element in said array.
[Claim 2] 2. The image sensor as claimed in Claim 1, wherein each said first and second interlevel dielectric layers is of a thickness ranging between 2 kA to 20 kA.
[Claim 3] 3. The image sensor as claimed in Claim 1, further comprising a barrier material layer formed atop each said Cu metal wire structure, said barrier material layer traversing the optical path of each pixel of said array.
[Claim 4] 4. The image sensor as claimed in Claim 1, further including a barrier material layer formed between said substrate and said first interlevel dielectric layer.
[Claim 5] 5. The image sensor as claimed in Claim 3, wherein said barrier material formed atop said formed Cu wire in said metal interconnect layer comprises a material selected from SiN, SiON, SiC, SiCN, SiCON or SiCO.
[Claim 6] 6. The image sensor as claimed in Claim 3, wherein said barrier material formed atop said formed Cu wire in said metal interconnect layer is of a thickness ranging between 20 A to 2 kA.
[Claim 7] 7. The image sensor as claimed in Claim 3, wherein portions of said barrier material formed atop said formed metal interconnect layer is selectively removed from regions in line with an optical path of each pixel of said array.
[Claim 8] 8. The image sensor as claimed in Claim 7, further including a structure of insulator material formed in said substrate above said light sensitive element at each pixel.
[Claim 9] 9. The image sensor as claimed in Claim 8, further including a barrier material layer formed between said substrate and said first interlevel dielectric layer having portions selectively removed above corresponding insulator material structures formed above said light sensitive element at each pixel.
[Claim 10] 10. The image sensor as claimed in Claim 7, wherein for each pixel of the array, a layer of light reflective material formed on pixel sidewalls, said light reflective material layers enabling an increased amount of light received by said light sensitive element.
[Claim 11] 11. The image sensor as claimed in Claim 10, wherein for each pixel of the array, said layer of reflective material is additionally formed on a surface of said semiconductor substrate above said light sensitive element in said pixel.
[Claim 12] 12. The image sensor as claimed in Claim 10, wherein said layer of reflective material lining sidewalls of each pixel includes SiN, SiC, Al, TiN, or Tungsten, Ru, PoIy-Si, PoIy-Ge.
[Claim 13] 13. The image sensor as claimed in Claim 11, wherein said layer of reflective material lining sidewalls of each pixel is deposited to a thickness ranging between 50 A to 2 kA.
[Claim 14] 14. The image sensor as claimed in Claim 1, wherein said first and second interlevel dielectric layer material comprises a low-k organic material.
[Claim 15] 15. The image sensor as claimed in Claim 1, wherein said first and second interlevel dielectric layer material comprises a low-k inorganic material.
[Claim 16] 16. The image sensor as claimed in Claim 1, further comprising a top layer comprising an array of filter elements, each filter element corresponding to an array pixel.
[Claim 17] 17. The image sensor as claimed in Claim 16, further comprising an array of pixel microlens formed on said top layer in alignment with said array of filter elements, with each microlens corresponding to a filter element.
[Claim 18] 18. A method for fabricating an image sensor array of pixels comprising the steps of: a. forming a corresponding light sensitive element in a semiconductor substrate for each array pixel, said element adapted to receive light incident to a respective pixel; b. forming a first interlevel dielectric layer formed atop said substrate; c. forming at least one metal interconnect layer formed atop said first interlevel dielectric layer, said formed metal interconnect layer including a Cu metal wire structure formed between each light sensitive element in said array; and, d. forming a second interlevel dielectric layer atop said Cu metal interconnect layer in said array, wherein said Cu metal interconnect layer enables formation of thin first and interlevel dielectric layers to shorten an optical path and thereby increase an amount of light received by each light sensitive element in said array.
[Claim 19] 19. The method as claimed in Claim 18, wherein said step c. of forming a metal interconnect layer including a Cu metal wire structure formed at locations between each light sensitive element in said array comprises: applying a mask over said first interlevel dielectric layer, said mask patterned to open up trenches between each photodiode in said first interlevel dielectric layer; conducting an etch process to open up said trenches at said locations between each photodiode; and, depositing Cu metal in said trenches to form said metal wire structures.
[Claim 20] 20. The method as claimed in Claim 19, wherein said step c. further comprises the step of conducting a chemical-mechanical polishing step of said metal wire structures.
[Claim 21] 21. The method as claimed in Claim 20, further comprising the step of forming a barrier material layer on top each said Cu metal wire structure of said metal interconnect layer, said forming including implementing a self-aligned process to deposit said barrier material layer on top each formed said Cu metal wire structure of said metal interconnect layer.
[Claim 22] 22. The method as claimed in Claim 21, wherein said step of depositing in a self-aligned process a barrier material on top each said Cu metal wire structure further comprises performing an electroless plating process.
[Claim 23] 23. The method as claimed in Claim 22, wherein said barrier material deposited in said electroless plating process comprises NiWP, CoWP or CoWB.
[Claim 24] 24. The method as claimed in Claim 18, further comprising the steps of: forming a barrier material layer on top said Cu metal wire structures of said metal interconnect layer by blanket depositing a barrier material layer atop said Cu metal wire structures and atop said first interlevel dielectric layer thereby traversing an optical path of each array pixel.
[Claim 25] 25. The method as claimed in Claim 24, further including the step of selectively removing portions of said barrier material layer at regions in line with an optical path of each pixel of said array.
[Claim 26] 26. The method as claimed in Claim 25, wherein said step of selectively removing portions of said barrier material layer at regions in line with an optical path comprises, after blanket depositing said barrier material layer, the steps of: applying a mask structure lithographically patterned to open up regions of said barrier material layer at locations traversing said optical path of each pixel; and conducting an etch process to remove the barrier material layer at said regions.
[Claim 27] 27. The method as claimed in Claim 25, wherein said step of selectively removing portions of said barrier material layer at regions in line with an optical path comprises, after forming a second interlevel dielectric layer atop said Cu metal interconnect layer in said array, the steps of: applying a mask structure lithographically patterned to open up holes at locations traversing said optical path of each pixel; conducting an etch process to selectively remove portions of the second interlevel dielectric layer and barrier material layer portions at said regions; and, refilling interlevel dielectric material back into holes created by said etch.
[Claim 28] 28. The method as claimed in Claim 25, wherein said image sensor array further comprises a top metallization layer formed beneath a color filter array and comprising a metal bonding structure formed between each photodiode in said array, said step of selectively removing portions of said barrier material layer at regions in line with an optical path comprises, after forming said top metallization layer in said array, the steps of: conducting an etch process utilizing said metal bonding structures of said top metallization layer as a self-aligned mask to selectively remove portions of the second interlevel dielectric layer and barrier material layer portions at said regions traversing said optical path of each pixel; and, refilling interlevel dielectric material back into holes created by said etch.
[Claim 29] 29. The method as claimed in Claim 27, wherein said step of conducting an etch process to selectively remove portions of the second interlevel dielectric layer and barrier material layer portions at said regions traversing said optical path of each pixel further includes etching to remove a portion of said first interlevel dielectric layer at said regions to open up a hole corresponding to said pixel optical path, wherein prior to said refilling step, the step of: depositing a thin liner of light reflective material that conforms to the sidewalls of the etched hole.
[Claim 30] 30. The method as claimed in Claim 28, wherein said step of conducting an etch process to selectively remove portions of the second interlevel dielectric layer and barrier material layer portions at said regions traversing said optical path of each pixel further includes etching to remove a portion of said first interlevel dielectric layer at said regions to open up a hole corresponding to said pixel optical path, wherein prior to said refilling step, the step of: depositing a thin liner of light reflective material that conforms to the sidewalls of the etched hole.
[Claim 31] 31. The method as claimed in Claim 18, further including a step of forming a barrier material layer between said substrate and said first interlevel dielectric layer.
[Claim 32] 32. The method as claimed in Claim 31, wherein step a. further includes the step of forming a structure of insulator material in said substrate above said light sensitive element at each pixel, said method further comprising the step of selectively removing portions of said barrier material layer above said substrate at a region corresponding to said insulator material structure formed above said light sensitive element at each pixel.
[Claim 33] 33. The method as claimed in Claim 18, further comprising a step of forming a top layer comprising an array of filter elements, each filter element corresponding to an array pixel.
[Claim 34] 34. The method as claimed in Claim 33, further comprising a step of forming an array of pixel microlens on said top layer in alignment with said array of filter elements, with each microlens corresponding to a filter element.
[Claim 35] 35. An image sensor including an array of pixels comprising: a semiconductor substrate including a light sensitive element formed therein at each location of a corresponding pixel in said array for receiving incident light; and, a stack of interlevel dielectric layers having first and second levels of Cu metallization formed therein, a first interlevel dielectric layer of said stack formed atop said substrate, and each said metallization level including a Cu metal wire structure formed between each light sensitive element in said array, wherein said Cu metallization levels enable a thinner stack of interlevel dielectric layers to shorten an optical path and thereby increase amount of light received by each light sensitive element in said array.
[Claim 36] 36. The image sensor as claimed in Claim 35, further comprising a barrier material layer formed atop each said Cu metal wire structure, said barrier material layer formed atop said Cu metal wire structures includes portions traversing the optical path of each pixel of said array.
[Claim 37] 37. The image sensor as claimed in Claim 35, further including a barrier material layer formed between said substrate and said first interlevel dielectric layer.
[Claim 38] 38. The image sensor as claimed in Claim 36, wherein portions of said barrier material formed atop said Cu metal wire structures are selectively removed from regions in line with an optical path of each pixel of said array.
[Claim 39] 39. The image sensor as claimed in Claim 35, further including a structure of insulator material formed in said substrate above said light sensitive element at each pixel, wherein portions of said barrier material layer formed between said substrate and said first interlevel dielectric layer have been selectively removed from regions above said insulator material structure at each pixel.
[Claim 40] 40. A method for fabricating an image sensor array of pixels comprising the steps of: a. forming a corresponding light sensitive element in a semiconductor substrate for each array pixel, said element adapted to receive incident light; and, b. forming a stack of interlevel dielectric layers atop said substrate, and between forming of adjacent interlevel dielectric layers of said stack, the steps of: forming a Cu metallization level including a Cu metal wire structure formed between each light sensitive element in said array, wherein said Cu metallization levels enables a thinner stack of interlevel dielectric layers to shorten an optical path and thereby increase amount of light received by each light sensitive element in said array.
[Claim 41] 41. The method as claimed in Claim 40, wherein said step b) of forming Cu metal wire structure of each Cu metallization level includes: applying a mask over an underlying interlevel dielectric layer, said mask patterned to open up trenches in between said pixel locations in said underlying dielectric layer; conducting an etch process to open up said trenches at said locations; and, depositing Cu metal in said trenches to form said metal wire structures.
[Claim 42] 42. The method as claimed in Claim 41, wherein said step of depositing Cu metal in said trenches further includes lining said trench with a Cu diffusion barrier material.
[Claim 43] 43. The method as claimed in Claim 41, further comprising the step of forming a barrier material layer on top each said Cu metal wire structure, said forming including implementing a self-aligned process to deposit said barrier material layer on top each formed said Cu metal wire structure of said metal interconnect layer.
[Claim 44] 44. The method as claimed in Claim 43, wherein said step of implementing a self-aligned process to deposit a barrier material on top each said Cu metal wire structure further comprises performing an electroless plating process.
[Claim 45] 45. The method as claimed in Claim 40, further comprising the steps of: forming a barrier material layer on top said Cu metal wire structures of said metal interconnect layer by blanket depositing a thin barrier material layer atop said Cu metal wire structures including its respective underlying interlevel dielectric layer, wherein portions of said blanket deposited thin barrier material layer traverses an optical path of each array pixel.
[Claim 46] 46. The method as claimed in Claim 45, further including the step of selectively removing portions of said thin barrier material layer at regions in line with an optical path of each pixel of said array.
[Claim 47] 47. The method as claimed in Claim 46, wherein said step of selectively removing portions of said thin barrier material layer at regions in line with an optical path comprises, after blanket depositing said thin barrier material layer at each metallization level, the steps of: applying a mask structure lithographically patterned to open up regions of said barrier material layer at locations traversing said optical path of each pixel; and conducting an etch process to remove the thin barrier material layer at said regions.
[Claim 48] 48. The method as claimed in Claim 40, further including a step of forming a barrier material layer between said substrate and a first interlevel dielectric layer of said stack.
[Claim 49] 49. The method as claimed in Claim 48, wherein step a) further includes the step of forming a structure of insulator material in said substrate above said light sensitive element at each pixel, said method further comprising the step of selectively removing portions of said barrier material layer above said substrate at a region corresponding to said formed insulator material structure at each pixel.
PCT/US2005/045328 2004-12-23 2005-12-14 Cmos imager of eliminating high reflectivity interfaces WO2006071540A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP05854112A EP1839338A4 (en) 2004-12-23 2005-12-14 A cmos imager with cu wiring and method of eliminating high reflectivity interfaces therefrom
JP2007548302A JP2008526022A (en) 2004-12-23 2005-12-14 CMOS imager with Cu wiring and method for removing highly reflective interfaces therefrom

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/905,277 US7342268B2 (en) 2004-12-23 2004-12-23 CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
US10/905,277 2004-12-23

Publications (2)

Publication Number Publication Date
WO2006071540A2 true WO2006071540A2 (en) 2006-07-06
WO2006071540A3 WO2006071540A3 (en) 2007-04-12

Family

ID=36610397

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/045328 WO2006071540A2 (en) 2004-12-23 2005-12-14 Cmos imager of eliminating high reflectivity interfaces

Country Status (6)

Country Link
US (2) US7342268B2 (en)
EP (1) EP1839338A4 (en)
JP (1) JP2008526022A (en)
KR (1) KR101020013B1 (en)
CN (1) CN100552970C (en)
WO (1) WO2006071540A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008058847A1 (en) * 2006-11-17 2008-05-22 International Business Machines Corporation A cmos imager array with recessed dielectric layer
JP2008198971A (en) * 2007-02-08 2008-08-28 Taiwan Semiconductor Manufacturing Co Ltd Improvement of optical transmission on multiple insulator structure in advanced cmos imaging device
JP2009272597A (en) * 2008-04-09 2009-11-19 Sony Corp Solid-state image pick-up device, manufacturing method therefor, and electronic equipment
US8728852B2 (en) 2008-04-09 2014-05-20 Sony Corporation Solid-state imaging device, production method thereof, and electronic device
US9820637B2 (en) 2012-09-24 2017-11-21 Olympus Corporation Image pickup apparatus and endoscope including image pickup apparatus

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7057256B2 (en) 2001-05-25 2006-06-06 President & Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US7442629B2 (en) 2004-09-24 2008-10-28 President & Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
FR2862426B1 (en) * 2003-11-17 2006-03-03 St Microelectronics Sa IMAGE SENSOR
US8029186B2 (en) * 2004-11-05 2011-10-04 International Business Machines Corporation Method for thermal characterization under non-uniform heat load
KR100672994B1 (en) * 2005-01-28 2007-01-24 삼성전자주식회사 Image Sensor And Method Of Fabricating The Same
FR2885735B1 (en) * 2005-05-10 2007-08-03 St Microelectronics Sa INTEGRATED CIRCUIT WAVE GUIDE
US7307327B2 (en) * 2005-08-04 2007-12-11 Micron Technology, Inc. Reduced crosstalk CMOS image sensors
KR100710204B1 (en) * 2005-09-08 2007-04-20 동부일렉트로닉스 주식회사 CMOS image sensor and method for manufacturing the same
US7598581B2 (en) 2005-09-12 2009-10-06 Crosstek Capital, LLC Image sensor with decreased optical interference between adjacent pixels
KR100720457B1 (en) * 2005-11-10 2007-05-22 동부일렉트로닉스 주식회사 Image Sensor and Method for Manufacturing the Same
KR20070096115A (en) * 2005-12-29 2007-10-02 동부일렉트로닉스 주식회사 Cmos image sensor and method for fabricating of the same
US20070187787A1 (en) * 2006-02-16 2007-08-16 Ackerson Kristin M Pixel sensor structure including light pipe and method for fabrication thereof
US20070241418A1 (en) * 2006-04-13 2007-10-18 Ming-I Wang Image sensing device and fabrication method thereof
JP2007299929A (en) * 2006-04-28 2007-11-15 Matsushita Electric Ind Co Ltd Optical device, and optical device module employing it
KR100795922B1 (en) * 2006-07-28 2008-01-21 삼성전자주식회사 Image pickup device and method of manufacturing image pickup device
FR2906079B1 (en) * 2006-09-19 2009-02-20 E2V Semiconductors Soc Par Act COLOR IMAGE SENSOR WITH ENHANCED COLORIMETRY
KR100840649B1 (en) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 Method of fabricating semiconductor device for image sensor
KR101152389B1 (en) * 2007-09-13 2012-06-05 삼성전자주식회사 Image sensor and method of fabricating the same
JP4693827B2 (en) * 2007-09-20 2011-06-01 株式会社東芝 Semiconductor device and manufacturing method thereof
KR20090037004A (en) * 2007-10-11 2009-04-15 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
KR100894387B1 (en) * 2007-10-22 2009-04-22 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
JP4852016B2 (en) * 2007-10-29 2012-01-11 株式会社東芝 Semiconductor device and manufacturing method thereof
US8212327B2 (en) * 2008-03-06 2012-07-03 Sionyx, Inc. High fill-factor laser-treated semiconductor device on bulk material with single side contact scheme
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US7646943B1 (en) 2008-09-04 2010-01-12 Zena Technologies, Inc. Optical waveguides in image sensors
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8384007B2 (en) * 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US20110115041A1 (en) * 2009-11-19 2011-05-19 Zena Technologies, Inc. Nanowire core-shell light pipes
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8735797B2 (en) * 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US20100304061A1 (en) * 2009-05-26 2010-12-02 Zena Technologies, Inc. Fabrication of high aspect ratio features in a glass layer by etching
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8299472B2 (en) * 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
KR20100057302A (en) * 2008-11-21 2010-05-31 삼성전자주식회사 Image sensor and method for manufacturing thereof
US20100144084A1 (en) * 2008-12-05 2010-06-10 Doan Hung Q Optical waveguide structures for an image sensor
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US20100289065A1 (en) 2009-05-12 2010-11-18 Pixart Imaging Incorporation Mems integrated chip with cross-area interconnection
US20100320552A1 (en) * 2009-06-19 2010-12-23 Pixart Imaging Inc. CMOS Image Sensor
US8330840B2 (en) * 2009-08-06 2012-12-11 Aptina Imaging Corporation Image sensor with multilayer interference filters
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US8692198B2 (en) 2010-04-21 2014-04-08 Sionyx, Inc. Photosensitive imaging devices and associated methods
US20120146172A1 (en) 2010-06-18 2012-06-14 Sionyx, Inc. High Speed Photosensitive Devices and Associated Methods
US8476099B2 (en) 2010-07-22 2013-07-02 International Business Machines Corporation Methods for improved adhesion of protective layers of imager microlens structures by forming an interfacial region
US8753917B2 (en) 2010-12-14 2014-06-17 International Business Machines Corporation Method of fabricating photoconductor-on-active pixel device
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
CN103946867A (en) 2011-07-13 2014-07-23 西奥尼克斯公司 Biometric imaging devices and associated methods
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
US9299638B2 (en) 2012-12-06 2016-03-29 Globalfoundries Inc. Patterning transition metals in integrated circuits
WO2014127376A2 (en) 2013-02-15 2014-08-21 Sionyx, Inc. High dynamic range cmos image sensor having anti-blooming properties and associated methods
WO2014151093A1 (en) 2013-03-15 2014-09-25 Sionyx, Inc. Three dimensional imaging utilizing stacked imager devices and associated methods
US9209345B2 (en) 2013-06-29 2015-12-08 Sionyx, Inc. Shallow trench textured regions and associated methods
FR3009629B1 (en) 2013-08-08 2015-09-11 St Microelectronics Sa METHOD FOR PRODUCING A THICK MULTILAYER OPTICAL FILTER WITHIN AN INTEGRATED CIRCUIT, AND INTEGRATED CIRCUIT COMPRISING A THICK MULTILAYER OPTICAL FILTER
JP6167740B2 (en) * 2013-08-08 2017-07-26 セイコーエプソン株式会社 Electro-optical device substrate, electro-optical device, and electronic apparatus
CN104576662A (en) * 2013-10-23 2015-04-29 豪威科技(上海)有限公司 Stackable CMOS (complementary metal oxide semiconductors) sensor with high quantum conversion efficiency and preparation method of stackable CMOS sensor
US9683890B2 (en) 2015-06-30 2017-06-20 Semiconductor Components Industries, Llc Image sensor pixels with conductive bias grids
TWI800487B (en) * 2016-09-09 2023-05-01 日商索尼半導體解決方案公司 Solid-state imaging device, manufacturing method, and electronic device
CN109685846B (en) * 2018-12-19 2023-03-10 吉林大学 Dijkstra-based metal object positioning method in X-ray photograph

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6040592A (en) * 1997-06-12 2000-03-21 Intel Corporation Well to substrate photodiode for use in a CMOS sensor on a salicide process
US5859450A (en) * 1997-09-30 1999-01-12 Intel Corporation Dark current reducing guard ring
JP3452828B2 (en) * 1999-03-01 2003-10-06 松下電器産業株式会社 Solid-state imaging device and method of manufacturing the same
US6326652B1 (en) * 1999-06-18 2001-12-04 Micron Technology, Inc., CMOS imager with a self-aligned buried contact
US6407440B1 (en) * 2000-02-25 2002-06-18 Micron Technology Inc. Pixel cell with high storage capacitance for a CMOS imager
CA2464228C (en) * 2000-10-27 2017-01-17 Immuno-Rx, Inc. Vaccine immunotherapy for immune suppressed patients
US6504195B2 (en) * 2000-12-29 2003-01-07 Eastman Kodak Company Alternate method for photodiode formation in CMOS image sensors
US6765276B2 (en) * 2001-08-23 2004-07-20 Agilent Technologies, Inc. Bottom antireflection coating color filter process for fabricating solid state image sensors
JP4204824B2 (en) * 2001-09-20 2009-01-07 新明和工業株式会社 Optical system
US6815787B1 (en) * 2002-01-08 2004-11-09 Taiwan Semiconductor Manufacturing Company Grid metal design for large density CMOS image sensor
JP4182393B2 (en) * 2002-04-10 2008-11-19 ソニー株式会社 Solid-state imaging device and manufacturing method thereof
JP4117672B2 (en) * 2002-05-01 2008-07-16 ソニー株式会社 Solid-state imaging device, solid-state imaging device, and manufacturing method thereof
JP4123415B2 (en) * 2002-05-20 2008-07-23 ソニー株式会社 Solid-state imaging device
US6744109B2 (en) * 2002-06-26 2004-06-01 Agilent Technologies, Inc. Glass attachment over micro-lens arrays
US7215361B2 (en) * 2003-09-17 2007-05-08 Micron Technology, Inc. Method for automated testing of the modulation transfer function in image sensors
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
JP4123060B2 (en) * 2003-06-11 2008-07-23 ソニー株式会社 Solid-state imaging device and manufacturing method thereof
KR100499174B1 (en) * 2003-06-17 2005-07-01 삼성전자주식회사 Image device
GB2403847B (en) * 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US7193289B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Damascene copper wiring image sensor
KR20090025818A (en) * 2007-09-07 2009-03-11 주식회사 동부하이텍 Image sensor and method for fabricating thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP1839338A4 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008058847A1 (en) * 2006-11-17 2008-05-22 International Business Machines Corporation A cmos imager array with recessed dielectric layer
JP2008198971A (en) * 2007-02-08 2008-08-28 Taiwan Semiconductor Manufacturing Co Ltd Improvement of optical transmission on multiple insulator structure in advanced cmos imaging device
JP2009272597A (en) * 2008-04-09 2009-11-19 Sony Corp Solid-state image pick-up device, manufacturing method therefor, and electronic equipment
US8728852B2 (en) 2008-04-09 2014-05-20 Sony Corporation Solid-state imaging device, production method thereof, and electronic device
US9820637B2 (en) 2012-09-24 2017-11-21 Olympus Corporation Image pickup apparatus and endoscope including image pickup apparatus

Also Published As

Publication number Publication date
KR20070086242A (en) 2007-08-27
EP1839338A4 (en) 2011-11-09
US20060138480A1 (en) 2006-06-29
WO2006071540A3 (en) 2007-04-12
CN100552970C (en) 2009-10-21
US20080108170A1 (en) 2008-05-08
KR101020013B1 (en) 2011-03-09
EP1839338A2 (en) 2007-10-03
US7772028B2 (en) 2010-08-10
US7342268B2 (en) 2008-03-11
CN101088165A (en) 2007-12-12
JP2008526022A (en) 2008-07-17

Similar Documents

Publication Publication Date Title
US7772028B2 (en) CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
US7193289B2 (en) Damascene copper wiring image sensor
US7781781B2 (en) CMOS imager array with recessed dielectric
US11456263B2 (en) Semiconductor structure and method for forming the same
US6861686B2 (en) Structure of a CMOS image sensor and method for fabricating the same
US20050088551A1 (en) Structure of a CMOS image sensor and method for fabricating the same
US10868065B2 (en) Front side illuminated image sensor device structure and method for forming the same
US20220367391A1 (en) Semiconductor structure
KR100791011B1 (en) Image device including the inner lens and method of fabrication the same
US10056427B1 (en) Front side illuminated image sensor device structure and method for forming the same
CN107785383B (en) Image sensor and manufacturing method thereof
US11652133B2 (en) Image sensor grid and method of manufacturing same
CN112820744A (en) Integrated circuit device, method of manufacturing the same, and semiconductor image sensing device
KR20100055737A (en) Image sensor and method for fabricating the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077013528

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2007548302

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200580044500.4

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2005854112

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2005854112

Country of ref document: EP