WO2006044690A3 - Low-k dielectric functional imprinting materials - Google Patents

Low-k dielectric functional imprinting materials Download PDF

Info

Publication number
WO2006044690A3
WO2006044690A3 PCT/US2005/037063 US2005037063W WO2006044690A3 WO 2006044690 A3 WO2006044690 A3 WO 2006044690A3 US 2005037063 W US2005037063 W US 2005037063W WO 2006044690 A3 WO2006044690 A3 WO 2006044690A3
Authority
WO
WIPO (PCT)
Prior art keywords
imprinting
conductors
dielectric constant
low
curable composition
Prior art date
Application number
PCT/US2005/037063
Other languages
French (fr)
Other versions
WO2006044690A2 (en
Inventor
Frank Y Xu
Jun Sung Chun
Michael P C Watts
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to EP05813005A priority Critical patent/EP1805559A2/en
Priority to JP2007537939A priority patent/JP2008517480A/en
Publication of WO2006044690A2 publication Critical patent/WO2006044690A2/en
Publication of WO2006044690A3 publication Critical patent/WO2006044690A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • Y10T428/24545Containing metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet

Abstract

In a substantially planar circuit, the conductors are separated by an inorganic material with a dielectric constant of less than about 3.0. The dielectric layers are formed in a process that includes defining trenches and/or vias for the conductors by imprinting an initially planar layer of a radiation curable composition. The imprinting die is preferably UV transparent such that the composition is UV cured while the imprint die is in place. The curable composition includes an organic modified silicate compound and a second decomposable organic component, the latter forming nanometer scale pores as the organic compounds are subsequently decomposed to provide a polysilicate matrix. The pores reduce the effective dielectric constant from that of otherwise dense silicon dioxide.
PCT/US2005/037063 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials WO2006044690A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP05813005A EP1805559A2 (en) 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials
JP2007537939A JP2008517480A (en) 2004-10-18 2005-10-14 Low-K dielectric functional imprinting material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/967,740 2004-10-18
US10/967,740 US20060081557A1 (en) 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials

Publications (2)

Publication Number Publication Date
WO2006044690A2 WO2006044690A2 (en) 2006-04-27
WO2006044690A3 true WO2006044690A3 (en) 2006-08-10

Family

ID=36179629

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/037063 WO2006044690A2 (en) 2004-10-18 2005-10-14 Low-k dielectric functional imprinting materials

Country Status (6)

Country Link
US (2) US20060081557A1 (en)
EP (1) EP1805559A2 (en)
JP (1) JP2008517480A (en)
KR (1) KR20070083711A (en)
TW (1) TW200621895A (en)
WO (1) WO2006044690A2 (en)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US20060196375A1 (en) * 2004-10-22 2006-09-07 Seth Coe-Sullivan Method and system for transferring a patterned material
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
WO2007117698A2 (en) 2006-04-07 2007-10-18 Qd Vision, Inc. Composition including material, methods of depositing material, articles including same and systems for depositing material
WO2007120877A2 (en) * 2006-04-14 2007-10-25 Qd Vision, Inc. Transfer surface for manufacturing a light emitting device
US20080113283A1 (en) * 2006-04-28 2008-05-15 Polyset Company, Inc. Siloxane epoxy polymers for redistribution layer applications
WO2008111947A1 (en) * 2006-06-24 2008-09-18 Qd Vision, Inc. Methods and articles including nanomaterial
JP4344954B2 (en) * 2006-10-03 2009-10-14 セイコーエプソン株式会社 Method for manufacturing element substrate
JP2008091685A (en) * 2006-10-03 2008-04-17 Seiko Epson Corp Element substrate, and its production process
CN101535892A (en) 2006-11-01 2009-09-16 皇家飞利浦电子股份有限公司 Relief layer and imprint method for making the same
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
JP5137635B2 (en) * 2007-03-16 2013-02-06 キヤノン株式会社 Imprint method, chip manufacturing method, and imprint apparatus
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
KR100991354B1 (en) * 2007-08-13 2010-11-02 주식회사 네패스 The insulator Coating Solution Using semiconductor and fabricating method thereof
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
WO2009067241A1 (en) * 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
TWI409583B (en) * 2007-12-03 2013-09-21 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
CN101960556B (en) * 2008-03-06 2013-09-18 东京毅力科创株式会社 Method for curing a porous low dielectric constant dielectric film
CN102119363B (en) * 2008-08-05 2015-10-21 斯莫特克有限公司 For the high-aspect-ratio template of photoetching, the method making same template and the application of this template in nanoscale substrate perforation
KR101557816B1 (en) * 2008-09-01 2015-10-07 삼성디스플레이 주식회사 Resist composition and method for forming patterns using the same
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US9904165B2 (en) * 2009-08-04 2018-02-27 Agency For Science, Technology And Research Method of reducing the dimension of an imprint structure on a substrate
WO2011066450A2 (en) * 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
JP5882922B2 (en) * 2012-01-19 2016-03-09 キヤノン株式会社 Imprint method and imprint apparatus
JP6071255B2 (en) * 2012-06-04 2017-02-01 キヤノン株式会社 Photocured material
JP6460672B2 (en) * 2013-09-18 2019-01-30 キヤノン株式会社 Film manufacturing method, optical component manufacturing method, circuit board manufacturing method, and electronic component manufacturing method
CN104681743B (en) * 2013-11-29 2017-02-15 清华大学 Preparation method of organic light emitting diode
US20170235003A1 (en) 2016-02-12 2017-08-17 Cgg Services Sas Seismic data acquisition for compressive sensing reconstruction
TWI742875B (en) * 2020-10-12 2021-10-11 艾姆勒車電股份有限公司 Polymer composite substrate having metal circuit and method of manufacturing the same
US11310916B1 (en) 2020-12-23 2022-04-19 Amulaire Thermal Technology, Inc. Metal circuit on polymer composite substrate surface and method for manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6200736B1 (en) * 1998-04-15 2001-03-13 Etec Systems, Inc. Photoresist developer and method
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) * 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
JPS573875A (en) * 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
JPH01163027A (en) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd Method and device for molding optical element
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5102977A (en) 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5066231A (en) * 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5366768A (en) 1991-05-09 1994-11-22 Kansai Paint Company, Limited Method of forming coating films
US5182174A (en) 1991-05-13 1993-01-26 E. I. Du Pont De Nemours And Company Flexible etch-resistant finishes with siloxane cross-linking
JPH04366958A (en) 1991-06-14 1992-12-18 Oki Electric Ind Co Ltd Radiation sensitive resin composition
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
DE4228853C2 (en) * 1991-09-18 1993-10-21 Schott Glaswerke Optical waveguide with a planar or only slightly curved substrate and method for its preparation and use of such
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
FR2693727B1 (en) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Organo-mineral polycondensate and process for obtaining it.
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (en) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
JP2989453B2 (en) 1993-11-30 1999-12-13 三菱鉛筆株式会社 Porous rubber stamp with continuous pores
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US6433098B1 (en) * 1994-06-13 2002-08-13 Rohm And Haas Company Process of preparing curable compositions and compositions therefrom
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
WO1997006012A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US6355751B1 (en) * 1996-12-31 2002-03-12 Lucent Technologies Inc. Curable coatings with improved adhesion to glass
US5792821A (en) * 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6592764B1 (en) 1997-12-09 2003-07-15 The Regents Of The University Of California Block copolymer processing for mesostructured inorganic oxide materials
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) * 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
DE19828969A1 (en) * 1998-06-29 1999-12-30 Siemens Ag Manufacturing integrated semiconductor components
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
WO2000020290A1 (en) 1998-10-08 2000-04-13 Young Robson T Jr High-strength collapsible pallet with self-aligning construction
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6435948B1 (en) 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
DE60001457T2 (en) * 1999-06-11 2003-09-11 Bausch & Lomb LENS MOLDING TOOLS WITH PROTECTIVE LAYER FOR THE PRODUCTION OF CONTACT LENSES AND INTRAOCULAR LENSES
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
WO2001018305A1 (en) * 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
ATE294648T1 (en) * 1999-12-23 2005-05-15 Univ Massachusetts METHOD FOR PRODUCING SUBMICRON PATTERNS ON FILM
EP1275670B1 (en) * 2000-01-21 2005-08-10 Mitsui Chemicals, Inc. Olefin block copolymers, production processes of the same and use thereof
US6465365B1 (en) 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
JP2004504716A (en) 2000-07-13 2004-02-12 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア Silica zeolite low dielectric constant thin film
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
IL151941A0 (en) * 2001-01-29 2003-04-10 Jsr Corp Composite particles for dielectrics, ultrafine particle-resin composite particles, dielectric-forming composition and applications thereof
US6660245B1 (en) 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6731857B2 (en) 2001-03-29 2004-05-04 Shipley Company, L.L.C. Photodefinable composition, method of manufacturing an optical waveguide with the photodefinable composition, and optical waveguide formed therefrom
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) * 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
EP1417474B1 (en) * 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6483174B1 (en) 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20030127002A1 (en) 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
KR100949343B1 (en) * 2002-02-19 2010-03-26 닛산 가가쿠 고교 가부시키 가이샤 Composition for forming anti-reflection coating
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
AU2003256654A1 (en) * 2002-07-23 2004-02-09 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US6897259B1 (en) 2002-09-25 2005-05-24 Rohm And Haas Company Heat stable wrinkle finish powder coatings
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
JP4170735B2 (en) 2002-11-13 2008-10-22 信越化学工業株式会社 Zeolite sol and manufacturing method thereof, composition for forming porous film, porous film and manufacturing method thereof, interlayer insulating film and semiconductor device
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6790790B1 (en) 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US6960327B2 (en) 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
JP4651390B2 (en) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ UV nanoimprint lithography using multiple relief element stamps
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7344783B2 (en) 2003-07-09 2008-03-18 Shell Oil Company Durable hydrophobic surface coatings using silicone resins
TWI240648B (en) 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
KR100601090B1 (en) 2003-10-14 2006-07-14 주식회사 엘지화학 High surface area electrode prepared by using porous template, and electric device prepared therefrom
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7981441B2 (en) 2004-02-18 2011-07-19 The Board Of Trustees Of The Leland Stanford Junior University Drug delivery systems using mesoporous oxide films
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
KR101179063B1 (en) 2004-02-23 2012-09-07 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP2008507114A (en) 2004-04-27 2008-03-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ Composite patterning device for soft lithography
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US8025831B2 (en) 2004-05-24 2011-09-27 Agency For Science, Technology And Research Imprinting of supported and free-standing 3-D micro- or nano-structures
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (en) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 Zeolite raw material liquid, zeolite crystal preparation method, zeolite raw material liquid preparation method, and zeolite thin film
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7365375B2 (en) 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
JP4775561B2 (en) 2005-04-01 2011-09-21 信越化学工業株式会社 Silsesquioxane-based compound mixture, production method thereof, resist composition using the same, and pattern formation method
KR100744068B1 (en) 2005-04-29 2007-07-30 주식회사 하이닉스반도체 Method for fabricating transistor of semiconductor device
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US20070123059A1 (en) 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US20080000373A1 (en) 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
US7662527B2 (en) 2006-08-01 2010-02-16 Xerox Corporation Silanol containing photoconductor
KR100831046B1 (en) 2006-09-13 2008-05-21 삼성전자주식회사 Mold for nano-imprinting and method of manufacturing the mold
TW200826319A (en) 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
TWI339881B (en) 2007-02-15 2011-04-01 Via Tech Inc Chip package
US8025932B2 (en) 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
JP4870810B2 (en) 2007-03-30 2012-02-08 パイオニア株式会社 Imprint mold and imprint mold manufacturing method
WO2009067241A1 (en) 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090266418A1 (en) 2008-02-18 2009-10-29 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100109201A1 (en) 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
CN101477304B (en) 2008-11-04 2011-08-17 南京大学 Stamping method for copying high-resolution nano-structure on complicated shape surface
EP2221664A1 (en) 2009-02-19 2010-08-25 Solvay Solexis S.p.A. Nanolithography process
US8147704B2 (en) 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
TW201144091A (en) 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6200736B1 (en) * 1998-04-15 2001-03-13 Etec Systems, Inc. Photoresist developer and method
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials

Also Published As

Publication number Publication date
TW200621895A (en) 2006-07-01
US8889332B2 (en) 2014-11-18
EP1805559A2 (en) 2007-07-11
WO2006044690A2 (en) 2006-04-27
JP2008517480A (en) 2008-05-22
KR20070083711A (en) 2007-08-24
US20110256355A1 (en) 2011-10-20
US20060081557A1 (en) 2006-04-20

Similar Documents

Publication Publication Date Title
WO2006044690A3 (en) Low-k dielectric functional imprinting materials
TW200715423A (en) Capacitive devices, organic dielectric laminates, multilayer structures incorporating such devices, and methods of making thereof
WO2006057745A3 (en) Direct imprinting of etch barriers using step and flash imprint lithography
EP1763287A3 (en) A method for fabricating organic electroluminescent devices
WO2007127984A3 (en) Siloxane epoxy polymers for redistribution layer applications
ATE413930T1 (en) LOW-DIELECTRICITY MATERIALS AND PRODUCTION PROCESSES THEREOF
DE50312189D1 (en) Nanoimprint-resist
TW200700915A (en) Negative-type photosensitive resin composition containing epoxy-containing material
TW200710066A (en) Polyacene and semiconductor formulation
TW200833732A (en) Hardmask composition having antireflective properties, process for forming patterned material layer by using the composition and semiconductor integrated circuit device produced using the process
WO2005039868A3 (en) Structuring of electrical functional layers by means of a transfer film and structuring the adhesive
WO2002016477A3 (en) Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
TW200712038A (en) Oligomeric polyacene and semiconductor formulation
TW200613375A (en) Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for film formation
TW200641075A (en) Film, silica film and method of forming the same, composition for forming silica film, and electronic part
WO2002045183A3 (en) Substrate with semiconductor layer, electronic component, electronic circuit, printable composition and method for production thereof
DE60125819D1 (en) FIELD EFFECT TRANSISTORS AND MATERIALS AND METHOD FOR THEIR MANUFACTURE
JP2006100808A5 (en)
WO2006101578A8 (en) Vapor phase treatment of dielectric materials
WO2007001409A3 (en) Methods of fabricating devices by transfer of organic material
EP1746123A4 (en) Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for film formation
WO2008017472A3 (en) Method for the production of a porous, ceramic surface layer
TW200704276A (en) Composite material, material for light-emitting element, light-emitting element, light-emitting device and electronic device
WO2010009716A3 (en) Radiation-emitting device and method for producing a radiation-emitting device
WO2004114371A3 (en) Compound used to form a self-assembled monolayer, layer structure, semiconductor component having a layer structure, and method for producing a layer structure

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005813005

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020077008751

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2007537939

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWP Wipo information: published in national office

Ref document number: 2005813005

Country of ref document: EP