WO2006023612A3 - Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers - Google Patents

Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers Download PDF

Info

Publication number
WO2006023612A3
WO2006023612A3 PCT/US2005/029339 US2005029339W WO2006023612A3 WO 2006023612 A3 WO2006023612 A3 WO 2006023612A3 US 2005029339 W US2005029339 W US 2005029339W WO 2006023612 A3 WO2006023612 A3 WO 2006023612A3
Authority
WO
WIPO (PCT)
Prior art keywords
photoresist
wafers
sub
measurement
induced changes
Prior art date
Application number
PCT/US2005/029339
Other languages
French (fr)
Other versions
WO2006023612A2 (en
Inventor
Henry A Hill
Original Assignee
Zetetic Inst
Henry A Hill
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zetetic Inst, Henry A Hill filed Critical Zetetic Inst
Publication of WO2006023612A2 publication Critical patent/WO2006023612A2/en
Publication of WO2006023612A3 publication Critical patent/WO2006023612A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Abstract

A method of processing a substrate on which a layer of photoresist has been applied, the method involving: exposing the layer of photoresist to patterned radiation to generate exposure-induced changes in the layer of photoresist which form patterns having one or more features; and before developing the exposed photoresist, interferometrically obtaining measurements of the pattern in the exposed layer of photoresist for determining locations of the one or more features of the pattern.
PCT/US2005/029339 2004-08-19 2005-08-18 Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers WO2006023612A2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US60299904P 2004-08-19 2004-08-19
US60/602,999 2004-08-19
US61848304P 2004-10-13 2004-10-13
US60/618,483 2004-10-13
US62470704P 2004-11-03 2004-11-03
US60/624,707 2004-11-03

Publications (2)

Publication Number Publication Date
WO2006023612A2 WO2006023612A2 (en) 2006-03-02
WO2006023612A3 true WO2006023612A3 (en) 2006-12-28

Family

ID=35968161

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/029339 WO2006023612A2 (en) 2004-08-19 2005-08-18 Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers

Country Status (3)

Country Link
US (1) US7324216B2 (en)
TW (1) TW200612212A (en)
WO (1) WO2006023612A2 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
DE10338809B4 (en) * 2003-08-21 2008-05-21 Hesse & Knipps Gmbh Method and device for adjusting bonding head elements
WO2007008265A2 (en) * 2005-04-11 2007-01-18 Zetetic Institute Apparatus and method for in situ and ex situ measurement of spatial impulse response of an optical system using phase-shifting point-diffraction interferometry
US7428058B2 (en) * 2005-05-18 2008-09-23 Zetetic Institute Apparatus and method for in situ and ex situ measurements of optical system flare
US7801713B1 (en) * 2006-11-17 2010-09-21 Kla-Tencor Corporation Generating a model using global node optimization
KR100834832B1 (en) * 2006-11-29 2008-06-03 삼성전자주식회사 Method for measuring critical dimension of pattern using overlay measuring apparatus
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
JP5222954B2 (en) * 2007-11-13 2013-06-26 ザイゴ コーポレーション Interferometer using polarization scan
US7783444B2 (en) * 2008-03-26 2010-08-24 Qimonda Ag Systems and methods of alternative overlay calculation
EP2304504B1 (en) 2008-06-26 2019-07-03 ASML Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
NL2007052A (en) * 2010-07-15 2012-01-17 Asml Netherlands Bv Calibration method and inspection apparatus.
US8731272B2 (en) * 2011-01-24 2014-05-20 The Board Of Trustees Of The University Of Illinois Computational adaptive optics for interferometric synthetic aperture microscopy and other interferometric imaging
US20120242606A1 (en) * 2011-03-23 2012-09-27 Synaptics Incorporated Trace design for reduced visibility in touch screen devices
EP2867918A1 (en) * 2012-06-26 2015-05-06 Kla-Tencor Corporation Near field metrology
JP6353831B2 (en) * 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション Algorithmic removal from scanning and diffraction optical measurements in angle-resolved reflectometry
US9390494B2 (en) * 2012-12-13 2016-07-12 Kla-Tencor Corporation Delta die intensity map measurement
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
JP2016065964A (en) * 2014-09-24 2016-04-28 株式会社デンソー Optical component
US10248029B2 (en) * 2015-07-17 2019-04-02 Asml Netherlands B.V. Method and apparatus for inspection and metrology
CN107340689B (en) * 2016-02-29 2019-10-25 上海微电子装备(集团)股份有限公司 A kind of device and method measuring overlay error
US9989866B2 (en) * 2016-10-17 2018-06-05 Cymer, Llc Wafer-based light source parameter control
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US11152270B2 (en) * 2019-12-01 2021-10-19 Winbond Electronics Corp. Monitoring structure for critical dimension of lithography process

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037272A1 (en) * 2002-03-12 2005-02-17 Olympus Corporation Method and apparatus for manufacturing semiconductor

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3628027A (en) * 1969-12-17 1971-12-14 Sulzer Ag Beam deflecting and focusing means for photoelectric monitoring, counting or control apparatus
US3748015A (en) * 1971-06-21 1973-07-24 Perkin Elmer Corp Unit power imaging catoptric anastigmat
US4011011A (en) * 1973-03-09 1977-03-08 The Perkin-Elmer Corporation Optical projection apparatus
US4272684A (en) * 1978-10-06 1981-06-09 Xerox Corporation Optical beam-splitting arrangements on object side of a lens
US4226501A (en) * 1978-10-12 1980-10-07 The Perkin-Elmer Corporation Four mirror unobscurred anastigmatic telescope with all spherical surfaces
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
US4685803A (en) * 1986-01-23 1987-08-11 Zygo Corporation Method and apparatus for the measurement of the refractive index of a gas
US4733967A (en) * 1987-03-19 1988-03-29 Zygo Corporation Apparatus for the measurement of the refractive index of a gas
US5241423A (en) * 1990-07-11 1993-08-31 International Business Machines Corporation High resolution reduction catadioptric relay lens
US5220403A (en) * 1991-03-11 1993-06-15 International Business Machines Corporation Apparatus and a method for high numerical aperture microscopic examination of materials
EP0529125B1 (en) * 1991-08-27 1996-07-31 International Business Machines Corporation Method and apparatus for generating high resolution optical images
JPH0797018B2 (en) * 1992-05-13 1995-10-18 インターナショナル・ビジネス・マシーンズ・コーポレイション Depth measuring method and device
US5392118A (en) * 1992-05-13 1995-02-21 International Business Machines Corporation Method for measuring a trench depth parameter of a material
IT1265106B1 (en) * 1993-07-23 1996-10-30 Solari Udine Spa OPTICAL SYSTEM FOR LIGHT-EMITTING DIODES
KR950704670A (en) * 1993-09-30 1995-11-20 가따다 데쯔야 Confocal Optics
US5614763A (en) * 1995-03-13 1997-03-25 Zetetic Institute Methods for improving performance and temperature robustness of optical coupling between solid state light sensors and optical systems
US5699201A (en) * 1995-03-27 1997-12-16 Hewlett-Packard Co. Low-profile, high-gain, wide-field-of-view, non-imaging optics
US5633972A (en) * 1995-11-29 1997-05-27 Trustees Of Tufts College Superresolution imaging fiber for subwavelength light energy generation and near-field optical microscopy
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5894195A (en) * 1996-05-03 1999-04-13 Mcdermott; Kevin Elliptical axial lighting device
US5915048A (en) * 1996-06-05 1999-06-22 Zetetic Institute Method and apparatus for discriminating in-focus images from out-of-focus light signals from background and foreground light sources
DE19734983A1 (en) * 1996-09-04 1998-03-05 Zeiss Carl Fa Optical arrangement of mirrors
US5923423A (en) * 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5757493A (en) * 1996-10-16 1998-05-26 Tropel Corporation Interferometer with catadioptric imaging system having expanded range of numerical aperture
US5760901A (en) * 1997-01-28 1998-06-02 Zetetic Institute Method and apparatus for confocal interference microscopy with background amplitude reduction and compensation
US6018391A (en) * 1997-01-28 2000-01-25 Advantest Corporation Method and apparatus for inspecting foreign matter by examining frequency differences between probing light beam and reference light beam
US6480285B1 (en) * 1997-01-28 2002-11-12 Zetetic Institute Multiple layer confocal interference microscopy using wavenumber domain reflectometry and background amplitude reduction and compensation
US5828455A (en) * 1997-03-07 1998-10-27 Litel Instruments Apparatus, method of measurement, and method of data analysis for correction of optical system
US6124931A (en) * 1997-10-02 2000-09-26 Zygo Corporation Apparatus and methods for measuring intrinsic optical properties of a gas
US6330065B1 (en) * 1997-10-02 2001-12-11 Zygo Corporation Gas insensitive interferometric apparatus and methods
US6052231A (en) * 1998-01-21 2000-04-18 International Business Machines Corporation Beam dividing elements permitting projection of an image with high contrast
DE69939329D1 (en) * 1998-11-03 2008-09-25 Univ Rice William M GAS PHASE SEPARATION AND GROWTH OF STAINLESS CARBON NANOTOES OF CARBON MONOXIDE HIGH PRESSURE
JP3697919B2 (en) * 1998-12-18 2005-09-21 コニカミノルタホールディングス株式会社 Video display device using reflective display element
US6271923B1 (en) * 1999-05-05 2001-08-07 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
KR100883079B1 (en) * 1999-07-05 2009-02-10 노파르티스 아게 Sensor platform, apparatus incorporating the platform, and process using the platform
TW579435B (en) * 1999-08-02 2004-03-11 Zetetic Inst Scanning interferometric near-field confocal microscopy
US6469788B2 (en) * 2000-03-27 2002-10-22 California Institute Of Technology Coherent gradient sensing ellipsometer
US6917726B2 (en) * 2001-09-27 2005-07-12 Cornell Research Foundation, Inc. Zero-mode clad waveguides for performing spectroscopy with confined effective observation volumes
US6586113B1 (en) * 2000-07-19 2003-07-01 Agilent Technologies, Inc. Etching heterojunction interfaces
AU2001279048A1 (en) * 2000-07-27 2002-02-13 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
JP2004505257A (en) * 2000-07-27 2004-02-19 ゼテティック・インスティチュート Multiple source arrays for confocal and near-field microscopy
JP2004505312A (en) * 2000-07-27 2004-02-19 ゼテティック・インスティチュート Control of subwavelength aperture array position and orientation in near-field microscopy
JP2004505314A (en) 2000-07-27 2004-02-19 ゼテティック・インスティチュート Scanning interference near-field confocal microscopy with attenuated and compensated background amplitude
WO2002010831A2 (en) * 2000-07-27 2002-02-07 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US6597721B1 (en) * 2000-09-21 2003-07-22 Ut-Battelle, Llc Micro-laser
WO2002042754A2 (en) * 2000-11-22 2002-05-30 Koninklijke Philips Electronics N.V. Measurement of surface defects
KR20040032816A (en) * 2000-12-21 2004-04-17 제테틱 인스티튜트 Catoptric and catadioptric imaging systems
KR100649555B1 (en) * 2001-03-27 2006-11-24 삼성에스디아이 주식회사 Projection screen and projection system using it
US6847452B2 (en) * 2001-08-02 2005-01-25 Zygo Corporation Passive zero shear interferometers
US6906339B2 (en) * 2001-09-05 2005-06-14 Rensselaer Polytechnic Institute Passivated nanoparticles, method of fabrication thereof, and devices incorporating nanoparticles
US6771374B1 (en) * 2002-01-16 2004-08-03 Advanced Micro Devices, Inc. Scatterometry based measurements of a rotating substrate
JP4113361B2 (en) * 2002-02-05 2008-07-09 日立ソフトウエアエンジニアリング株式会社 Method for producing multilayer semiconductor nanoparticles
JP3847677B2 (en) * 2002-07-23 2006-11-22 日立ソフトウエアエンジニアリング株式会社 Semiconductor nanoparticle, method for producing the same, and semiconductor nanoparticle fluorescent reagent
EP1588120A4 (en) * 2003-01-27 2006-12-20 Zetetic Inst Interferometric confocal microscopy incorporating a pihnole array beam-splitter
US7084983B2 (en) * 2003-01-27 2006-08-01 Zetetic Institute Interferometric confocal microscopy incorporating a pinhole array beam-splitter
US7164480B2 (en) * 2003-02-04 2007-01-16 Zetetic Institute Compensation for effects of mismatch in indices of refraction at a substrate-medium interface in non-confocal, confocal, and interferometric confocal microscopy
US7263259B2 (en) * 2003-02-07 2007-08-28 Zetetic Institute Multiple-source arrays fed by guided-wave structures and resonant guided-wave structure cavities
WO2004072695A2 (en) * 2003-02-13 2004-08-26 Zetetic Institute Transverse differential interferometric confocal microscopy
US6717736B1 (en) * 2003-02-13 2004-04-06 Zetetic Institute Catoptric and catadioptric imaging systems
US7133139B2 (en) * 2003-02-19 2006-11-07 Zetetic Institute Longitudinal differential interferometric confocal microscopy
WO2004074881A2 (en) * 2003-02-19 2004-09-02 Zetetic Institute Method and apparatus for dark field interferometric confocal microscopy
EP1609019A2 (en) * 2003-04-01 2005-12-28 Zetetic Institute Method for constructing a catadioptric lens system
KR20050119672A (en) * 2003-04-01 2005-12-21 제테틱 인스티튜트 Apparatus and method for joint measurement of fields of scattered/reflected or transmitted orthogonally polarized beams by an object in interferometry
EP1608933A4 (en) * 2003-04-03 2007-03-21 Zetetic Inst Apparatus and method for measurement of fields of backscattered and forward scattered/reflected beams by an object in interferometry
US7324209B2 (en) * 2003-07-07 2008-01-29 Zetetic Institute Apparatus and method for ellipsometric measurements with high spatial resolution
WO2005008334A2 (en) * 2003-07-07 2005-01-27 Zetetic Institute Apparatus and method for high speed scan for detection and measurement of properties of sub-wavelength defects and artifacts in semiconductor and mask metrology
US20050111007A1 (en) * 2003-09-26 2005-05-26 Zetetic Institute Catoptric and catadioptric imaging system with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037272A1 (en) * 2002-03-12 2005-02-17 Olympus Corporation Method and apparatus for manufacturing semiconductor

Also Published As

Publication number Publication date
US7324216B2 (en) 2008-01-29
TW200612212A (en) 2006-04-16
US20060050283A1 (en) 2006-03-09
WO2006023612A2 (en) 2006-03-02

Similar Documents

Publication Publication Date Title
WO2006023612A3 (en) Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
US7898662B2 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2010086068A3 (en) Determining critical dimension and overlay variations of integrated circuit fields
TW200734832A (en) Explosure method, explosure apparatus, photomask, and method for manufacturing photomask
WO2001050523A3 (en) Method to measure alignment using latent image grating structures
TWI265381B (en) Method for coating a substrate for EUV lithography and substrate with photoresist layer
US8245161B1 (en) Verification of computer simulation of photolithographic process
CN109937383B (en) Method and metrology apparatus for measuring parameters of a device manufacturing process
CN108292108A (en) Measure target, method and apparatus, computer program and lithography system
JP2009002931A5 (en)
JP5332007B2 (en) Position accuracy judgment of double exposure lithography using optical measurement
US20160033879A1 (en) Methods and controllers for controlling focus of ultraviolet light from a lithographic imaging system, and apparatuses for forming an integrated circuit employing the same
CN101261451B (en) On-site measurement method Photo-etching machine image-forming quality and workpiece station positioning accuracy
NL2004948A (en) Lithographic apparatus and device manufacturing method.
US10871367B2 (en) Substrate, metrology apparatus and associated methods for a lithographic process
JP4881426B2 (en) Marker forming method, substrate having marker, and device manufacturing method
WO2007098453A3 (en) Method and apparatus for determining focus and source telecentricity
US9665012B2 (en) Lithographic apparatus and device manufacturing method
JP4607072B2 (en) How to verify consistent measurement results across multiple CD measurement tools
TWI820885B (en) Computer program comprising processor readable instructions
KR20190046680A (en) Microlithographic mask, method for determining edge positions of the images of the structures of such a mask and system for carrying out such a method
Whittey et al. Photomask film degradation effects in the wafer fab: how to detect and monitor over time
Gruss et al. Test of a new sub-90-nm DR overlay mark for DRAM production
CN113287065A (en) Method, substrate and system for estimating stress in a substrate
Toyama et al. DPL performance analysis strategy with conventional workflow

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase