WO2006009881A3 - Process and apparatus for forming nanoparticles using radiofrequency plasmas - Google Patents

Process and apparatus for forming nanoparticles using radiofrequency plasmas Download PDF

Info

Publication number
WO2006009881A3
WO2006009881A3 PCT/US2005/021551 US2005021551W WO2006009881A3 WO 2006009881 A3 WO2006009881 A3 WO 2006009881A3 US 2005021551 W US2005021551 W US 2005021551W WO 2006009881 A3 WO2006009881 A3 WO 2006009881A3
Authority
WO
WIPO (PCT)
Prior art keywords
nanoparticles
forming nanoparticles
methods
radiofrequency
crystal semiconductor
Prior art date
Application number
PCT/US2005/021551
Other languages
French (fr)
Other versions
WO2006009881A2 (en
Inventor
Uwe Kortshagen
Elijah J Thimsen
Lorenzo Mangolini
Ameya Bapat
David Jursberg
Original Assignee
Innovalight Inc
Uwe Kortshagen
Elijah J Thimsen
Lorenzo Mangolini
Ameya Bapat
David Jursberg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Innovalight Inc, Uwe Kortshagen, Elijah J Thimsen, Lorenzo Mangolini, Ameya Bapat, David Jursberg filed Critical Innovalight Inc
Priority to JP2007516797A priority Critical patent/JP2008508166A/en
Priority to DE112005001429T priority patent/DE112005001429T5/en
Publication of WO2006009881A2 publication Critical patent/WO2006009881A2/en
Publication of WO2006009881A3 publication Critical patent/WO2006009881A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/605Products containing multiple oriented crystallites, e.g. columnar crystallites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B19/00Selenium; Tellurium; Compounds thereof
    • C01B19/007Tellurides or selenides of metals
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G1/00Methods of preparing compounds of metals not covered by subclasses C01B, C01C, C01D, or C01F, in general
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G1/00Methods of preparing compounds of metals not covered by subclasses C01B, C01C, C01D, or C01F, in general
    • C01G1/02Oxides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B7/00Single-crystal growth from solutions using solvents which are liquid at normal temperature, e.g. aqueous solutions
    • C30B7/005Epitaxial layer growth
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/04Particle morphology depicted by an image obtained by TEM, STEM, STM or AFM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/60Particles characterised by their size
    • C01P2004/64Nanometer sized, i.e. from 1-100 nanometer
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/80Particles consisting of a mixture of two or more inorganic phases
    • C01P2004/82Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases
    • C01P2004/84Particles consisting of a mixture of two or more inorganic phases two phases having the same anion, e.g. both oxidic phases one phase coated with the other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/832Nanostructure having specified property, e.g. lattice-constant, thermal expansion coefficient
    • Y10S977/834Optical properties of nanomaterial, e.g. specified transparency, opacity, or index of refraction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/102Apparatus for forming a platelet shape or a small diameter, elongate, generally cylindrical shape [e.g., whisker, fiber, needle, filament]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1024Apparatus for crystallization from liquid or supercritical state
    • Y10T117/1032Seed pulling
    • Y10T117/1036Seed pulling including solid member shaping means other than seed or product [e.g., EDFG die]
    • Y10T117/104Means for forming a hollow structure [e.g., tube, polygon]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1024Apparatus for crystallization from liquid or supercritical state
    • Y10T117/1076Apparatus for crystallization from liquid or supercritical state having means for producing a moving solid-liquid-solid zone
    • Y10T117/108Including a solid member other than seed or product contacting the liquid [e.g., crucible, immersed heating element]

Abstract

Methods and apparatus for producing nanoparticles, including single-crystal semiconductor nanoparticles, are provided. The methods include the step of generating a constricted radiofrequency plasma in the presence of a precursor gas containing precursor molecules to form nanoparticles. Single-crystal semiconductor nanoparticles, including photoluminescent silicon nanoparticles, having diameters of no more than 10 nm may be fabricated in accordance with the methods.
PCT/US2005/021551 2004-06-18 2005-06-17 Process and apparatus for forming nanoparticles using radiofrequency plasmas WO2006009881A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007516797A JP2008508166A (en) 2004-06-18 2005-06-17 Method and apparatus for producing nanoparticles using radio frequency plasma
DE112005001429T DE112005001429T5 (en) 2004-06-18 2005-06-17 Method and apparatus for forming nanoparticles using radio frequency plasmas

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58114104P 2004-06-18 2004-06-18
US60/581,141 2004-06-18
US62397904P 2004-11-01 2004-11-01
US60/623,979 2004-11-01

Publications (2)

Publication Number Publication Date
WO2006009881A2 WO2006009881A2 (en) 2006-01-26
WO2006009881A3 true WO2006009881A3 (en) 2007-03-29

Family

ID=35785709

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/021551 WO2006009881A2 (en) 2004-06-18 2005-06-17 Process and apparatus for forming nanoparticles using radiofrequency plasmas

Country Status (4)

Country Link
US (2) US7446335B2 (en)
JP (1) JP2008508166A (en)
DE (1) DE112005001429T5 (en)
WO (1) WO2006009881A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399878B2 (en) 2007-01-03 2013-03-19 Nanogram Corporation Silicon/germanium oxide particle inks and processes for forming solar cell components and for forming optical components
US8435477B2 (en) 1997-07-21 2013-05-07 Nanogram Corporation Dispersions of submicron doped silicon particles
US8623951B2 (en) 2001-08-03 2014-01-07 Nanogram Corporation Silicon nanoparticle dispersions
US8895962B2 (en) 2010-06-29 2014-11-25 Nanogram Corporation Silicon/germanium nanoparticle inks, laser pyrolysis reactors for the synthesis of nanoparticles and associated methods
US9175174B2 (en) 2000-10-17 2015-11-03 Nanogram Corporation Dispersions of submicron doped silicon particles
US9199435B2 (en) 2001-01-26 2015-12-01 Nanogram Corporation Dispersions of silicon nanoparticles
US9475695B2 (en) 2013-05-24 2016-10-25 Nanogram Corporation Printable inks with silicon/germanium based nanoparticles with high viscosity alcohol solvents
CN111392699B (en) * 2020-03-27 2021-11-09 成都中建材光电材料有限公司 Preparation method of cadmium selenide

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918946B2 (en) * 2001-07-02 2005-07-19 Board Of Regents, The University Of Texas System Applications of light-emitting nanoparticles
FR2853307B1 (en) * 2003-04-07 2006-07-07 Centre Nat Rech Scient PROCESS FOR PREPARING A COMPOSITION OF NANOPARTICLES OF AT LEAST ONE CRYSTALLINE METAL OXIDE
EP2138458A1 (en) * 2004-04-19 2009-12-30 SDC Materials, LLC High throughput discovery of materials through vapor phase synthesis
US7446335B2 (en) * 2004-06-18 2008-11-04 Regents Of The University Of Minnesota Process and apparatus for forming nanoparticles using radiofrequency plasmas
CA2583486C (en) 2004-10-08 2016-02-09 Sdc Materials, Llc An apparatus for and method of sampling and collecting powders flowing in a gas stream
US7456443B2 (en) * 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
US20080277092A1 (en) 2005-04-19 2008-11-13 Layman Frederick P Water cooling system and heat transfer system
US8029698B2 (en) * 2005-04-19 2011-10-04 The Research Foundation Of State University Of New York Production of photoluminescent silicon nanoparticles having surfaces that are essentially free of residual oxygen
US20070003694A1 (en) * 2005-05-23 2007-01-04 Shivkumar Chiruvolu In-flight modification of inorganic particles within a reaction product flow
US20080206484A1 (en) * 2005-05-31 2008-08-28 The Regents Of The University Of California Graphitized Carbon Coatings for Composite Electrodes
US7897417B2 (en) * 2005-07-11 2011-03-01 National Research Council Of Canada Hybrid nanocomposite semiconductor material, and method of producing inorganic semiconductor therefor
JP2009504423A (en) * 2005-08-11 2009-02-05 イノヴァライト インコーポレイテッド Stable passivated group IV semiconductor nanoparticles, method for producing the same, and composition thereof
EP1760045A1 (en) * 2005-09-03 2007-03-07 Degussa GmbH Nanoscale silicon particles
JP4730034B2 (en) * 2005-09-20 2011-07-20 日新電機株式会社 Method for forming a substrate with silicon dots
KR101330402B1 (en) * 2005-10-17 2013-11-15 닛신 엔지니어링 가부시키가이샤 Process for producing ultrafine particles
WO2007051994A2 (en) * 2005-10-31 2007-05-10 Ucl Business Plc Nanoparticle and nanocomposite films
US7746451B1 (en) * 2006-01-18 2010-06-29 Louisiana Tech University Research Foundation, A Division of Louisiana Tech University Foundation On-chip microplasma systems
FI121669B (en) * 2006-04-19 2011-02-28 Beneq Oy Method and apparatus for coating glass
US9105776B2 (en) * 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
US8017860B2 (en) * 2006-05-15 2011-09-13 Stion Corporation Method and structure for thin film photovoltaic materials using bulk semiconductor materials
FR2901714B1 (en) * 2006-05-30 2008-09-05 Commissariat Energie Atomique GAS-PHASE PROCESS FOR THE PRODUCTION OF NANOMETER PARTICLES
US7967891B2 (en) * 2006-06-01 2011-06-28 Inco Limited Method producing metal nanopowders by decompositon of metal carbonyl using an induction plasma torch
WO2008060704A2 (en) * 2006-06-02 2008-05-22 Innovalight, Inc. Photoactive materials containing group iv nanostructures and optoelectronic devices made therefrom
US7803210B2 (en) * 2006-08-09 2010-09-28 Napra Co., Ltd. Method for producing spherical particles having nanometer size, crystalline structure, and good sphericity
US8609060B1 (en) * 2006-08-15 2013-12-17 U.S. Department Of Energy Method of producing carbon coated nano- and micron-scale particles
WO2008030966A1 (en) * 2006-09-07 2008-03-13 Innovalight, Inc. Semiconductor thin films formed from group iv nanoparticles
US8020508B2 (en) * 2006-09-19 2011-09-20 The Board Of Regents Of The University Of Oklahoma Methods and apparatus for depositing nanoparticles on a substrate
US7687349B2 (en) * 2006-10-30 2010-03-30 Atmel Corporation Growth of silicon nanodots having a metallic coating using gaseous precursors
US20100095806A1 (en) * 2006-11-02 2010-04-22 Ed Robinson Method and apparatus for collecting nano-particles
EP2089897A2 (en) * 2006-12-07 2009-08-19 Innovalight, Inc. Methods for creating a densified group iv semiconductor nanoparticle thin film
WO2008091393A2 (en) * 2006-12-21 2008-07-31 Innovalight, Inc. Group iv nanoparticles and films thereof
US7718707B2 (en) * 2006-12-21 2010-05-18 Innovalight, Inc. Method for preparing nanoparticle thin films
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
US7862782B2 (en) 2007-01-12 2011-01-04 Wisconsin Alumni Research Foundation Apparatus and methods for producing nanoparticles in a dense fluid medium
US20080191193A1 (en) * 2007-01-22 2008-08-14 Xuegeng Li In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
US20080220175A1 (en) 2007-01-22 2008-09-11 Lorenzo Mangolini Nanoparticles wtih grafted organic molecules
WO2008108265A1 (en) * 2007-03-05 2008-09-12 Konica Minolta Medical & Graphic, Inc. Method for producing semiconductor nanoparticle and semiconductor nanoparticle
JP5371789B2 (en) * 2007-03-12 2013-12-18 日東電工株式会社 Nanoscale phosphor particles having high quantum efficiency and synthesis method thereof
WO2008118865A2 (en) * 2007-03-27 2008-10-02 Innovalight, Inc. Optimized laser pyrolysis reactor and methods therefor
WO2008124400A1 (en) * 2007-04-04 2008-10-16 Innovalight, Inc. Methods for optimizing thin film formation with reactive gases
JP2010526933A (en) * 2007-04-20 2010-08-05 リージェンツ オブ ザ ユニバーシティ オブ ミネソタ Growth of coatings on nanoparticles by light-induced chemical vapor deposition
KR100913886B1 (en) * 2007-05-04 2009-08-26 삼성전자주식회사 Devices and Methods for preparing Nano Particle using Pulse cold Plasma
US20080300918A1 (en) * 2007-05-29 2008-12-04 Commercenet Consortium, Inc. System and method for facilitating hospital scheduling and support
US8071179B2 (en) * 2007-06-29 2011-12-06 Stion Corporation Methods for infusing one or more materials into nano-voids if nanoporous or nanostructured materials
US7919400B2 (en) * 2007-07-10 2011-04-05 Stion Corporation Methods for doping nanostructured materials and nanostructured thin films
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
US20090020411A1 (en) * 2007-07-20 2009-01-22 Holunga Dean M Laser pyrolysis with in-flight particle manipulation for powder engineering
US8105649B1 (en) * 2007-08-09 2012-01-31 Imaging Systems Technology Fabrication of silicon carbide shell
US20090053878A1 (en) * 2007-08-21 2009-02-26 Maxim Kelman Method for fabrication of semiconductor thin films using flash lamp processing
US8287942B1 (en) 2007-09-28 2012-10-16 Stion Corporation Method for manufacture of semiconductor bearing thin film material
US8614396B2 (en) * 2007-09-28 2013-12-24 Stion Corporation Method and material for purifying iron disilicide for photovoltaic application
US8759671B2 (en) * 2007-09-28 2014-06-24 Stion Corporation Thin film metal oxide bearing semiconductor material for single junction solar cell devices
US8058092B2 (en) 2007-09-28 2011-11-15 Stion Corporation Method and material for processing iron disilicide for photovoltaic application
US9630162B1 (en) 2007-10-09 2017-04-25 University Of Louisville Research Foundation, Inc. Reactor and method for production of nanostructures
US8507401B1 (en) 2007-10-15 2013-08-13 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8187434B1 (en) 2007-11-14 2012-05-29 Stion Corporation Method and system for large scale manufacture of thin film photovoltaic devices using single-chamber configuration
US8440903B1 (en) 2008-02-21 2013-05-14 Stion Corporation Method and structure for forming module using a powder coating and thermal treatment process
US8772078B1 (en) 2008-03-03 2014-07-08 Stion Corporation Method and system for laser separation for exclusion region of multi-junction photovoltaic materials
US8075723B1 (en) 2008-03-03 2011-12-13 Stion Corporation Laser separation method for manufacture of unit cells for thin film photovoltaic materials
US7851336B2 (en) * 2008-03-13 2010-12-14 Innovalight, Inc. Method of forming a passivated densified nanoparticle thin film on a substrate
US8247312B2 (en) * 2008-04-24 2012-08-21 Innovalight, Inc. Methods for printing an ink on a textured wafer surface
USD627900S1 (en) 2008-05-07 2010-11-23 SDCmaterials, Inc. Glove box
US7939454B1 (en) 2008-05-31 2011-05-10 Stion Corporation Module and lamination process for multijunction cells
US8642138B2 (en) 2008-06-11 2014-02-04 Stion Corporation Processing method for cleaning sulfur entities of contact regions
US9087943B2 (en) * 2008-06-25 2015-07-21 Stion Corporation High efficiency photovoltaic cell and manufacturing method free of metal disulfide barrier material
US8003432B2 (en) 2008-06-25 2011-08-23 Stion Corporation Consumable adhesive layer for thin film photovoltaic material
US8207008B1 (en) 2008-08-01 2012-06-26 Stion Corporation Affixing method and solar decal device using a thin film photovoltaic
WO2010014979A1 (en) * 2008-08-01 2010-02-04 The Regents Of The University Of Colorado Methods for the preparation of germanium and silicon nanocrystals
JP5773438B2 (en) * 2008-09-03 2015-09-02 ダウ コーニング コーポレーションDow Corning Corporation Low pressure radio frequency pulsed plasma reactor system for producing nanoparticles
US7855089B2 (en) * 2008-09-10 2010-12-21 Stion Corporation Application specific solar cell and method for manufacture using thin film photovoltaic materials
US8476104B1 (en) 2008-09-29 2013-07-02 Stion Corporation Sodium species surface treatment of thin film photovoltaic cell and manufacturing method
US8394662B1 (en) 2008-09-29 2013-03-12 Stion Corporation Chloride species surface treatment of thin film photovoltaic cell and manufacturing method
US8026122B1 (en) 2008-09-29 2011-09-27 Stion Corporation Metal species surface treatment of thin film photovoltaic cell and manufacturing method
US8236597B1 (en) 2008-09-29 2012-08-07 Stion Corporation Bulk metal species treatment of thin film photovoltaic cell and manufacturing method
US8501521B1 (en) 2008-09-29 2013-08-06 Stion Corporation Copper species surface treatment of thin film photovoltaic cell and manufacturing method
US8008112B1 (en) 2008-09-29 2011-08-30 Stion Corporation Bulk chloride species treatment of thin film photovoltaic cell and manufacturing method
US8008110B1 (en) 2008-09-29 2011-08-30 Stion Corporation Bulk sodium species treatment of thin film photovoltaic cell and manufacturing method
US7910399B1 (en) * 2008-09-30 2011-03-22 Stion Corporation Thermal management and method for large scale processing of CIS and/or CIGS based thin films overlying glass substrates
US7947524B2 (en) * 2008-09-30 2011-05-24 Stion Corporation Humidity control and method for thin film photovoltaic materials
US7863074B2 (en) * 2008-09-30 2011-01-04 Stion Corporation Patterning electrode materials free from berm structures for thin film photovoltaic cells
US8383450B2 (en) * 2008-09-30 2013-02-26 Stion Corporation Large scale chemical bath system and method for cadmium sulfide processing of thin film photovoltaic materials
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US8741689B2 (en) * 2008-10-01 2014-06-03 Stion Corporation Thermal pre-treatment process for soda lime glass substrate for thin film photovoltaic materials
US20110018103A1 (en) 2008-10-02 2011-01-27 Stion Corporation System and method for transferring substrates in large scale processing of cigs and/or cis devices
US8003430B1 (en) 2008-10-06 2011-08-23 Stion Corporation Sulfide species treatment of thin film photovoltaic cell and manufacturing method
US8435826B1 (en) 2008-10-06 2013-05-07 Stion Corporation Bulk sulfide species treatment of thin film photovoltaic cell and manufacturing method
USD625695S1 (en) 2008-10-14 2010-10-19 Stion Corporation Patterned thin film photovoltaic module
US8168463B2 (en) 2008-10-17 2012-05-01 Stion Corporation Zinc oxide film method and structure for CIGS cell
US8344243B2 (en) * 2008-11-20 2013-01-01 Stion Corporation Method and structure for thin film photovoltaic cell using similar material junction
US20120107592A1 (en) * 2008-12-17 2012-05-03 Vasilev Krasimir A Active polymeric films
US9013689B2 (en) * 2009-01-09 2015-04-21 Trustees Of Boston University Engineered SERS substrates employing nanoparticle cluster arrays with multiscale signal enhancement
US8257826B1 (en) 2009-04-08 2012-09-04 Lockheed Martin Corporation Nanoporous coating synthesis and apparatus
CN101559946B (en) * 2009-04-27 2011-01-05 浙江大学 Method and device for preparing silicon nanoparticles by utilizing plasma body
CN102449111B (en) * 2009-06-01 2014-12-24 日东电工株式会社 Luminescent ceramic and light-emitting device using the same
USD628332S1 (en) 2009-06-12 2010-11-30 Stion Corporation Pin striped thin film solar module for street lamp
USD662040S1 (en) 2009-06-12 2012-06-19 Stion Corporation Pin striped thin film solar module for garden lamp
USD632415S1 (en) 2009-06-13 2011-02-08 Stion Corporation Pin striped thin film solar module for cluster lamp
USD662041S1 (en) 2009-06-23 2012-06-19 Stion Corporation Pin striped thin film solar module for laptop personal computer
USD652262S1 (en) 2009-06-23 2012-01-17 Stion Corporation Pin striped thin film solar module for cooler
US8507786B1 (en) 2009-06-27 2013-08-13 Stion Corporation Manufacturing method for patterning CIGS/CIS solar cells
USD627696S1 (en) 2009-07-01 2010-11-23 Stion Corporation Pin striped thin film solar module for recreational vehicle
US8398772B1 (en) 2009-08-18 2013-03-19 Stion Corporation Method and structure for processing thin film PV cells with improved temperature uniformity
US8809096B1 (en) 2009-10-22 2014-08-19 Stion Corporation Bell jar extraction tool method and apparatus for thin film photovoltaic materials
US9950926B2 (en) * 2009-11-09 2018-04-24 The University Of Kentucky Research Foundation Method for production of germanium nanowires encapsulated within multi-walled carbon nanotubes
US8697479B2 (en) 2009-11-19 2014-04-15 Nitto Denko Corporation Method for producing nanoparticles
ITMI20092107A1 (en) 2009-11-30 2011-06-01 Milano Politecnico METHOD AND APPARATUS FOR DEPOSITION OF THIN NANOSTRUCTURED LAYERS WITH CONTROLLED MORPHOLOGY AND NANOSTRUCTURE
US9119309B1 (en) 2009-12-15 2015-08-25 SDCmaterials, Inc. In situ oxide removal, dispersal and drying
US20110144382A1 (en) * 2009-12-15 2011-06-16 SDCmaterials, Inc. Advanced catalysts for fine chemical and pharmaceutical applications
US8470112B1 (en) 2009-12-15 2013-06-25 SDCmaterials, Inc. Workflow for novel composite materials
US9149797B2 (en) * 2009-12-15 2015-10-06 SDCmaterials, Inc. Catalyst production method and system
US8652992B2 (en) * 2009-12-15 2014-02-18 SDCmaterials, Inc. Pinning and affixing nano-active material
US8545652B1 (en) 2009-12-15 2013-10-01 SDCmaterials, Inc. Impact resistant material
US8803025B2 (en) 2009-12-15 2014-08-12 SDCmaterials, Inc. Non-plugging D.C. plasma gun
US9126191B2 (en) 2009-12-15 2015-09-08 SDCmaterials, Inc. Advanced catalysts for automotive applications
US8557727B2 (en) * 2009-12-15 2013-10-15 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8859880B2 (en) 2010-01-22 2014-10-14 Stion Corporation Method and structure for tiling industrial thin-film solar devices
US8263494B2 (en) 2010-01-25 2012-09-11 Stion Corporation Method for improved patterning accuracy for thin film photovoltaic panels
ES2363900B1 (en) * 2010-02-04 2012-06-25 Universidad De Barcelona PROCEDURE AND REACTOR FOR THE OBTAINING OF NANOPARTICLES.
EP2542502A1 (en) * 2010-03-01 2013-01-09 Dow Corning Corporation Photoluminescent nanoparticles and method for preparation
US9096930B2 (en) 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
DE102011050112A1 (en) 2010-05-05 2011-11-10 Industrieanlagen-Betriebsgesellschaft Mbh Producing coated particle, comprises evaporating a first starting material, and condensing below formation of particles, which are subsequently coated below supply of a second starting material
CA2800929C (en) * 2010-06-29 2014-09-16 Umicore Submicron sized silicon powder with low oxygen content
US8461061B2 (en) 2010-07-23 2013-06-11 Stion Corporation Quartz boat method and apparatus for thin film thermal treatment
US8628997B2 (en) 2010-10-01 2014-01-14 Stion Corporation Method and device for cadmium-free solar cells
US8920739B2 (en) * 2010-10-06 2014-12-30 King Abddulaziz City For Science And Technology Increased efficiency in the synthesis of carbon nanomaterial
US8998606B2 (en) 2011-01-14 2015-04-07 Stion Corporation Apparatus and method utilizing forced convection for uniform thermal treatment of thin film devices
US8728200B1 (en) 2011-01-14 2014-05-20 Stion Corporation Method and system for recycling processing gas for selenization of thin film photovoltaic materials
US8669202B2 (en) 2011-02-23 2014-03-11 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
EP2726427A1 (en) * 2011-06-30 2014-05-07 AGC Glass Europe Temperable and non-temperable transparent nanocomposite layers
CN103874538B (en) 2011-07-08 2017-02-15 Pst传感器(私人)有限公司 Method of producing nanoparticles
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8436445B2 (en) 2011-08-15 2013-05-07 Stion Corporation Method of manufacture of sodium doped CIGS/CIGSS absorber layers for high efficiency photovoltaic devices
RU2014110365A (en) 2011-08-19 2015-09-27 ЭсДиСиМАТИРИАЛЗ, ИНК. COATED SUBSTRATES FOR USE IN CATALYSIS, CATALYTIC CONVERTERS AND METHODS OF COATING SUBSTRATES WITH OXIDE COATING COMPOSITIONS
US9789554B2 (en) 2011-10-12 2017-10-17 The Regents Of The University Of California Nanomaterials fabricated using spark erosion and other particle fabrication processes
EP2636446A1 (en) * 2012-03-06 2013-09-11 Vito NV Plasma mediated method for producing catalysts
JP2013197187A (en) 2012-03-16 2013-09-30 Toshiba Corp Semiconductor device and method for manufacturing the same
US9123538B2 (en) 2012-04-26 2015-09-01 Regents Of The University Of Minnesota Silicon nanocrystal inks, films, and methods
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9511352B2 (en) 2012-11-21 2016-12-06 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9156025B2 (en) 2012-11-21 2015-10-13 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
FR2998716B1 (en) * 2012-11-26 2016-04-29 Commissariat Energie Atomique METHOD FOR MANUFACTURING ELECTRODE FOR LITHIUM ACCUMULATOR AND RELATED ELECTRODE
USRE48454E1 (en) * 2013-03-14 2021-03-02 Shoei Electronic Materials, Inc. Continuous flow reactor for the synthesis of nanoparticles
WO2014153266A2 (en) * 2013-03-14 2014-09-25 Shoei Electronic Materials, Inc. Continuous flow reactor for the synthesis of nanoparticles
WO2014186540A1 (en) 2013-05-15 2014-11-20 Dow Corning Corporation Method of recovering nanoparticles from a silicone material
US20140339474A1 (en) * 2013-05-15 2014-11-20 Dow Corning Corporation Silicone composition comprising nanoparticles and cured product formed therefrom
EP3024571B1 (en) 2013-07-25 2020-05-27 Umicore AG & Co. KG Washcoats and coated substrates for catalytic converters
US9574135B2 (en) * 2013-08-22 2017-02-21 Nanoco Technologies Ltd. Gas phase enhancement of emission color quality in solid state LEDs
KR101456939B1 (en) * 2013-09-16 2014-11-03 대진대학교 산학협력단 In Situ Manufacturing System For Core-Shell Nanoparticles And Method Thereof
KR20160074574A (en) 2013-10-22 2016-06-28 에스디씨머티리얼스, 인코포레이티드 COMPOSITIONS OF LEAN NOx TRAP
CN106061600A (en) 2013-10-22 2016-10-26 Sdc材料公司 Catalyst design for heavy-duty diesel combustion engines
US11802337B1 (en) 2014-01-28 2023-10-31 United States of America as Administrator of NASA Atmospheric pressure plasma based fabrication process of printable electronics and functional coatings
JP6519027B2 (en) * 2014-02-24 2019-05-29 国立大学法人名古屋大学 Radical source and molecular beam epitaxy apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3119500A4 (en) 2014-03-21 2017-12-13 SDC Materials, Inc. Compositions for passive nox adsorption (pna) systems
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
WO2015148843A1 (en) 2014-03-27 2015-10-01 Dow Corning Corporation Electromagnetic radiation emitting device
US20150325328A1 (en) * 2014-04-18 2015-11-12 Regents Of The University Of Minnesota Group iv nanocrystals having a surface substantially free of oxygen
CA2947531C (en) * 2014-05-14 2022-06-28 Amastan Technologies Llc Method for the densification and spheroidization of solid and solution precursor droplets of materials using plasma
FI3718965T3 (en) * 2014-06-25 2023-08-30 Univ California System and methods for fabricating boron nitride nanostructures
JP6403358B2 (en) 2014-08-07 2018-10-10 学校法人沖縄科学技術大学院大学学園 Stable soft magnetic nanoparticles and method for producing the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101708333B1 (en) * 2014-12-02 2017-02-21 한국에너지기술연구원 MANUFACTURING DEVICE OF Si NANOPARTICLES USING MICROWAVE PLASMA AND MANUFACTURING METHOD USING THE SAME
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416031B2 (en) * 2015-03-30 2018-10-31 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102447531B1 (en) * 2015-08-05 2022-09-27 재단법인 철원플라즈마 산업기술연구원 A Spheroid of Ntrides-based Nanocomposite, having a Single or Multi Core-Shell Structure
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017184194A1 (en) * 2016-04-20 2017-10-26 Hrl Laboratories, Llc Apparatus for making nanoparticles and nanoparticle suspensions
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3463677A4 (en) * 2016-06-01 2020-02-05 Arizona Board of Regents on behalf of Arizona State University System and methods for deposition spray of particulate coatings
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180025889A1 (en) * 2016-07-22 2018-01-25 Regents Of The University Of Minnesota Nonthermal plasma synthesis
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
WO2018035152A1 (en) 2016-08-15 2018-02-22 Advanced Energy Materials, Llc Flame based fluidized bed reactor for nanomaterials production
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10543534B2 (en) * 2016-11-09 2020-01-28 Amastan Technologies Inc. Apparatus and method for the production of quantum particles
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
GB201701292D0 (en) * 2017-01-26 2017-03-15 Univ Ulster Method and apparatus for producing nanoscale materials
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018157256A1 (en) * 2017-03-03 2018-09-07 HYDRO-QUéBEC Nanoparticles comprising a core covered with a passivation layer, process for manufacture and uses thereof
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101953379B1 (en) * 2017-05-11 2019-02-28 한국표준과학연구원 Apparatus for evaluating contaminated particles of durability parts used in plasma equipment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
EP3692563A4 (en) 2017-10-01 2021-07-07 Space Foundry Inc. Modular print head assembly for plasma jet printing
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP6543753B2 (en) * 2018-08-07 2019-07-10 アマスタン・テクノロジーズ・エル・エル・シー Method of densifying and spheroidizing solution precursor droplets of solid materials and materials using plasma
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
KR102198464B1 (en) * 2018-10-26 2021-01-05 포톤데이즈(주) An Apparatus for Treating a Medical Material with a Plasma
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
WO2020142282A2 (en) * 2018-12-31 2020-07-09 Dow Silicones Corporation Composition for personal care, method of preparing the composition, and treatment method involving the composition
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US20220356395A1 (en) * 2019-09-29 2022-11-10 Board Of Trustees Of Michigan State University Gallium indium nitride nanocrystals
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR102434399B1 (en) * 2020-05-28 2022-08-19 성균관대학교산학협력단 Method for producing transition metal dichalcogenide-graphene hetero junction composite using plasma
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US20020040765A1 (en) * 2000-10-06 2002-04-11 Kawasaki Microelectronics Inc. Apparatus and methods for processing surface of semiconductor substrate
US20020132045A1 (en) * 2000-09-27 2002-09-19 Halas Nancy J. Method of making nanoshells

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4400409A (en) 1980-05-19 1983-08-23 Energy Conversion Devices, Inc. Method of making p-doped silicon films
EP0089382B1 (en) * 1982-03-18 1986-08-20 Ibm Deutschland Gmbh Plasma-reactor and its use in etching and coating substrates
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4583492A (en) 1983-12-19 1986-04-22 United Technologies Corporation High rate, low temperature silicon deposition system
DE3402971A1 (en) * 1984-01-28 1985-08-01 Philips Patentverwaltung Gmbh, 2000 Hamburg DEVICE FOR COATING A SUBSTRATE BY MEANS OF PLASMA-CHEMICAL VAPOR DEPOSITION OR HIGH-FREQUENCY CATHODE SPRAYING
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH06104898B2 (en) * 1988-01-13 1994-12-21 忠弘 大見 Decompression surface treatment equipment
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
KR100302167B1 (en) * 1993-11-05 2001-11-22 히가시 데쓰로 Plasma Treatment Equipment and Plasma Treatment Methods
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US5686789A (en) 1995-03-14 1997-11-11 Osram Sylvania Inc. Discharge device having cathode with micro hollow array
US5695617A (en) * 1995-11-22 1997-12-09 Dow Corning Corporation Silicon nanoparticles
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US5850064A (en) * 1997-04-11 1998-12-15 Starfire Electronics Development & Marketing, Ltd. Method for photolytic liquid phase synthesis of silicon and germanium nanocrystalline materials
US5958329A (en) 1997-11-06 1999-09-28 United States Enrichment Corporation Method and apparatus for producing nanoparticles at a high rate
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
JP4714309B2 (en) * 1998-12-11 2011-06-29 サーフィス テクノロジー システムズ ピーエルシー Plasma processing equipment
US6433480B1 (en) 1999-05-28 2002-08-13 Old Dominion University Direct current high-pressure glow discharges
WO2001004379A1 (en) 1999-07-13 2001-01-18 Unaxis Balzers Aktiengesellschaft Installation and method for vacuum treatment or the production of powder
JP4592867B2 (en) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
US6819845B2 (en) * 2001-08-02 2004-11-16 Ultradots, Inc. Optical devices with engineered nonlinear nanocomposite materials
US6623559B2 (en) * 2001-12-10 2003-09-23 Nanotek Instruments, Inc. Method for the production of semiconductor quantum particles
US6688494B2 (en) 2001-12-20 2004-02-10 Cima Nanotech, Inc. Process for the manufacture of metal nanoparticle
US6872645B2 (en) * 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US20030227737A1 (en) * 2002-06-04 2003-12-11 Applied Materials, Inc. Method and apparatus for fabricating a protective layer on a chuck
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7446335B2 (en) * 2004-06-18 2008-11-04 Regents Of The University Of Minnesota Process and apparatus for forming nanoparticles using radiofrequency plasmas
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US20020132045A1 (en) * 2000-09-27 2002-09-19 Halas Nancy J. Method of making nanoshells
US20020040765A1 (en) * 2000-10-06 2002-04-11 Kawasaki Microelectronics Inc. Apparatus and methods for processing surface of semiconductor substrate

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435477B2 (en) 1997-07-21 2013-05-07 Nanogram Corporation Dispersions of submicron doped silicon particles
US9175174B2 (en) 2000-10-17 2015-11-03 Nanogram Corporation Dispersions of submicron doped silicon particles
US9199435B2 (en) 2001-01-26 2015-12-01 Nanogram Corporation Dispersions of silicon nanoparticles
US9448331B2 (en) 2001-01-26 2016-09-20 Nanogram Corporation Dispersions of blends of silicon nanoparticles and silica nanoparticles
US8623951B2 (en) 2001-08-03 2014-01-07 Nanogram Corporation Silicon nanoparticle dispersions
US9000083B2 (en) 2001-08-03 2015-04-07 Nanogram Corporation Silicon nanoparticle dispersions
US8399878B2 (en) 2007-01-03 2013-03-19 Nanogram Corporation Silicon/germanium oxide particle inks and processes for forming solar cell components and for forming optical components
US8895962B2 (en) 2010-06-29 2014-11-25 Nanogram Corporation Silicon/germanium nanoparticle inks, laser pyrolysis reactors for the synthesis of nanoparticles and associated methods
US9006720B2 (en) 2010-06-29 2015-04-14 Nanogram Corporation Silicon/germanium nanoparticles and inks having low metal contamination
US9475695B2 (en) 2013-05-24 2016-10-25 Nanogram Corporation Printable inks with silicon/germanium based nanoparticles with high viscosity alcohol solvents
CN111392699B (en) * 2020-03-27 2021-11-09 成都中建材光电材料有限公司 Preparation method of cadmium selenide

Also Published As

Publication number Publication date
US20090056628A1 (en) 2009-03-05
US7446335B2 (en) 2008-11-04
WO2006009881A2 (en) 2006-01-26
JP2008508166A (en) 2008-03-21
US20060051505A1 (en) 2006-03-09
US8016944B2 (en) 2011-09-13
DE112005001429T5 (en) 2007-04-26

Similar Documents

Publication Publication Date Title
WO2006009881A3 (en) Process and apparatus for forming nanoparticles using radiofrequency plasmas
May et al. Deposition of NCD films using hot filament CVD and Ar/CH4/H2 gas mixtures
TW200603291A (en) Method of impurity introduction, impurity introduction apparatus and semiconductor device produced with use of the method
JP2011071498A5 (en) Method for manufacturing semiconductor device
GB0222536D0 (en) Plasma enhanced chemical vapor deposition apparatus and method of producing carbon nanotube using the same
EP1598452A4 (en) Silicon wafer, process for producing the same and method of growing silicon single crystal
SG147394A1 (en) Hydrogen ashing enhanced with water vapor and diluent gas
WO2011005807A3 (en) Process to make electrochemically active/ inactive nanocomposite material
TW200615715A (en) Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
ATE402118T1 (en) METHOD AND DEVICE FOR APPLYING ECR PLASMA TO SINGLE-WALLED CARBON NANOTUBE AND PRESERVED NANOTUBE
EP1500433A4 (en) Catalyst for partial oxidation of hydrocarbon, process for producing the same, process for producing hydrogen-containing gas with the use of the catalyst and method of using hydrogen-containing gas produced with the use of the catalyst
WO2005094230A3 (en) Hollow nanocrystals and method of making
WO2007081492A3 (en) High growth rate methods of producing high-quality diamonds
DE602008003031D1 (en) PROCESS FOR PRODUCING TRANSITION METAL NANOPARTICLES
EP1731480A4 (en) Method for producing f2-containing gas, apparatus for producing f2-containing gas, method for modifying article surface, and apparatus for modifying article surface
WO2006120449A8 (en) Nanostructure production methods and apparatus
WO2005113854A3 (en) Apparatus and methods of making nanostructures by inductive heating
WO2008149643A1 (en) Plasma doping apparatus and plasma doping method
AU2003217000A1 (en) Process and apparatus for producing hydrogen from hydrocarbon fuels
WO2007102875A3 (en) Methods of producing hydrogen using nanotubes and articles thereof
WO2004048258A3 (en) Method for forming carbon nanotubes
TW200735183A (en) Method for production of silicon carbide layer, gallium nitride semiconductor device and silicon substrate
EP1829916A4 (en) Ethylene-tetrafluoroethylene copolymer molding and process for producing the same
ATE393757T1 (en) METHOD AND DEVICE FOR PRODUCING HIGHER FULLERENES AND NANOTUBE
EP1596047A4 (en) Plasma reaction vessel, and method of producing the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007516797

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1120050014290

Country of ref document: DE

RET De translation (de og part 6b)

Ref document number: 112005001429

Country of ref document: DE

Date of ref document: 20070426

Kind code of ref document: P

WWE Wipo information: entry into national phase

Ref document number: 112005001429

Country of ref document: DE

122 Ep: pct application non-entry in european phase