WO2005076381A1 - Vertical nanotube semiconducteur device structures and methods of forming the same - Google Patents

Vertical nanotube semiconducteur device structures and methods of forming the same Download PDF

Info

Publication number
WO2005076381A1
WO2005076381A1 PCT/EP2005/050127 EP2005050127W WO2005076381A1 WO 2005076381 A1 WO2005076381 A1 WO 2005076381A1 EP 2005050127 W EP2005050127 W EP 2005050127W WO 2005076381 A1 WO2005076381 A1 WO 2005076381A1
Authority
WO
WIPO (PCT)
Prior art keywords
nanotube
semiconducting
gate electrode
device structure
plate
Prior art date
Application number
PCT/EP2005/050127
Other languages
French (fr)
Inventor
Toshiharu Furukawa
Mark Charles Hakey
Steven John Holmes
David Vaclav Horak
Iii Charles William Koburger
Original Assignee
International Business Machines Corporation
Ibm United Kingdom Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation, Ibm United Kingdom Limited filed Critical International Business Machines Corporation
Priority to JP2006550156A priority Critical patent/JP2007520072A/en
Priority to EP05701510A priority patent/EP1708960A1/en
Publication of WO2005076381A1 publication Critical patent/WO2005076381A1/en
Priority to IL177125A priority patent/IL177125A0/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/491Vertical transistors, e.g. vertical carbon nanotube field effect transistors [CNT-FETs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs

Definitions

  • the invention relates to semiconductor device fabrication and, more particularly, to vertical semiconductor device structures, such as field effect transistors and capacitors, incorporating nanotubes as a device element and methods of fabricating such vertical semiconductor device structures.
  • FET's Traditional field effect transistors
  • IC integrated circuit
  • a single IC chip may feature many thousands to millions of FET's, along with other passive components such as resistors and capacitors, interconnected by conductive paths.
  • FET's operate by varying the resistivity of a channel in a channel region separating a source and a drain. Carriers flow from the source to the drain through the channel in proportion to the variation in electrical resistivity. Electrons are responsible for channel conduction in n-channel FET's and, in p-channel FET's, holes are responsible for conduction in the channel.
  • the output current of the FET is varied by application of a voltage to an electrostatically-coupled gate electrode located above the channel region between the source and drain.
  • a thin gate dielectric insulates the gate electrode electrically from the channel region.
  • a small change in gate voltage can cause a large variation in the current flowing from the source to the drain.
  • FET's can be classified into horizontal architectures and vertical architectures.
  • Horizontal FET's exhibit carrier flow from source to drain in a direction parallel to the horizontal plane of the substrate on which they are formed.
  • Vertical FET's exhibit carrier flow from source to drain in a direction vertical to the horizontal plane of the substrate on which they are formed. Because channel length for vertical FET's does not depend on the smallest feature size resolvable by lithographic equipment and methods, vertical FET's can be made with a shorter channel length than horizontal FET's. Consequently, vertical FET's can switch faster and possess a higher power handling capacity than horizontal FET's.
  • Carbon nanotubes are nanoscale high-aspect-ratio cylinders of carbon atoms proposed for use in forming hybrid devices. Carbon nanotubes efficiently conduct in their conducting form and act as a semiconductor in their semiconductor form. Horizontal FET's have been fabricated using a single semiconducting carbon nanotube as a channel region and forming ohmic contacts at opposite ends of the carbon nanotube extending between a gold source electrode and a gold drain electrode situated on the surface of a substrate. A gate electrode is defined in the substrate underlying the carbon nanotube and generally between the source and drain electrodes. An oxidized exposed surface of the substrate defines a gate dielectric between the buried gate electrode and the carbon nanotube.
  • Horizontal FET's should switch reliably while consuming significantly less power than a comparable silicon-based device structure due to the small dimensions of the carbon nanotube.
  • Horizontal FET's have been successfully formed under laboratory conditions by manipulating single carbon nanotubes using an atomic force microscope or coincidental placement of a single nanotube from a dispersed group of nanotubes.
  • these methods of forming such horizontal FET device structures are incompatible with mass production techniques.
  • a vertical semiconductor device structure includes a substrate defining a substantially horizontal plane, a gate electrode projecting vertically from the substrate, and at least one semiconducting nanotube extending vertically through the gate electrode between opposite first and second ends.
  • a gate dielectric which may be disposed on the at least one semiconducting nanotube, electrically insulates the at least one semiconducting nanotube from the gate electrode.
  • a source is electrically coupled with the first end of the at least one semiconducting nanotube and a drain is electrically coupled with the second end of the at least one semiconducting nanotube.
  • a method of forming a semiconductor device structure includes forming a conductive pad on a substrate and then growing at least one semiconducting nanotube extending substantially vertically from the conductive pad between a first end electrically coupled with the conductive pad and a second free end. The method further includes electrically insulating the at least one semiconducting nanotube with a gate dielectric and forming a gate electrode electrically insulated from and overlying the conductive pad, with the at least one semiconductor nanotube extending vertically through the gate electrode. A contact is formed that is electrically coupled with the second end of the at least one semiconducting nanotube and electrically insulated from the gate electrode.
  • Each semiconducting nanotube defines a channel region of a field effect transistor having a channel regulated by application of a control voltage to the gate electrode.
  • the length of the channel region between the source and drain is defined by the vertical dimension or thickness of the gate electrode without the limitations imposed by conventional lithographic processes used in semiconductor device fabrication to form channel regions in conventional field effect transistors.
  • a semiconductor device structure includes a substrate defining a substantially horizontal plane, a conductive first plate disposed on the substrate, and at least one nanotube projecting vertically from the first plate.
  • Each nanotube which may have a conducting molecular structure or a semiconducting molecular structure, is electrically coupled with the first plate.
  • a conductive second plate Positioned vertically above the first plate and the nanotube is a conductive second plate electrically isolated from the first plate and the carbon nanotube by a dielectric layer.
  • a method of forming a semiconductor device structure includes forming a conductive first plate on a substrate and growing at least one nanotube extending substantially vertically from the first plate that is electrically coupled with the first plate. The method further includes encasing each nanotube and covering the first plate with a dielectric layer, and forming a second plate overlying said first plate that is electrically isolated by the dielectric layer from each nanotube and the first plate.
  • FIG. 1 is a cross-sectional view of a portion of a substrate with carbon nanotubes grown vertically on a patterned conductive catalyst pad;
  • FIG. 2 is a cross-sectional view similar to FIG. 1 at a subsequent fabrication stage
  • FIG. 3 is a cross-sectional view similar to FIG. 2 at a subsequent fabrication stage
  • FIG. 4 is a cross-sectional view similar to FIG. 3 at a subsequent fabrication stage
  • FIG. 5 is a cross-sectional view similar to FIG. 4 at a subsequent fabrication stage;
  • FIG. 6 is a cross-sectional view similar to FIG. 5 at a subsequent fabrication stage;
  • FIG. 7 is a cross-sectional view similar to FIG. 6 at a subsequent fabrication stage
  • FIG. 8 is a cross-sectional view similar to FIG. 7 at a subsequent fabrication stage
  • FIG. 9 is a cross-sectional view similar to FIG. 2 at a subsequent fabrication stage in accordance with an alternative eirbodiment of the invention.
  • FIG. 10 is a cross-sectional view similar to FIG. 9 at a subsequent fabrication stage. Best Mode for Carrying Out the Invention
  • An example of the invention is directed to vertical field effect transistors (FET's) that utilize carbon nanotubes as a semiconducting material for the channel region providing a selective conduction path between a source and a drain when a voltage is applied to an electrostatically-coupled gate electrode.
  • FET's vertical field effect transistors
  • the length of the channel region between the source and drain is defined by the thickness of the gate electrode, which is substantially equal to the nanotube length, and is not dependent upon a resolution-limited lithographic process.
  • the carbon nanotubes do not have to be individually manipulated for placement between a source and a drain nor does device fabrication depend upon coincidental alignment with the source and drain of one or more nanotubes randomly dispersed on the device surface.
  • a catalyst pad 10 of a catalytic material suitable for supporting the growth of carbon nanotubes 14 is formed as part of a pattern of many pads 10 on a region of an insulating substrate 12. Carbon nanotubes 14 are oriented to extend substantially vertically upward from the catalyst pad 10.
  • the insulating substrate 12 may be formed on a wafer (not shown) composed of any suitable semiconductor material, including but not limited to silicon (Si) and gallium arsenide (GaAs), upon which an insulating substrate 12, such as silicon oxide, may be formed.
  • the catalyst pad 10 may be formed by depositing a blanket layer of the catalytic material on the insulating layer 12 by any conventional deposition technique including, but not limited to, chemical vapor deposition (CVD) using suitable precursors such as metal halides and metal carbonyls, sputtering, and physical vapor deposition (PVD) and then employing a standard lithographic and subtractive etch process to pattern the blanket layer.
  • CVD chemical vapor deposition
  • suitable precursors such as metal halides and metal carbonyls
  • PVD physical vapor deposition
  • the catalytic material in catalyst pads 10 is any material capable of nucleating and supporting the growth of carbon nanotubes 14 when exposed to appropriate reactants under chemical reaction conditions suitable to promote nanotube growth.
  • suitable catalytic materials include, but are not limited to, iron, platinum, nickel, cobalt, compounds of each of these metals, and alloys of each of these metals such as metal suicides.
  • Carbon nanotubes 14 are grown on the catalytic pad 10 by any suitable growth or deposition technique.
  • the carbon nanotubes 14 are grown by chemical vapor deposition (CVD) or plasma-enhanced CVD using any suitable gaseous or vaporized carbonaceous reactant including, but not limited to, carbon monoxide (CO), ethylene (C2H4), methane (CH4), acetylene (C2H4), a mixture of acetylene and ammonia (NH3), a mixture of acetylene and nitrogen (N2), a mixture of acetylene and hydrogen (H2), xylene (C6H4(CH3)2), and a mixture of xylene and ferrocene (Fe(C5H5)2) under growth conditions suitable for promoting carbon nanotube growth on the catalytic material forming the catalyst pad 10.
  • CO carbon monoxide
  • ethylene C2H4
  • methane CH4
  • acetylene C2H4
  • NH3
  • the carbonaceous reactant and catalyst pad 10 may be heated to a temperature adequate to promote and/or hasten CVD growth.
  • the reactant chemically reacts with the catalyst material of catalyst pad 10 to nucleate carbon nanotubes 14 and to sustain their growth after nucleation.
  • the catalyst material of the catalyst pad 10 participates in nanotube growth without itself being transformed or consumed by the chemical reaction transpiring at its exposed surface by reducing the activation energy for the reaction forming carbon nanotubes 14 to occur.
  • the carbon nanotubes 14 constitute hollow cylindrical tubes composed of precisely arranged groups including hexagonal rings of bonded carbon atoms.
  • the cylindrical tubes have a diameter ranging from about 0.5 nm to about 20 nm and a sidewall thickness of about 0.5 nm to about 3 nm.
  • the carbon nanotubes 14 are expected to have a statistical distribution of heights or lengths each measured between a free end or leading tip 16 and a bonded end or base 18.
  • the carbon nanotubes 14 extend on average substantially vertically upward from the catalyst pad 10 with a perpendicular or, at the least, approximately perpendicular orientation to the horizontal surface of the catalyst pad 10.
  • One or all of the carbon nanotubes 14 may be inclined slightly from the vertical direction, as defined herein and that the nanotube orientation may be characterized by a statistical distribution that, on average, is substantially vertical.
  • the nanotube density and spacing between adjacent carbon nanotubes 14 will depend, among other variables, upon the growth conditions.
  • the carbon nanotubes 14 will typically grow at substantially random spatial locations on the exposed surface area of the catalyst pad 10.
  • Carbon nanotubes 14 having a semiconducting electonic structure or molecular structure may be preferentially selected from among a random collection of as-grown nanotubes 14 including both metallic and semiconducting molecular structures by, for example, applying a current sufficiently high to destroy nanotubes 14 having the metallic (e.g., conducting) molecular structure.
  • Post-synthesis destruction of conducting carbon nanotubes is described in commonly-assigned U.S. Patent Number 6,423,583, which is hereby incorporated by reference herein in its entirety.
  • the nanotubes 14 may be composed of a material other than carbon characterized by a band gap and semiconductor properties.
  • horizontal as used herein is defined as a plane parallel to the conventional plane or surface of insulating substrate 12 and the underlying wafer, regardless of orientation.
  • vertical refers to a direction perpendicular to the horizontal, as just defined. Terms, such as “on”, “above”, “below”, “side” (as in “sidewall”), “higher”, “lower”, “over”, and “under”, are defined with respect to the horizontal plane.
  • a thin dielectric layer 20 is conformally deposited on the catalyst pad 10 and the insulating substrate 12.
  • Dielectric layer 20 may be constituted by silicon dioxide (SiO2) deposited by a low pressure chemical vapor deposition (LPCVD) process using tetraethylorthosilicate (TEOS) as the silicon precursor source.
  • TEOS tetraethylorthosilicate
  • the dielectric layer 20 also coats an exterior of each of the carbon nanotubes 14 along their respective height or length. Many other materials may be used instead of TEOS- based oxide, as long as electrical isolation is ensured.
  • the coatings on the carbon nanotubes 14 will define respective gate dielectrics 22 that participate as a feature in the structure of a field effect transistor (FET) device structure, as described below.
  • FET field effect transistor
  • a blanket layer 24 of a conducting material deposited on the insulating substrate 12 fills the vacant spaces between adjacent carbon nanotubes 14 and covers the nanotubes 14, insulating substrate 12, and catalyst pad 10. Blanket layer 24 is electrically isolated from the insulating substrate 12 by portions of dielectric layer 20. Suitable conducting materials for blanket layer 24 include, but are not limited to, doped polycrystalline silicon (polysilicon) and metals such as aluminum (Al), copper (Cu), gold (Au), molybdenum (Mo), tantalum (Ta), titanium (Ti), and tungsten (W).
  • polysilicon doped polycrystalline silicon
  • metals such as aluminum (Al), copper (Cu), gold (Au), molybdenum (Mo), tantalum (Ta), titanium (Ti), and tungsten (W).
  • Blanket layer 24 may be deposited by any suitable deposition process such as CVD by thermal decomposition/thermolysis of a metal-containing precursor such as metal halides and metal carbonyls, PVD, or sputtering.
  • a metal-containing precursor such as metal halides and metal carbonyls, PVD, or sputtering.
  • the thickness of the blanket layer 24 should completely cover the free ends of the insulator-covered carbon nanotubes 14.
  • Each gate dielectric 22 electrically isolates the corresponding carbon nanotube 14 from the blanket layer 24.
  • an exposed surface 26 of the blanket layer 24 is polished flat by a chemical-mechanical polishing (CMP) process or any other suitable pla- narization technique.
  • CMP processes involve a polishing or mechanical abrasion action aided chemically by a slurry introduced between a polishing pad and blanket layer 24.
  • the thickness of blanket layer 24 is reduced by removing material to a depth such that the leading tips 16 of a significant number of carbon nanotubes 14 are substantially coplanar with the exposed surface 26 and accessible for subsequent processing.
  • the exposed surface 26 of blanket layer 24 is removed selectively to the carbon nanotubes 14 so that the leading tips 16 project above the exposed surface 26.
  • Techniques for recessing exposed surface 26 include reactive ion etching (RIE) and wet etching with a suitable etchant solution.
  • RIE reactive ion etching
  • polysilicon or aluminum constituting blanket layer 24 may be etched by RIE using a chlorine-containing gas, a bromine-containing gas, or a mixture thereof, which is known to be selective to SiO2.
  • the residual thickness of blanket layer 24 determines the channel length of the FET device structure.
  • a recess 28 is fo ⁇ ned in the blanket layer 24 that extends vertically from exposed surface 26 to the depth of dielectric layer 20.
  • the recess 28 is formed by a standard lithographic and etch process that patterns blanket layer 24 to expose unmasked areas in which recess 28 and other similar recesses for other like device structures will be formed and masked areas, and then etched by, for example, a dry etch process using, for example, NH3 to remove the conductive material in the unmasked areas.
  • Dielectric layer 20 serves as an etch stop layer.
  • the blanket layer 24 is also partitioned or sectioned by a standard lithographic and etch process, which may be the same lithographic and etch process forming recess 28, into individual gate electrodes 30 that define the future location of individual FET device structures 42 (Fig. 8). Any carbon nanotubes 14 that may be present in the unmasked areas of blanket layer 24 are removed by the etch process forming recess 28 or may be eliminated by any other suitable process capable of removing the carbon nanotubes 14 from recess 28.
  • the invention contemplates that the order of the process steps described with regard to Figs. 4 and 5 may be reversed so that the individual gate electrodes 30 are formed before the exposed surface 26 is recessed to expose the leading tips 16 of carbon nanotubes 14.
  • an insulating layer 32 of a dielectric material is conformally provided that fills recess 28 and covers exposed areas of blanket layer 24 to cover the leading tips 16 of carbon nanotubes 14.
  • the insulating layer 32 may constitute, for example, silicon nitride (Si3N4) deposited using LPCVD or plasma- enhanced CVD using NH3 and silane (SiH4) as precursor sources or SiO2, which may be deposited by a CVD process using TEOS as a precursor source.
  • the portion of insulating layer 32 filling recess 28 electrically isolates the adjacent gate electrodes 30 from each other.
  • each carbon nanotube 14 is exposed above a planar recessed exposed surface 33 of insulating layer 32 and a length of the associated gate dielectric 22 is removed therefrom.
  • insulating layer 32 is polished flat by a CMP process or any other suitable planarization technique to define the exposed surface 33.
  • the exposed surface 33 is then further recessed relative to the carbon nanotubes 14 using one or more RIE processes that remove insulating layer 32 and gate dielectric 22 to the depth of the recessed exposed surface 33 selective to the carbon nanotubes 14.
  • a wet etch process using a suitable etchant solution, such as a buffered hydrofluoric acid (HF) solution may be used.
  • the carbon nanotubes 14 may have a distribution of lengths and although not shown, certain carbon nanotubes 14 may remain buried in the insulating layer 32 and/or the gate electrodes 30 after the exposed surface 33 is recessed.
  • gate contacts 36 and source contacts 38 are formed by defining contact openings in insulating layer 32 at appropriate locations with a standard lithographic and etch process and filling the contact openings with a conductive material.
  • the lithographic and etch process also defines areas of conductive material that operate as drain contacts 40.
  • Each gate contact 36 is electrically coupled, preferably ohmically, with one of the gate electrodes 30 and each source contact 38 is electrically coupled, preferably ohmically, with one of the catalytic pads 10.
  • Each drain contact 40 is electrically coupled, preferably ohmically, with the leading tips 16 of carbon nanotubes 14 extending through the associated one of the gate electrodes 30.
  • Contacts 36, 38 and 40 are electrically isolated from each other and are formed from any suitable conducting materials including, but not limited to, Au, Al, Cu, Mo, Ta, Ti, and W deposited by, for example, CVD, PVD or sputtering.
  • Standard back- end-of-the-line (BEOL) processing is used to fabricate and couple an interconnect structure linking contacts 36, 38 and 40 with corresponding contacts of adjacent FET's.
  • the completed device structure 42 forms an FET having a gate or gate region defined by one of the gate electrodes 30, a source or source region defined by catalyst pad 10 and source contact 38, a drain or drain region defined by drain contact 40, a semiconducting channel region defined collectively along the length of the carbon nanotubes 14 extending through the associated gate electrode 30 with a vertical orientation relative to the horizontal plane of the insulating substrate 12, and a gate dielectric defined by each of the individual gate dielectrics 22 covering the nanotubes 14.
  • Each completed device structure 42 is electrically coupled for device operation with additional circuit components (not shown) supported on adj cent regions of the insulating substrate 12.
  • Carriers flow selectively from the catalyst pad 10 through the carbon nanotubes 14 to the drain contact 40 when an electrical voltage is either applied via the corresponding gate contact 36 to one of the gate electrodes 30 to create a channel in the carbon nanotubes 14 extending therethrough.
  • the catalyst pad 10 and source contact 38 may serve as a drain region and drain contact 40 may act as a source region.
  • a capacitor device structure 50 may be formed by a different set of processing steps performed subsequent to the device fabrication stage illustrated in Fig. 2. Specifically, the blanket layer 24 of a conducting material is planarized to a depth that is above the dielectric-covered leading tips 16 of the carbon nanotubes 14 and a layer 52 of conducting material is applied to the planarized blanket layer 24. A contact (not shown) is electrically coupled with the catalyst pad 10 so that the catalyst pad 10 and the carbon nanotubes 14 supply one electrode or plate of the capacitor device structure 50 and the layer 52 supplies the opposite electrode or plate of the capacitor device structure 50. Dielectric layer 20 electrically isolates the two plates. The presence of the carbon nanotubes 14, which are covered by the dielectric layer 20, increases the effective surface area of one of the plates.
  • the carbon nanotubes 14 are semiconducting, a superimposed constant bias voltage must be applied to the plates of the capacitor device structure 50 so that the carbon nanotubes 14 conduct current.
  • the carbon nanotubes 14 may be grown under growth conditions suitable to provide the conducting molecular structure so that the constant bias voltage is not required.

Abstract

Vertical device structures incorporating at least one nanotube and methods for fabricating such device structures by chemical vapor deposition. Each nanotube is grown by chemical vapor deposition catalyzed by a catalyst pad and encased in a coating of a dielectric material. Vertical field effect transistors may be fashioned by forming a gate electrode about the encased nanotubes such that the encased nanotubes extend vertically through the thickness of the gate electrode. Capacitors may be fashioned in which the encased nanotubes and the corresponding catalyst pad bearing the encased nanotubes forms one capacitor plate.

Description

Description VERTICAL NANOTUBE SEMICONDUCTOR DEVICE STRUCTURES AND METHODS OF FORMING THE SAME Technical Field
[001] The invention relates to semiconductor device fabrication and, more particularly, to vertical semiconductor device structures, such as field effect transistors and capacitors, incorporating nanotubes as a device element and methods of fabricating such vertical semiconductor device structures. Background Art
[002] Traditional field effect transistors (FET's) are familiar conventional devices commonly incorporated as a fundamental building block into the intricate circuitry of integrated circuit (IC) chips. A single IC chip may feature many thousands to millions of FET's, along with other passive components such as resistors and capacitors, interconnected by conductive paths. FET's operate by varying the resistivity of a channel in a channel region separating a source and a drain. Carriers flow from the source to the drain through the channel in proportion to the variation in electrical resistivity. Electrons are responsible for channel conduction in n-channel FET's and, in p-channel FET's, holes are responsible for conduction in the channel. The output current of the FET is varied by application of a voltage to an electrostatically-coupled gate electrode located above the channel region between the source and drain. A thin gate dielectric insulates the gate electrode electrically from the channel region. A small change in gate voltage can cause a large variation in the current flowing from the source to the drain.
[003] FET's can be classified into horizontal architectures and vertical architectures. Horizontal FET's exhibit carrier flow from source to drain in a direction parallel to the horizontal plane of the substrate on which they are formed. Vertical FET's exhibit carrier flow from source to drain in a direction vertical to the horizontal plane of the substrate on which they are formed. Because channel length for vertical FET's does not depend on the smallest feature size resolvable by lithographic equipment and methods, vertical FET's can be made with a shorter channel length than horizontal FET's. Consequently, vertical FET's can switch faster and possess a higher power handling capacity than horizontal FET's. [004] Carbon nanotubes are nanoscale high-aspect-ratio cylinders of carbon atoms proposed for use in forming hybrid devices. Carbon nanotubes efficiently conduct in their conducting form and act as a semiconductor in their semiconductor form. Horizontal FET's have been fabricated using a single semiconducting carbon nanotube as a channel region and forming ohmic contacts at opposite ends of the carbon nanotube extending between a gold source electrode and a gold drain electrode situated on the surface of a substrate. A gate electrode is defined in the substrate underlying the carbon nanotube and generally between the source and drain electrodes. An oxidized exposed surface of the substrate defines a gate dielectric between the buried gate electrode and the carbon nanotube. Such horizontal FET's should switch reliably while consuming significantly less power than a comparable silicon-based device structure due to the small dimensions of the carbon nanotube. Horizontal FET's have been successfully formed under laboratory conditions by manipulating single carbon nanotubes using an atomic force microscope or coincidental placement of a single nanotube from a dispersed group of nanotubes. However, these methods of forming such horizontal FET device structures are incompatible with mass production techniques.
[005] What is needed, therefore, is a vertical FET structure incorporating one or more semiconducting carbon nanotubes as a channel region that is compliant with mass production techniques. Disclosure of Invention
[006] In accordance with the invention, a vertical semiconductor device structure includes a substrate defining a substantially horizontal plane, a gate electrode projecting vertically from the substrate, and at least one semiconducting nanotube extending vertically through the gate electrode between opposite first and second ends. A gate dielectric, which may be disposed on the at least one semiconducting nanotube, electrically insulates the at least one semiconducting nanotube from the gate electrode. A source is electrically coupled with the first end of the at least one semiconducting nanotube and a drain is electrically coupled with the second end of the at least one semiconducting nanotube.
[007] In another aspect of the invention, a method of forming a semiconductor device structure includes forming a conductive pad on a substrate and then growing at least one semiconducting nanotube extending substantially vertically from the conductive pad between a first end electrically coupled with the conductive pad and a second free end. The method further includes electrically insulating the at least one semiconducting nanotube with a gate dielectric and forming a gate electrode electrically insulated from and overlying the conductive pad, with the at least one semiconductor nanotube extending vertically through the gate electrode. A contact is formed that is electrically coupled with the second end of the at least one semiconducting nanotube and electrically insulated from the gate electrode.
[008] Each semiconducting nanotube defines a channel region of a field effect transistor having a channel regulated by application of a control voltage to the gate electrode. In an example of the invention, the length of the channel region between the source and drain is defined by the vertical dimension or thickness of the gate electrode without the limitations imposed by conventional lithographic processes used in semiconductor device fabrication to form channel regions in conventional field effect transistors.
[009] In a preferred embodiment of the invention, a semiconductor device structure includes a substrate defining a substantially horizontal plane, a conductive first plate disposed on the substrate, and at least one nanotube projecting vertically from the first plate. Each nanotube, which may have a conducting molecular structure or a semiconducting molecular structure, is electrically coupled with the first plate. Positioned vertically above the first plate and the nanotube is a conductive second plate electrically isolated from the first plate and the carbon nanotube by a dielectric layer.
[010] In another aspect of the invention, a method of forming a semiconductor device structure includes forming a conductive first plate on a substrate and growing at least one nanotube extending substantially vertically from the first plate that is electrically coupled with the first plate. The method further includes encasing each nanotube and covering the first plate with a dielectric layer, and forming a second plate overlying said first plate that is electrically isolated by the dielectric layer from each nanotube and the first plate. Brief Description of the Drawings
[011] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with a general description of the invention given above, and the detailed description of the embodiments given below, serve to explain the principles of the invention.
[012] FIG. 1 is a cross-sectional view of a portion of a substrate with carbon nanotubes grown vertically on a patterned conductive catalyst pad;
[013] FIG. 2 is a cross-sectional view similar to FIG. 1 at a subsequent fabrication stage;
[014] FIG. 3 is a cross-sectional view similar to FIG. 2 at a subsequent fabrication stage;
[015] FIG. 4 is a cross-sectional view similar to FIG. 3 at a subsequent fabrication stage;
[016] FIG. 5 is a cross-sectional view similar to FIG. 4 at a subsequent fabrication stage; [017] FIG. 6 is a cross-sectional view similar to FIG. 5 at a subsequent fabrication stage;
[018] FIG. 7 is a cross-sectional view similar to FIG. 6 at a subsequent fabrication stage;
[019] FIG. 8 is a cross-sectional view similar to FIG. 7 at a subsequent fabrication stage;
[020] FIG. 9 is a cross-sectional view similar to FIG. 2 at a subsequent fabrication stage in accordance with an alternative eirbodiment of the invention; and
[021] FIG. 10 is a cross-sectional view similar to FIG. 9 at a subsequent fabrication stage. Best Mode for Carrying Out the Invention
[022] An example of the invention is directed to vertical field effect transistors (FET's) that utilize carbon nanotubes as a semiconducting material for the channel region providing a selective conduction path between a source and a drain when a voltage is applied to an electrostatically-coupled gate electrode. In a preferred errbodiment of the invention, the length of the channel region between the source and drain is defined by the thickness of the gate electrode, which is substantially equal to the nanotube length, and is not dependent upon a resolution-limited lithographic process. The carbon nanotubes do not have to be individually manipulated for placement between a source and a drain nor does device fabrication depend upon coincidental alignment with the source and drain of one or more nanotubes randomly dispersed on the device surface.
[023] With reference to FIG:*!, a catalyst pad 10 of a catalytic material suitable for supporting the growth of carbon nanotubes 14 is formed as part of a pattern of many pads 10 on a region of an insulating substrate 12. Carbon nanotubes 14 are oriented to extend substantially vertically upward from the catalyst pad 10. The insulating substrate 12 may be formed on a wafer (not shown) composed of any suitable semiconductor material, including but not limited to silicon (Si) and gallium arsenide (GaAs), upon which an insulating substrate 12, such as silicon oxide, may be formed. The catalyst pad 10 may be formed by depositing a blanket layer of the catalytic material on the insulating layer 12 by any conventional deposition technique including, but not limited to, chemical vapor deposition (CVD) using suitable precursors such as metal halides and metal carbonyls, sputtering, and physical vapor deposition (PVD) and then employing a standard lithographic and subtractive etch process to pattern the blanket layer. The catalytic material in catalyst pads 10 is any material capable of nucleating and supporting the growth of carbon nanotubes 14 when exposed to appropriate reactants under chemical reaction conditions suitable to promote nanotube growth. For example, suitable catalytic materials include, but are not limited to, iron, platinum, nickel, cobalt, compounds of each of these metals, and alloys of each of these metals such as metal suicides.
[024] Carbon nanotubes 14 are grown on the catalytic pad 10 by any suitable growth or deposition technique. In one embodiment of the invention, the carbon nanotubes 14 are grown by chemical vapor deposition (CVD) or plasma-enhanced CVD using any suitable gaseous or vaporized carbonaceous reactant including, but not limited to, carbon monoxide (CO), ethylene (C2H4), methane (CH4), acetylene (C2H4), a mixture of acetylene and ammonia (NH3), a mixture of acetylene and nitrogen (N2), a mixture of acetylene and hydrogen (H2), xylene (C6H4(CH3)2), and a mixture of xylene and ferrocene (Fe(C5H5)2) under growth conditions suitable for promoting carbon nanotube growth on the catalytic material forming the catalyst pad 10. The carbonaceous reactant and catalyst pad 10 may be heated to a temperature adequate to promote and/or hasten CVD growth. The reactant chemically reacts with the catalyst material of catalyst pad 10 to nucleate carbon nanotubes 14 and to sustain their growth after nucleation. The catalyst material of the catalyst pad 10 participates in nanotube growth without itself being transformed or consumed by the chemical reaction transpiring at its exposed surface by reducing the activation energy for the reaction forming carbon nanotubes 14 to occur.
[025] The carbon nanotubes 14 constitute hollow cylindrical tubes composed of precisely arranged groups including hexagonal rings of bonded carbon atoms. The cylindrical tubes have a diameter ranging from about 0.5 nm to about 20 nm and a sidewall thickness of about 0.5 nm to about 3 nm. The carbon nanotubes 14 are expected to have a statistical distribution of heights or lengths each measured between a free end or leading tip 16 and a bonded end or base 18. The carbon nanotubes 14 extend on average substantially vertically upward from the catalyst pad 10 with a perpendicular or, at the least, approximately perpendicular orientation to the horizontal surface of the catalyst pad 10. One or all of the carbon nanotubes 14 may be inclined slightly from the vertical direction, as defined herein and that the nanotube orientation may be characterized by a statistical distribution that, on average, is substantially vertical. The nanotube density and spacing between adjacent carbon nanotubes 14 will depend, among other variables, upon the growth conditions. The carbon nanotubes 14 will typically grow at substantially random spatial locations on the exposed surface area of the catalyst pad 10.
[026] Growth conditions of the CVD or plasma-enhanced CVD process are chosen for preferentially growing carbon nanotubes 14 having a semiconducting electonic structure or molecular structure. Alternatively, carbon nanotubes 14 having a semi- conducting molecular structure may be preferentially selected from among a random collection of as-grown nanotubes 14 including both metallic and semiconducting molecular structures by, for example, applying a current sufficiently high to destroy nanotubes 14 having the metallic (e.g., conducting) molecular structure. Post-synthesis destruction of conducting carbon nanotubes is described in commonly-assigned U.S. Patent Number 6,423,583, which is hereby incorporated by reference herein in its entirety. The nanotubes 14 may be composed of a material other than carbon characterized by a band gap and semiconductor properties.
[027] The term "horizontal" as used herein is defined as a plane parallel to the conventional plane or surface of insulating substrate 12 and the underlying wafer, regardless of orientation. The term"vertical" refers to a direction perpendicular to the horizontal, as just defined. Terms, such as "on", "above", "below", "side" (as in "sidewall"), "higher", "lower", "over", and "under", are defined with respect to the horizontal plane.
[028] With reference to FIG. 2, a thin dielectric layer 20 is conformally deposited on the catalyst pad 10 and the insulating substrate 12. Dielectric layer 20 may be constituted by silicon dioxide (SiO2) deposited by a low pressure chemical vapor deposition (LPCVD) process using tetraethylorthosilicate (TEOS) as the silicon precursor source. The dielectric layer 20 also coats an exterior of each of the carbon nanotubes 14 along their respective height or length. Many other materials may be used instead of TEOS- based oxide, as long as electrical isolation is ensured. The coatings on the carbon nanotubes 14 will define respective gate dielectrics 22 that participate as a feature in the structure of a field effect transistor (FET) device structure, as described below.
[029] A blanket layer 24 of a conducting material deposited on the insulating substrate 12 fills the vacant spaces between adjacent carbon nanotubes 14 and covers the nanotubes 14, insulating substrate 12, and catalyst pad 10. Blanket layer 24 is electrically isolated from the insulating substrate 12 by portions of dielectric layer 20. Suitable conducting materials for blanket layer 24 include, but are not limited to, doped polycrystalline silicon (polysilicon) and metals such as aluminum (Al), copper (Cu), gold (Au), molybdenum (Mo), tantalum (Ta), titanium (Ti), and tungsten (W). Blanket layer 24 may be deposited by any suitable deposition process such as CVD by thermal decomposition/thermolysis of a metal-containing precursor such as metal halides and metal carbonyls, PVD, or sputtering. The thickness of the blanket layer 24 should completely cover the free ends of the insulator-covered carbon nanotubes 14. Each gate dielectric 22 electrically isolates the corresponding carbon nanotube 14 from the blanket layer 24.
[030] With reference to FIG. 3, an exposed surface 26 of the blanket layer 24 is polished flat by a chemical-mechanical polishing (CMP) process or any other suitable pla- narization technique. Generally, CMP processes involve a polishing or mechanical abrasion action aided chemically by a slurry introduced between a polishing pad and blanket layer 24. The thickness of blanket layer 24 is reduced by removing material to a depth such that the leading tips 16 of a significant number of carbon nanotubes 14 are substantially coplanar with the exposed surface 26 and accessible for subsequent processing.
[031] With reference to FIG. 4, the exposed surface 26 of blanket layer 24 is removed selectively to the carbon nanotubes 14 so that the leading tips 16 project above the exposed surface 26. Techniques for recessing exposed surface 26 include reactive ion etching (RIE) and wet etching with a suitable etchant solution. For example, polysilicon or aluminum constituting blanket layer 24 may be etched by RIE using a chlorine-containing gas, a bromine-containing gas, or a mixture thereof, which is known to be selective to SiO2. The residual thickness of blanket layer 24 determines the channel length of the FET device structure.
[032] With reference to FIG. 5, a recess 28 is foπned in the blanket layer 24 that extends vertically from exposed surface 26 to the depth of dielectric layer 20. The recess 28 is formed by a standard lithographic and etch process that patterns blanket layer 24 to expose unmasked areas in which recess 28 and other similar recesses for other like device structures will be formed and masked areas, and then etched by, for example, a dry etch process using, for example, NH3 to remove the conductive material in the unmasked areas. Dielectric layer 20 serves as an etch stop layer. The blanket layer 24 is also partitioned or sectioned by a standard lithographic and etch process, which may be the same lithographic and etch process forming recess 28, into individual gate electrodes 30 that define the future location of individual FET device structures 42 (Fig. 8). Any carbon nanotubes 14 that may be present in the unmasked areas of blanket layer 24 are removed by the etch process forming recess 28 or may be eliminated by any other suitable process capable of removing the carbon nanotubes 14 from recess 28. The invention contemplates that the order of the process steps described with regard to Figs. 4 and 5 may be reversed so that the individual gate electrodes 30 are formed before the exposed surface 26 is recessed to expose the leading tips 16 of carbon nanotubes 14.
[033] With reference to FIG. 6, an insulating layer 32 of a dielectric material is conformally provided that fills recess 28 and covers exposed areas of blanket layer 24 to cover the leading tips 16 of carbon nanotubes 14. The insulating layer 32 may constitute, for example, silicon nitride (Si3N4) deposited using LPCVD or plasma- enhanced CVD using NH3 and silane (SiH4) as precursor sources or SiO2, which may be deposited by a CVD process using TEOS as a precursor source. The portion of insulating layer 32 filling recess 28 electrically isolates the adjacent gate electrodes 30 from each other.
[034] With reference to FIG. 7, the leading tip 16 of each carbon nanotube 14 is exposed above a planar recessed exposed surface 33 of insulating layer 32 and a length of the associated gate dielectric 22 is removed therefrom. To that end, insulating layer 32 is polished flat by a CMP process or any other suitable planarization technique to define the exposed surface 33. The exposed surface 33 is then further recessed relative to the carbon nanotubes 14 using one or more RIE processes that remove insulating layer 32 and gate dielectric 22 to the depth of the recessed exposed surface 33 selective to the carbon nanotubes 14. Alternatively, a wet etch process using a suitable etchant solution, such as a buffered hydrofluoric acid (HF) solution, may be used. The carbon nanotubes 14 may have a distribution of lengths and although not shown, certain carbon nanotubes 14 may remain buried in the insulating layer 32 and/or the gate electrodes 30 after the exposed surface 33 is recessed.
[035] With reference to FIG. 8, gate contacts 36 and source contacts 38 are formed by defining contact openings in insulating layer 32 at appropriate locations with a standard lithographic and etch process and filling the contact openings with a conductive material. The lithographic and etch process also defines areas of conductive material that operate as drain contacts 40. Each gate contact 36 is electrically coupled, preferably ohmically, with one of the gate electrodes 30 and each source contact 38 is electrically coupled, preferably ohmically, with one of the catalytic pads 10. Each drain contact 40 is electrically coupled, preferably ohmically, with the leading tips 16 of carbon nanotubes 14 extending through the associated one of the gate electrodes 30. Contacts 36, 38 and 40 are electrically isolated from each other and are formed from any suitable conducting materials including, but not limited to, Au, Al, Cu, Mo, Ta, Ti, and W deposited by, for example, CVD, PVD or sputtering. Standard back- end-of-the-line (BEOL) processing is used to fabricate and couple an interconnect structure linking contacts 36, 38 and 40 with corresponding contacts of adjacent FET's.
[036] The completed device structure 42 forms an FET having a gate or gate region defined by one of the gate electrodes 30, a source or source region defined by catalyst pad 10 and source contact 38, a drain or drain region defined by drain contact 40, a semiconducting channel region defined collectively along the length of the carbon nanotubes 14 extending through the associated gate electrode 30 with a vertical orientation relative to the horizontal plane of the insulating substrate 12, and a gate dielectric defined by each of the individual gate dielectrics 22 covering the nanotubes 14. Although only two completed device structures 42 are visible in Fig. 8, it is understood that multiple replicas of structure 42 are provided on insulating substrate 12 as detailed herein. Each completed device structure 42 is electrically coupled for device operation with additional circuit components (not shown) supported on adj cent regions of the insulating substrate 12. Carriers flow selectively from the catalyst pad 10 through the carbon nanotubes 14 to the drain contact 40 when an electrical voltage is either applied via the corresponding gate contact 36 to one of the gate electrodes 30 to create a channel in the carbon nanotubes 14 extending therethrough. The catalyst pad 10 and source contact 38 may serve as a drain region and drain contact 40 may act as a source region.
[037] With reference to FIGS. 9 and 10 in which like reference numerals refer to like features in FIGS. 1 and 2 and in accordance with an alternative embodiment of the invention, a capacitor device structure 50 (FIG. 10) may be formed by a different set of processing steps performed subsequent to the device fabrication stage illustrated in Fig. 2. Specifically, the blanket layer 24 of a conducting material is planarized to a depth that is above the dielectric-covered leading tips 16 of the carbon nanotubes 14 and a layer 52 of conducting material is applied to the planarized blanket layer 24. A contact (not shown) is electrically coupled with the catalyst pad 10 so that the catalyst pad 10 and the carbon nanotubes 14 supply one electrode or plate of the capacitor device structure 50 and the layer 52 supplies the opposite electrode or plate of the capacitor device structure 50. Dielectric layer 20 electrically isolates the two plates. The presence of the carbon nanotubes 14, which are covered by the dielectric layer 20, increases the effective surface area of one of the plates.
[038] As the carbon nanotubes 14 are semiconducting, a superimposed constant bias voltage must be applied to the plates of the capacitor device structure 50 so that the carbon nanotubes 14 conduct current. In an alternative embodiment of the invention, the carbon nanotubes 14 may be grown under growth conditions suitable to provide the conducting molecular structure so that the constant bias voltage is not required.
[039] While the present invention has been illustrated by a description of various em- bodiments and while these embodiments have been described in considerable detail, it is not the intention of the applicants to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. Thus, the invention in its broader aspects is therefore not limited to the specific details, representative apparatus and method, and illustrative example shown and described. Accordingly, departures may be made from such details without departing from the scope of applicants' general inventive concept.

Claims

Claims
[001] A vertical semiconductor device structure, comprising: a substrate defining a substantially horizontal plane; a gate electrode projecting vertically from said substrate; at least one semiconducting nanotube extending vertically through said gate electrode between opposite first and second ends; a gate dielectric electrically insulating said at least one semiconducting nanotube frαn said gate electrode; a source electrically coupled with said first end of said at least one semiconducting nanotube; and a drain electrically coupled with said second end of said at least one semiconducting nanotube.
[002] The semiconductor device structure of claim 1 wherein said source is composed of a catalyst material effective for growing said at least one semiconducting nanotube.
[003] The semiconducting device structure of claim 1 wherein said drain is composed of a catalyst material effective for growing said at least one semiconducting nanotube.
[004] The semiconductor device structure of claim 1 further comprising: an insulating layer disposed between said drain and said gate electrode for electrically isolating said drain from said gate electrode.
[005] The semiconductor device structure of claim 1 further comprising: an insulating layer disposed between said source and said gate electrode for electrically isolating said source from said gate electrode.
[006] The semiconducting device structure of claim 1 wherein said at least one semiconducting nanotube is composed of arranged carbon atoms.
[007] The semiconducting device structure of claim 1 wherein said at least one semiconducting nanotube defines a channel region of a field effect transistor having a channel along which current flow is regulated by application of a control voltage to said gate electrode.
[008] The semiconducting device structure of claim 1 wherein said at least one semiconducting nanotube is oriented substantially perpendicular to said horizontal plane.
[009] The semiconducting device structure of claim 1 further comprising: a plurality of semiconducting nanotubes extending vertically through said gate electrode.
[010] The semiconducting device structure of claim 1 wherein said gate dielectric is disposed on said at least one semiconducting nanotube. [011] A method of forming a semiconductor device structure comprising: forming a conductive pad on a substrate; growing at least one semiconducting nanotube extending substantially vertically from the conductive pad between a first end electrically coupled with the conductive pad and a second free end; electrically insulating the at least one semiconducting nanotube with a gate dielectric; forming a gate electrode electrically insulated from and overlying the conductive pad with the at least one semiconducting nanotube extending vertically through the gate electrode; and forming a contact electrically coupled with the second end of the at least one semiconducting nanotube and electrically insulated from the gate electrode.
[012] The method of claim 11 wherein electrically insulating the at least one semiconducting nanotube comprises: encasing the at least one semiconducting nanotube inside the gate dielectric.
[013] The method of claim 11 wherein forming the contact comprises: removing the gate dielectric from the free end of the at least one semiconducting nanotube; and providing a metal feature operating as said contact.
[014] The method of claim 13 further comprising: forming an insulating layer on the gate electrode; and recessing the insulating layer to expose the free end of the at least one semiconducting nanotube.
[015] The method of claim 11 wherein the at least one semiconducting nanotube is a carbon nanotube and the conductive pad is formed of a catalyst material suitable for growing carbon nanotubes, and growing the at least one semiconducting nanotube further comprises: exposing the conductive pad to a carbonaceous reactant under conditions effective to incorporate carbon atoms into the carbon nanotube with a semiconducting molecular structure.
[016] The method of claim 11 wherein growing the at least one semiconducting nanotube further comprises: growing the at least one semiconducting nanotube by a chemical vapor deposition technique.
[017] The method of claim 11 wherein the free end of the at least one semiconducting nanotube projects into a metal constituting the contact.
[018] The method of claim 11 wherein the at least one semiconducting nanotube is characterized by arranged carbon atoms.
[019] The method of claim 11 wherein the at least one semiconducting nanotube defines a channel region of a field effect transistor having a channel regulated by application of a control voltage to the gate electrode. [020] The method of claim 11 wherein forming the gate electrode comprises: applying an insulating layer on the conductive pad; applying a conductive layer overlying the insulating layer; and patterning the conductive layer to define the gate electrode.
[021] The method of claim 20 wherein forming the contact comprises: recessing the insulating layer to expose the free end of the at least one semiconducting nanotube.
[022] The method of claim 21 further comprising: removing the gate dielectric from the free end of the at least one semiconducting nanotube; and providing a metal feature operating as said contact.
[023] The method of claim 11 wherein said at least one semiconducting nanotube defines a channel region of a field effect transistor having a channel along which current flow is regulated by application of a control voltage to said gate electrode.
[024] The method of claim 11 further comprising: growing at least one conducting nanotube extending substantially vertically from the conductive pad; and destroying the at least one conducting nanotube before forming the gate electrode.
[025] A semiconductor device structure, comprising: a substrate defining a sub- - stantially horizontal plane; a conductive first plate disposed on said substrate, at least one nanotube projecting vertically from said first plate and electrically coupled with said first plate; a conductive second plate positioned vertically above said first plate; and a dielectric layer electrically isolating said second plate from said first plate and said at least one carbon nanotube.
[026] The semiconductor device structure of claim 25 wherein said at least one nanotube has a conducting molecular structure.
[027] The semiconductor device structure of claim 25 wherein said at least one nanotube has a semiconducting molecular structure.
[028] The semiconducting device structure of claim 25 wherein said dielectric layer defines a coating that encases said at least one nanotube.
[029] A method of forming a semiconductor device structure comprising: forming a conductive first plate on a substrate; growing at least one nanotube extending substantially vertically from the first plate that is electrically coupled with the first plate; covering the at least one nanotube and the first plate with a dielectric layer; and forming a second plate overlying said first plate that is electrically insulated by the dielectric layer from the at least one nanotube and the first plate. [030] The method of claim 29 wherein said at least one nanotube has a conducting molecular structure. [031] The method of claim 29 wherein said at least one nanotube has a semiconducting molecular structure. [032] The method of claim 29 wherein covering the at least one nanotube and the first plate comprises: encasing the at least one nanotube inside the dielectric layer.
PCT/EP2005/050127 2004-01-29 2005-01-13 Vertical nanotube semiconducteur device structures and methods of forming the same WO2005076381A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006550156A JP2007520072A (en) 2004-01-29 2005-01-13 Vertical nanotube semiconductor device structure and method for forming the same
EP05701510A EP1708960A1 (en) 2004-01-29 2005-01-13 Vertical nanotube semiconducteur device structures and methods of forming the same
IL177125A IL177125A0 (en) 2004-01-29 2006-07-27 Vertical nanotube semiconducteur device structures and methods of forming the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/767,065 US20050167655A1 (en) 2004-01-29 2004-01-29 Vertical nanotube semiconductor device structures and methods of forming the same
US10/767,065 2004-01-29

Publications (1)

Publication Number Publication Date
WO2005076381A1 true WO2005076381A1 (en) 2005-08-18

Family

ID=34807634

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2005/050127 WO2005076381A1 (en) 2004-01-29 2005-01-13 Vertical nanotube semiconducteur device structures and methods of forming the same

Country Status (9)

Country Link
US (2) US20050167655A1 (en)
EP (1) EP1708960A1 (en)
JP (2) JP2007520072A (en)
KR (1) KR20060127105A (en)
CN (1) CN100580971C (en)
IL (1) IL177125A0 (en)
RU (1) RU2342315C2 (en)
TW (1) TWI343123B (en)
WO (1) WO2005076381A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007148277A2 (en) 2006-06-19 2007-12-27 Nxp B.V. Method of manufacturing a semiconductor device, and semiconductor device obtained by such a method
JP2008091566A (en) * 2006-09-29 2008-04-17 Fujitsu Ltd Manufacturing method of carbon nanotube structure covered by insulating film and field-effect transistor device consisting of the structure
DE102007001130A1 (en) * 2007-01-04 2008-07-10 Qimonda Ag Method for producing a through-connection in a layer and arrangement with a layer with through-connection
EP1975999A1 (en) * 2007-03-30 2008-10-01 Fujitsu Limited Electronic device and method of manufacturing the same
WO2010151604A2 (en) 2009-06-26 2010-12-29 California Institute Of Technology Methods for fabricating passivated silicon nanowires and devices thus obtained
EP2359416A1 (en) * 2008-12-19 2011-08-24 Glo Ab A nanostructured device
US9018684B2 (en) 2009-11-23 2015-04-28 California Institute Of Technology Chemical sensing and/or measuring devices and methods
US9390936B2 (en) 2009-02-25 2016-07-12 California Institute Of Technology Methods for fabricating high aspect ratio probes and deforming high aspect ratio nanopillars and micropillars
US9406823B2 (en) 2009-11-19 2016-08-02 California Institute Of Technology Methods for fabricating self-aligning semiconductor hetereostructures using nanowires

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9056783B2 (en) * 1998-12-17 2015-06-16 Hach Company System for monitoring discharges into a waste water collection system
US20110125412A1 (en) * 1998-12-17 2011-05-26 Hach Company Remote monitoring of carbon nanotube sensor
US7454295B2 (en) 1998-12-17 2008-11-18 The Watereye Corporation Anti-terrorism water quality monitoring system
US8958917B2 (en) * 1998-12-17 2015-02-17 Hach Company Method and system for remote monitoring of fluid quality and treatment
US8920619B2 (en) 2003-03-19 2014-12-30 Hach Company Carbon nanotube sensor
US7038299B2 (en) 2003-12-11 2006-05-02 International Business Machines Corporation Selective synthesis of semiconducting carbon nanotubes
US7374793B2 (en) 2003-12-11 2008-05-20 International Business Machines Corporation Methods and structures for promoting stable synthesis of carbon nanotubes
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US7829883B2 (en) 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays
US20050279274A1 (en) * 2004-04-30 2005-12-22 Chunming Niu Systems and methods for nanowire growth and manufacturing
US7109546B2 (en) 2004-06-29 2006-09-19 International Business Machines Corporation Horizontal memory gain cells
US7351448B1 (en) * 2004-07-27 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Anti-reflective coating on patterned metals or metallic surfaces
US7233071B2 (en) 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
US7126207B2 (en) * 2005-03-24 2006-10-24 Intel Corporation Capacitor with carbon nanotubes
KR100645064B1 (en) * 2005-05-23 2006-11-10 삼성전자주식회사 Metal oxide resistive ram and manufacturing method thereof
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
WO2007022359A2 (en) * 2005-08-16 2007-02-22 The Regents Of The University Of California Vertical integrated silicon nanowire field effect transistors and methods of fabrication
WO2007047523A2 (en) * 2005-10-14 2007-04-26 Pennsylvania State University System and method for positioning and synthesizing of nanostructures
US7268077B2 (en) * 2005-12-02 2007-09-11 Intel Corporation Carbon nanotube reinforced metallic layer
US7906803B2 (en) * 2005-12-06 2011-03-15 Canon Kabushiki Kaisha Nano-wire capacitor and circuit device therewith
US8394664B2 (en) * 2006-02-02 2013-03-12 William Marsh Rice University Electrical device fabrication from nanotube formations
WO2007092835A2 (en) * 2006-02-07 2007-08-16 William Marsh Rice University Production de reseaux verticaux de nanotubes de carbone a petit diametre et paroi simple
US8679630B2 (en) * 2006-05-17 2014-03-25 Purdue Research Foundation Vertical carbon nanotube device in nanoporous templates
KR100771546B1 (en) * 2006-06-29 2007-10-31 주식회사 하이닉스반도체 Methods for fabricating capacitor of memory device and capacitor structure thereby
WO2008000045A1 (en) * 2006-06-30 2008-01-03 University Of Wollongong Nanostructured composites
KR100820174B1 (en) * 2006-12-05 2008-04-08 한국전자통신연구원 The electronic devices using carbon nanotubes having vertical structure and the manufacturing method thereof
WO2008069485A1 (en) * 2006-12-05 2008-06-12 Electronics And Telecommunications Research Institute The electronic devices using carbon nanotubes having vertical structure and the manufacturing method thereof
US20100173228A1 (en) * 2006-12-14 2010-07-08 University Of Wollongong Nanotube and Carbon Layer Nanostructured Composites
US9806273B2 (en) * 2007-01-03 2017-10-31 The United States Of America As Represented By The Secretary Of The Army Field effect transistor array using single wall carbon nano-tubes
US7736979B2 (en) * 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US8546027B2 (en) * 2007-06-20 2013-10-01 New Jersey Institute Of Technology System and method for directed self-assembly technique for the creation of carbon nanotube sensors and bio-fuel cells on single plane
US7964143B2 (en) 2007-06-20 2011-06-21 New Jersey Institute Of Technology Nanotube device and method of fabrication
US7892956B2 (en) * 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US8624224B2 (en) * 2008-01-24 2014-01-07 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array bipolar transistors
FR2928093B1 (en) * 2008-02-28 2010-12-31 Commissariat Energie Atomique DEVICE FOR SEPARATING MOLECULES AND METHOD FOR MANUFACTURING THE SAME.
CN102084467A (en) 2008-04-14 2011-06-01 班德加普工程有限公司 Process for fabricating nanowire arrays
US20110089477A1 (en) * 2008-06-13 2011-04-21 Qunano Ab Nanostructured mos capacitor
US8715981B2 (en) * 2009-01-27 2014-05-06 Purdue Research Foundation Electrochemical biosensor
US8237150B2 (en) * 2009-04-03 2012-08-07 International Business Machines Corporation Nanowire devices for enhancing mobility through stress engineering
US8872154B2 (en) * 2009-04-06 2014-10-28 Purdue Research Foundation Field effect transistor fabrication from carbon nanotubes
EP2499686A2 (en) 2009-11-11 2012-09-19 Amprius, Inc. Intermediate layers for electrode fabrication
TWI476948B (en) * 2011-01-27 2015-03-11 Hon Hai Prec Ind Co Ltd Epitaxial structure and method for making the same
CN103733388A (en) 2011-07-01 2014-04-16 安普雷斯股份有限公司 Template electrode structures with enhanced adhesion characteristics
EP2758988A4 (en) * 2011-09-19 2015-04-29 Bandgap Eng Inc Electrical contacts to nanostructured areas
WO2013156085A1 (en) * 2012-04-20 2013-10-24 Hewlett-Packard Development Company, L.P. Method of manufacturing a semiconductor device
GB201207766D0 (en) * 2012-05-03 2012-06-13 Dyson Technology Ltd Dielectric capacitor
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
KR102157265B1 (en) * 2012-10-19 2020-09-17 조지아 테크 리서치 코오포레이션 Multilayer coatings formed on aligned arrays of carbon nanotubes
US9064942B2 (en) 2013-01-28 2015-06-23 International Business Machines Corporation Nanowire capacitor for bidirectional operation
DE102014107167B4 (en) * 2014-05-21 2022-04-21 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Method for producing a semiconductor component having a structure layer with a plurality of three-dimensional structure elements and radiation-emitting semiconductor component having a structure layer with a plurality of three-dimensional structure elements
WO2018125108A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Using nanotubes as a guide for selective deposition in manufacturing integrated circuit components
JPWO2021079566A1 (en) 2019-10-24 2021-04-29
KR20230001375A (en) * 2021-06-28 2023-01-04 서울대학교산학협력단 A nanotube semiconductor device and a shear force sensor including the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796573A (en) * 1997-05-29 1998-08-18 International Business Machines Corporation Overhanging separator for self-defining stacked capacitor
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US20030132461A1 (en) 2000-07-28 2003-07-17 Wolfgang Roesner Field-effect transistor, circuit configuration and method of fabricating a field-effect transistor
US20030168683A1 (en) * 2002-03-06 2003-09-11 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US20030178617A1 (en) 2002-03-20 2003-09-25 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
EP1361608A2 (en) * 2002-05-10 2003-11-12 Texas Instruments Incorporated Providing electrical connection between an active region and a conductive layer in a semiconductor device using carbon nanotubes
US20030230760A1 (en) * 2000-06-27 2003-12-18 Samsung Electronics Co., Ltd. Vertical nano-size transistor using carbon nanotubes and manufacturing method thereof

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100365444B1 (en) * 1996-09-18 2004-01-24 가부시끼가이샤 도시바 Vacuum micro device and image display device using the same
JP4078721B2 (en) * 1998-08-24 2008-04-23 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2000101037A (en) * 1998-09-17 2000-04-07 Matsushita Electronics Industry Corp Semiconductor device and manufacture thereof
US6250984B1 (en) * 1999-01-25 2001-06-26 Agere Systems Guardian Corp. Article comprising enhanced nanotube emitter structure and process for fabricating article
US6322713B1 (en) * 1999-07-15 2001-11-27 Agere Systems Guardian Corp. Nanoscale conductive connectors and method for making same
US6286226B1 (en) * 1999-09-24 2001-09-11 Agere Systems Guardian Corp. Tactile sensor comprising nanowires and method for making the same
JP2002141633A (en) * 2000-10-25 2002-05-17 Lucent Technol Inc Article comprising vertically nano-interconnected circuit device and method for making the same
DE60126310T2 (en) 2000-11-01 2007-06-06 Japan Science And Technology Agency, Kawaguchi Point contact array, emergency circuit and electronic circuit with it
US6448701B1 (en) * 2001-03-09 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Self-aligned integrally gated nanofilament field emitter cell and array
JP4225716B2 (en) * 2001-09-11 2009-02-18 富士通株式会社 Semiconductor device with cylindrical multilayer structure
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
US6599808B2 (en) * 2001-09-12 2003-07-29 Intel Corporation Method and device for on-chip decoupling capacitor using nanostructures as bottom electrode
DE10161312A1 (en) * 2001-12-13 2003-07-10 Infineon Technologies Ag Method for producing a layer arrangement and layer arrangement
EP1468423A2 (en) 2002-01-18 2004-10-20 California Institute Of Technology Array-based architecture for molecular electronics
JP2003234254A (en) * 2002-02-07 2003-08-22 Hitachi Zosen Corp Electrical double layer capacitor using carbon nano-tube
EP1341184B1 (en) * 2002-02-09 2005-09-14 Samsung Electronics Co., Ltd. Memory device utilizing carbon nanotubes and method of fabricating the memory device
JP2003258336A (en) * 2002-02-28 2003-09-12 Japan Science & Technology Corp Molecular device and manufacturing method of the same
JP4120918B2 (en) * 2002-03-18 2008-07-16 富士通株式会社 Method for selective growth of columnar carbon structure and electronic device
DE10250984A1 (en) 2002-10-29 2004-05-19 Hahn-Meitner-Institut Berlin Gmbh Field effect transistor and method for its production
DE10250830B4 (en) 2002-10-31 2015-02-26 Qimonda Ag Method for producing a circuit array
DE10250829B4 (en) * 2002-10-31 2006-11-02 Infineon Technologies Ag Nonvolatile memory cell, memory cell array, and method of making a nonvolatile memory cell
KR100790859B1 (en) 2002-11-15 2008-01-03 삼성전자주식회사 Nonvolatile memory device utilizing vertical nanotube
KR100493166B1 (en) 2002-12-30 2005-06-02 삼성전자주식회사 Memory utilizing vertical nanotube
US6933222B2 (en) * 2003-01-02 2005-08-23 Intel Corporation Microcircuit fabrication and interconnection
JP4627188B2 (en) 2003-05-22 2011-02-09 富士通株式会社 Field effect transistor and manufacturing method thereof
US7374793B2 (en) * 2003-12-11 2008-05-20 International Business Machines Corporation Methods and structures for promoting stable synthesis of carbon nanotubes
US7038299B2 (en) * 2003-12-11 2006-05-02 International Business Machines Corporation Selective synthesis of semiconducting carbon nanotubes
US7211844B2 (en) * 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US7829883B2 (en) * 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796573A (en) * 1997-05-29 1998-08-18 International Business Machines Corporation Overhanging separator for self-defining stacked capacitor
US20030230760A1 (en) * 2000-06-27 2003-12-18 Samsung Electronics Co., Ltd. Vertical nano-size transistor using carbon nanotubes and manufacturing method thereof
US20030132461A1 (en) 2000-07-28 2003-07-17 Wolfgang Roesner Field-effect transistor, circuit configuration and method of fabricating a field-effect transistor
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US20030168683A1 (en) * 2002-03-06 2003-09-11 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US20030178617A1 (en) 2002-03-20 2003-09-25 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
EP1361608A2 (en) * 2002-05-10 2003-11-12 Texas Instruments Incorporated Providing electrical connection between an active region and a conductive layer in a semiconductor device using carbon nanotubes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
POPOV V N: "Carbon nanotubes: properties and application", MATERIALS SCIENCE AND ENGINEERING R: REPORTS, ELSEVIER SEQUOIA S.A., LAUSANNE, CH, vol. 43, no. 3, 15 January 2004 (2004-01-15), pages 61 - 102, XP004490878, ISSN: 0927-796X *

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8114774B2 (en) 2006-06-19 2012-02-14 Nxp B.V. Semiconductor device, and semiconductor device obtained by such a method
WO2007148277A3 (en) * 2006-06-19 2008-02-28 Nxp Bv Method of manufacturing a semiconductor device, and semiconductor device obtained by such a method
WO2007148277A2 (en) 2006-06-19 2007-12-27 Nxp B.V. Method of manufacturing a semiconductor device, and semiconductor device obtained by such a method
JP2008091566A (en) * 2006-09-29 2008-04-17 Fujitsu Ltd Manufacturing method of carbon nanotube structure covered by insulating film and field-effect transistor device consisting of the structure
DE102007001130B4 (en) * 2007-01-04 2014-07-03 Qimonda Ag Method for producing a through-connection in a layer and arrangement with a layer with through-connection
DE102007001130A1 (en) * 2007-01-04 2008-07-10 Qimonda Ag Method for producing a through-connection in a layer and arrangement with a layer with through-connection
US7960277B2 (en) 2007-03-30 2011-06-14 Fujitsu Semiconductor Limited Electronic device and method of manufacturing the same
EP1975999A1 (en) * 2007-03-30 2008-10-01 Fujitsu Limited Electronic device and method of manufacturing the same
EP2359416A1 (en) * 2008-12-19 2011-08-24 Glo Ab A nanostructured device
EP2359416A4 (en) * 2008-12-19 2014-06-04 Glo Ab A nanostructured device
US9287443B2 (en) 2008-12-19 2016-03-15 Glo Ab Nanostructured device
US9390936B2 (en) 2009-02-25 2016-07-12 California Institute Of Technology Methods for fabricating high aspect ratio probes and deforming high aspect ratio nanopillars and micropillars
EP2446467A4 (en) * 2009-06-26 2014-07-02 California Inst Of Techn Methods for fabricating passivated silicon nanowires and devices thus obtained
WO2010151604A2 (en) 2009-06-26 2010-12-29 California Institute Of Technology Methods for fabricating passivated silicon nanowires and devices thus obtained
EP2446467A2 (en) * 2009-06-26 2012-05-02 California Institute of Technology Methods for fabricating passivated silicon nanowires and devices thus obtained
US9406823B2 (en) 2009-11-19 2016-08-02 California Institute Of Technology Methods for fabricating self-aligning semiconductor hetereostructures using nanowires
US9018684B2 (en) 2009-11-23 2015-04-28 California Institute Of Technology Chemical sensing and/or measuring devices and methods
US9234872B2 (en) 2009-11-23 2016-01-12 California Institute Of Technology Chemical sensing and/or measuring devices and methods

Also Published As

Publication number Publication date
TWI343123B (en) 2011-06-01
RU2006130861A (en) 2008-03-10
TW200527667A (en) 2005-08-16
EP1708960A1 (en) 2006-10-11
KR20060127105A (en) 2006-12-11
JP2007520072A (en) 2007-07-19
RU2342315C2 (en) 2008-12-27
US20080227264A1 (en) 2008-09-18
CN1914746A (en) 2007-02-14
CN100580971C (en) 2010-01-13
JP5511746B2 (en) 2014-06-04
US20050167655A1 (en) 2005-08-04
JP2011258969A (en) 2011-12-22
US7691720B2 (en) 2010-04-06
IL177125A0 (en) 2006-12-10

Similar Documents

Publication Publication Date Title
US7691720B2 (en) Vertical nanotube semiconductor device structures and methods of forming the same
EP1709700B1 (en) Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
KR100645410B1 (en) Methods and structures for promoting stable synthesis of carbon nanotubes
EP1714330B1 (en) Methods of fabricating vertical carbon nanotube field effect transistors for arrangement in arrays and field effect transistors and arrays formed thereby
US7038299B2 (en) Selective synthesis of semiconducting carbon nanotubes
US6740910B2 (en) Field-effect transistor, circuit configuration and method of fabricating a field-effect transistor
US8624225B2 (en) Methods of forming structures having nanotubes extending between opposing electrodes and structures including same
MXPA06008502A (en) Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006550156

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 177125

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 1020067015316

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200580003688.8

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWE Wipo information: entry into national phase

Ref document number: 2005701510

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 4825/DELNP/2006

Country of ref document: IN

WWE Wipo information: entry into national phase

Ref document number: 2006130861

Country of ref document: RU

WWP Wipo information: published in national office

Ref document number: 2005701510

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067015316

Country of ref document: KR