WO2005072120A2 - Materials and methods for imprint lithography - Google Patents

Materials and methods for imprint lithography Download PDF

Info

Publication number
WO2005072120A2
WO2005072120A2 PCT/US2005/001054 US2005001054W WO2005072120A2 WO 2005072120 A2 WO2005072120 A2 WO 2005072120A2 US 2005001054 W US2005001054 W US 2005001054W WO 2005072120 A2 WO2005072120 A2 WO 2005072120A2
Authority
WO
WIPO (PCT)
Prior art keywords
imprinting
imprint template
substrate
imprint
surfactant
Prior art date
Application number
PCT/US2005/001054
Other languages
French (fr)
Other versions
WO2005072120A3 (en
Inventor
Frank Y. Xu
Pankaj B. Lad
Ian M. Mcmackin
Original Assignee
Molecular Imprints, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc. filed Critical Molecular Imprints, Inc.
Publication of WO2005072120A2 publication Critical patent/WO2005072120A2/en
Publication of WO2005072120A3 publication Critical patent/WO2005072120A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41MPRINTING, DUPLICATING, MARKING, OR COPYING PROCESSES; COLOUR PRINTING
    • B41M7/00After-treatment of prints, e.g. heating, irradiating, setting of the ink, protection of the printed stock
    • B41M7/0072After-treatment of prints, e.g. heating, irradiating, setting of the ink, protection of the printed stock using mechanical wave energy, e.g. ultrasonics; using magnetic or electric fields, e.g. electric discharge, plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41CPROCESSES FOR THE MANUFACTURE OR REPRODUCTION OF PRINTING SURFACES
    • B41C1/00Forme preparation
    • B41C1/10Forme preparation for lithographic printing; Master sheets for transferring a lithographic image to the forme
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41MPRINTING, DUPLICATING, MARKING, OR COPYING PROCESSES; COLOUR PRINTING
    • B41M7/00After-treatment of prints, e.g. heating, irradiating, setting of the ink, protection of the printed stock
    • B41M7/0081After-treatment of prints, e.g. heating, irradiating, setting of the ink, protection of the printed stock using electromagnetic radiation or waves, e.g. ultraviolet radiation, electron beams
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Definitions

  • One or more embodiments of the present invention relate generally to imprint lithography.
  • one or more embodiments of the present invention relate to materials and methods for imprint lithography.
  • Micro-fabrication involves the fabrication of very small structures, for example, and without limitation, structures having features on the order of micro-meters or smaller.
  • One area in which micro-fabrica ion has had a sizeable impact is in processing of integrated circuits.
  • micro-fabrication becomes increasingly important since micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed.
  • Other areas of development in which micro-fabrication have been employed include biotechnology, optical technology, mechanical systems and the like.
  • the method further includes mechanically contacting an imprint template or mold having a relief structure with the polymerizable fluid composition wherein the polymerizable fluid composition fills a gap between the imprint template and the substrate and fills the relief structure of the imprint template.
  • the method includes subjecting the polymerizable fluid composition to conditions to solidify and to polymerize the same (typically, exposing the polymerizable fluid composition to UV to crosslin it) , thereby forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint template.
  • the method includes separating the imprint template from the substrate to leave solid polymeric material on the substrate, which solid polymeric material includes a relief pattern in the form of the complimentary relief structure.
  • the solidified polymeric material and the transfer layer are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material to form a relief image in the transfer layer.
  • release layer on the surface of the imprint template, which release layer is typically hydrophobic and/or has low surface energy. Such a release layer will provide a weak boundary layer between the imprint template and the solidified polymeric material.
  • This type of release layer is referred to, for purposes of the present discussion, as an a priori release layer, i.e., a release layer that is solidified to the surface of the imprint template.
  • Bender et al Another prior art approach to improving the release characteristics is described by Bender et al . in “Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues," Microeletronic Engineering 61-62 (2002), pp. 407-413. Specifically, Bender et al .
  • a UV curable layer is applied to a substrate by spin-coating a 200 cps UV curable fluid to form a UV curable layer.
  • the UV curable layer is enriched with fluorine groups to improve its release characteristics.
  • a priori release layers typically have a limited operational life. As a result, a single imprint template needs to be coated multiple times with an a priori release layer during imprint processing. This can result in several hours of down-time for a given imprint template, thereby reducing throughput.
  • the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed.
  • One measure of imprint lithography quality relates to feature filling. As is well known, sufficient wetting, along with other factors, ensures feature filling, and thereby prevents voids.
  • IBOA isobornyl acrylate
  • SR 506 from Aldrich Chemical Company of Milwaukee, Wisconsin
  • ethylene glycol diacrylate (18 gm) which is available under the designation EGDA from Aldrich Chemical Company of Milwaukee, Wisconsin
  • a UV photoinitiator i.e., 2-hydrozy-2-methyl-l-phenyl-propan-l-one (3 gm) which is available under the designation Darocur 1173 from CIBA ® of Tarrytown, New York
  • FSO-lOO 0.5 gm
  • the substrate was covered with a transfer layer of a cross-linked BARC material (as is well known, BARC or "bottom antireflective coating” is an organic antireflective coating that is typically produced by a spin-on process) .
  • BARC layer was used to prevent intermixing between the imprinting material and the transfer layer, which intermixing may be particularly problematic when using an imprinting material comprised of low viscosity acrylate components because such components have solvency toward many polymers.
  • Such intermixing may cause problems, such as, for example, and without limitation, distortion of features when the imprint template is separated from the substrate after exposure to polymerizing radiation. In particular, this can be problematic when feature thicknesses are as small as 50 nm to 100 nm.
  • one embodiment of the present invention is a method of imprint lithography that comprises depositing an imprinting material on a substrate; varying release properties associated with an imprint template by contacting a solution including imprinting materials and a polymeric fluorinated surfactant; and energizing the imprinting materials to cause a solid material to be produced therefrom.
  • a polymeric surfactant containing solution to a surface of an imprint template substantially reduced, if not prevented, formation of voids during imprinting.
  • an exemplary polymeric fluorinated surfactant is 3MTM NovecTM
  • Fluorosurfactant FC-4432 Fluorosurfactant FC-4432. Specifically, it was found that by including in the polymeric fluorinated surfactant in a solution containing imprinting material, the desired release and wetting properties of the imprint template may be established. In this manner, the imprint template may be efficiently wetted with the imprint material, while provided with the desired release properties to reduce, if not prevent, distortions in the pattern recorded in the solidified imprint layer upon separation of the imprint template therefrom. Further, by including the polymeric fluorinated surfactant in the imprinting material is was found the surface energy associated with the imprint template may be regenerated during imprint to maintain the desired release and wetting properties.
  • a pre-treatment of the imprint template may be undertaken to coat the imprint template with a polymeric fluorinate surfactant before contacting the imprint material containing solution.
  • a polymeric fluorinate surfactant such as 3MTM NovecTM
  • FIG. 1 is a perspective view of a lithographic system useful in carrying out one or more embodiments of the present invention
  • FIG. 2 is a simplified elevation view of a lithographic system shown in FIG. 1;
  • FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked;
  • FIG. 4 is a simplified representation of cross- linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation;
  • FIG. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in FIG. 1, after patterning of the imprinting layer; and
  • FIG. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention.
  • FIG. 1 shows lithographic system 10 that may be used to carry out imprint lithography in accordance with one or more embodiments of the present invention and utilizing imprinting materials fabricated in accordance with one or more embodiments of the present invention.
  • system 10 includes a pair of spaced-apart bridge supports 12 having bridge 14 and stage support 16 extending therebetween.
  • bridge 14 and stage support 16 are spaced-apart.
  • Imprint head 18 is coupled to bridge 14, and extends from bridge 14 toward stage support 16.
  • Motion stage 20 is disposed upon stage support 16 to face imprint head, and motion stage 20 is configured to move with respect to stage support 16 along X- and Y-axes.
  • Radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20.
  • radiation source 22 is coupled to bridge 14, and includes power generator 23 connected to radiation source 22.
  • imprint template 26 connected to imprint head 18 is imprint template 26 having mold 28 thereon.
  • Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b. The plurality of features defines an original pattern that is to be transferred into substrate 31 positioned on motion stage 20.
  • Substrate 31 may comprise a bare wafer or a wafer with one or more layers disposed thereon.
  • imprint head 18 is adapted to move along the Z-axis and vary a distance "d" between mold 28 and substrate 31. In this manner, features on mold 28 may be imprinted into a conformable region of substrate 31, discussed more fully below.
  • Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and substrate 31.
  • mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22.
  • a conformable region such as imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile.
  • the conformable region may be formed using any known technique to produce conformable material on surface 32, such as a hot embossing process disclosed in United States patent number 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al . in Ol tra.fa.st and Direct Imprint of Nano structures in Silicon, Nature, Col. 417, pp. 835-837, June 2002.
  • the conformable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of material 36a on substrate 31, discussed more fully below.
  • Imprinting layer 34 is formed from a material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern.
  • Material 36a is shown in FIG. 4 as being cross-linked at points 36b, forming cross-linked polymer material 36c.
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28.
  • imprint head 18 reduces the distance "d" to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32. In one embodiment, distance
  • sub-portions 34a of imprinting layer 34 is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a.
  • material 36a is provided with the requisite properties to completely fill recessions 28a while covering surface 32 with a contiguous formation of material 36a.
  • sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum distance "d” , has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness t 2 .
  • Thicknesses "ti" and "t 2 " may be any thickness desired, dependent upon the application.
  • radiation source 22 produces actinic radiation that polymerizes and cross-links material 36a, forming polymer material 36c in which a substantial portion thereof is cross-linked.
  • material 36a transforms to polymer material 36c, which is a solid, forming imprinting layer 134, shown in FIG. 5.
  • polymer material 36c is solidified to provide side 34c of imprinting layer 134 with a shape conforming to a shape of a surface 28c of mold 28, with imprinting layer 134 having recesses 30 (the bottom of the recesses may be referred to as a residual layer) .
  • imprint head 18, shown in FIG. 2 is moved to increase distance "d" so that mold 28 and imprinting layer 134 are spaced-apart.
  • additional processing may be employed to complete the patterning of substrate 31.
  • substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31, providing a patterned surface (not shown) .
  • the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31, as desired.
  • etching may be performed in a two-step process. S.C.
  • the first etch step referred to as a "break-through etch," anisotropically removes residual cross-linked material 134 to break through to an underlying transfer later (in this respect, better etch selectivity is enabled by keeping the residual layer thin) .
  • the second etch step uses the remaining pattern in cross-linked material 134 as an etch mask to transfer the pattern into the underlying transfer layer.
  • silicon in cross-link material 134, and lack of silicon in the transfer layer provides etch selectivity therebetween.
  • the etching may be done in a LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, California.
  • a halogen "breakthrough etch” may be utilized which comprises an anisotropic halogen reactive ion etch ("RIE") rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material (for example, and without limitation, a combination of CHF 3 and 0 2 , where the organosilicon nature of cross- linked material 134 may call for the use of a halogen gas) .
  • RIE anisotropic halogen reactive ion etch
  • fluorine-containing material for example, and without limitation, a combination of CHF 3 and 0 2 , where the organosilicon nature of cross- linked material 134 may call for the use of a halogen gas
  • CF 4 fluorine-containing material
  • This etch is similar to a standard Si0 2 etch performed in modern integrated circuit processing.
  • an anisotropic oxygen reactive ion etch may be used to transfer the features to underlying substrate 31 wherein the remaining silicon containing features serve as an etch mask to transfer the pattern to underlying substrate 31.
  • the "transfer etch" may be achieved, for example, and without limitation, with a standard, anisotropic, oxygen RIE processing tool.
  • any suitable etch process may be employed dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134.
  • Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed.
  • the selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired.
  • the plurality of features on mold 28 are shown as recessions 28a extending along a direction parallel to protrusions 28b that provide a cross-section of mold 28 with a shape of a battlement.
  • recessions 28a and protrusions 28b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers .
  • the pattern produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1.
  • mold 28 has recessions 28a defining an aspect ratio in a range of 1:1 to 10:1.
  • protrusions 28b have a width Wi in a range of about 10 nm to about 5000 ⁇ m
  • recessions 28a have a width W 2 in a range of 10 nm to about 5000 ⁇ m.
  • mold 28 and/or template 26 may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the like. [0027] Referring to FIGS.
  • the characteristics of material 36a are important to efficiently pattern substrate 31 in light of the deposition process employed.
  • material 36a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36.
  • the combined volume of droplets 36 is such that the material 36a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed.
  • imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation.
  • material 36a have certain characteristics to facilitate rapid and even spreading of material 36a in droplets 36 over surface 32 so that all thicknesses t x are substantially uniform and all thicknesses t 2 are substantially uniform.
  • the desirable characteristics include having a low viscosity, for example, and without limitation, in a range of about 0.5 to about 10 centepoise (cps) , as well as the ability to wet surface of substrate 31 and mold 28 and to avoid subsequent pit or hole formation after polymerization.
  • the viscosity is in a range of 0.5 to 5 cps.
  • imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub-portions 34b, shown in FIG. 5.
  • the constituent components that form material 36a to provide the aforementioned characteristics may differ. This results from substrate 31 being formed from a number of different materials. As a result, the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed.
  • substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury telluride, and composites thereof.
  • substrate 31 may include one or more layers in sub-portion 34b, for example, dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
  • material 36a include components to provide mold 28 with surface characteristics such that mold 28 may satisfy two --seemingly contradictory-- surface energy requirements. Specifically, once material 36a is solidified into material 36c, mold 28 should have the requisite surface energy to release from solidified material 36c so as to minimize distortions in the pattern recorded in solidified material 36c. Additionally, to ensure efficient filling of features of mold 28, it is desired that the surface of mold 28 have a sufficiently high surface energy to facilitate wetting of mold 28 with imprinting material 36a.
  • one manner in which the two aforementioned requirements for mold surface were balanced involved pre- treating the surface of mold 28 and including a surfactant in imprinting material.
  • a pre-treatment solution including isopropyl alcohol (“IPA”) and a surfactant consisting of 0.1% FSO-lOO was employed.
  • the imprinting material also included the surfactant FSO-lOO.
  • FSO-lOO is a fluorinated surfactant having a molecular weight of about 600, and it aligns efficiently at the surface of the imprint template with hydrophobic -CF 3 groups projecting towards the surface of the imprint template.
  • Such alignment is promoted by pre-cleaning the surface (prior to pre-treatment utilizing a surfactant solution consisting of 0.1% FSO-lOO in IPA) to create silanol functional groups on the surface.
  • a surfactant solution consisting of 0.1% FSO-lOO in IPA
  • the present invention provides an improved method and material that substantially reduces, if not prevents, void formation when imprinting high density features having a height of about 200 nm.
  • FC-4432 is a non-ionic polymeric fluorochemical surfactant belonging to a class of coating additives which provide low surface tensions in organic coating systems.
  • FC-4432 The composition of FC-4432 is 87% polymeric fluorochemical actives, 7% non-fluorochemical actives, 5% l-methyl-2-pyrudiinone, and ⁇ 1% toluene.
  • FC-4432 is a wetting, leveling and flow control agent for radiation curable polymer coating systems, and continues to be active throughout the curing process.
  • FC-4432 is the first in a new line of fluorochemical surfactants from the 3M Company based on perfluorosulfate (PFBS) , where PFBS refers collectively to perfluorobutane sulfonyl compounds including perfluorobutance sulfonates.
  • PFBS perfluorosulfate
  • FC-4432 has a higher percentage of -CF 2 groups situated at the surface when compared to FSO-lOO.
  • FC-4432 provides a higher surface energy than a -CF 3 group
  • the presence of a higher percentage of -CF 2 groups in FC-4432 at template surface provides a material having better wetting than FS-100.
  • a - CF2- group is hydrophobic enough so that its use produces a material having a good release property.
  • FC-4432 when compared to that of FSO-lOO, causes FC-4432 to act like a loosely packed coil structure that results in more porous molecular packing of surfactant molecules at the surface of the imprint template.
  • FC-4432 An exemplary composition for material 36a that utilizes the surfactant FC-4432 is produced by mixing (with exemplary proportions being given in weight) : (i) acryloxymethylpentamethyldisiloxane (for example, and without limitation, about 37 gm) which is available under the designation XG-1064 from Gelest, Inc.
  • IBOA isobornyl acrylate
  • SR 506 from Aldrich Chemical Company of Milwaukee, Wisconsin
  • ethylene glycol diacrylate for example, and without limitation, about 18 gm
  • EGDA from Aldrich Chemical Company of Milwaukee, Wisconsin
  • a UV photoinitiator for example, and without limitation, 2-hydrozy-2-methyl-l-phenyl-propan-l-one (for example, and without limitation, about 3 gm) which is available under the designation Darocur 1173 from CIBA ® of Tarrytown, New York)
  • FC-4432 for example, and without limitation, about 0.5 gm
  • the above-identified composition may also include stabilizers that are well known in the chemical art to increase the operational life of the composition.
  • the surfactant comprises less than 1% of the imprinting material.
  • the percentage of the surfactant may be greater than 1%.
  • surfactant molecules in droplets 36 of the imprinting material preferentially move toward the gas-liquid interface in less than about 1 sec. As such, it is believed that droplets 36 have a higher concentration of the surfactant in region 136 when compared with region 137 in which the polymerizable components are concentrated. It is believed that this is the result of an energy minimization process wherein the surfactant tends to move to the gas-liquid interface so that its hydrophobic end aligns towards the gas.
  • the fluorinated, hydrophobic end of the FC- 4432 (for example, comprised of -CF 3 and -CF 2 groups) is aligned to project out of the liquid and into the gas, and the hydrophilic end (i.e., -OH and polar ethylene oxide groups) is aligned to project into the liquid.
  • the imprinting material contacts the surface of the imprint template
  • exposed silanol bonds on the surface of the imprint template cause the hydrophilic end of the surfactant molecule to flip and to contact the exposed. silanol bonds so that -CF3 groups and -CF 2 groups face downward (i.e., outward from the surface of the imprint template) to enable adhesion reduction.
  • surfactant lamella may also be formed at the surface of the imprint template, which lamella may comprise, for example, two (2) layers of surfactant molecules.
  • an additional advantage provided by the above-described imprinting material is that the time required to wet mold 28 and, therefore, to spread droplets 36 may be reduced. Specifically, by abrogating the need to have an a priori release layer on mold 28, the surface of mold 28 may be provided with increased surface energy. Of course, the above-described imprinting material may be employed with an a priori release layer, such as those known in the prior art . [0036] Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface. An exemplary additive is a surfactant.
  • the above-described imprinting material is useful in providing substantially void free imprint lithography utilizing high feature density and relatively tall feature height (for example, and without limitation, feature heights of about 200 nm) with residual layer thicknesses of 50-100 nm (note that providing thin residual layers requires low viscosity and small drops of imprinting material, i.e., viscosity below about 10 cps, and preferably below 5 cps, and drops at or below about 80 pico-liters) .
  • the following describes a method for imprint lithography that utilizes one or more embodiments of the above-described imprinting material.
  • the surface of a quartz imprint template is pre-treated to create hydrophilic bonds at the surface, for example, and without limitation silanol (Si-OH) bonds.
  • the surface of the imprint template is dipped in a 2.5:1 solution of H 2 S0 4 and H 2 0 2 to hydrolyze the surface, i.e., to create silanol bonds at the surface.
  • the surface is further pre- treated by spraying the surface of the imprint template with a diluted FC-4432 solution (for example, and without limitation, 0.1% FC-4432 in IPA).
  • Exposure of the surface of the imprint template may be achieved by virtually any method known in the art, including dipping the surface into a volume of pre-treatment solution, wiping the surface with a cloth saturated with pre-treatment solution, and spraying a stream of pre-treatment solution onto the surface.
  • the IPA in the pre-treatment solution may be allowed to evaporate before using the mold 28. In this manner, the IPA facilitates removing undesired contaminants from the surface while leaving the surfactant .
  • the silanol groups promote alignment of the surfactant so that the hydrophilic end "adsorbs" to the -OH end of the silanol groups, and the hydrophobic, fluorine-rich end points away from the surface.
  • a gap between the imprint template and the substrate may be purged of air (mainly 0 2 and N 2 ) using, for example, and without limitation, an ⁇ 5 psi Helium purge.
  • the imprinting material containing the FC-4432 surfactant is applied to the substrate, for example, and without limitation, by placing a pattern of substantially equidistant droplets of imprinting material on the substrate, by spin-coating, or by any other method known to those of ordinary skill in the art.
  • the substrate was covered with a transfer layer whose top layer was a cross-linked BARC material (BARC or "bottom antireflective coating” is an organic antireflective coating that is typically produced by a spin-on process) .
  • the BARC layer was used to prevent intermixing between an imprinting material and the transfer layer, which intermixing may be particularly problematic when using an imprinting material comprised of low viscosity acrylate components because such components have solvency toward many polymers. Intermixing may cause problems such as, for example, and without limitation, distortion of features when an imprint template is separated from a substrate after exposure to polymerizing radiation. This can be particularly problematic when feature thicknesses are as small as 50 to 100 nm.
  • the familiar steps of imprint lithography are carried out, i.e., exposure to actinic radiation to polymerize the imprinting material; separation of the imprint template and the substrate; and selective etching to transfer the feature pattern to the substrate .
  • FC-4432 may be useful by itself in helping to provide substantially void free imprint lithography.
  • the surface was pre-treated as described directly above, and wherein the imprinting material included FSO-lOO as a surfactant rather than FC-4432. In this case a few void free imprints were made, but it is believed that as soon as the FC-4432 supplied to the surface of the imprint template by pre-treatment was abraded away, imprints having voids soon appeared .
  • FC-4432 adhered to the silanol groups on the surface of the imprint template ultimately is abraded away.
  • the FC-4432 contained in the imprinting material itself rapidly comes to the gas-liquid surface of the droplets, and the surface of the imprint template is re-coated with FC-4432 as a normal consequence of imprinting.
  • the pre-treatment step of applying the surfactant solution to the surface of the imprint template may be eliminated.
  • the imprint template may be contacted a few times with the imprinting material as a replacement for the pre- treatment step of applying the surfactant solution to the surface.
  • the use of the polymeric fluorinated surfactant as discussed above, enables varying the surface characteristics of mold 28, and therefore template 26, to satisfying the two aforementioned contradictory surface energy requirements.

Abstract

One embodiment of the present invention relates to an imprinting material for imprint lithography that includes the surfactant 3M™ Novec™ Fluorosurfactant FC-4432, and another embodiment of the present invention relates to a method for imprint lithography that uses the imprinting material.

Description

MATERIALS AND METHODS FOR IMPRINT LITHOGRAPHY
TECHNICAL FIELD OF THE INVENTION [0001] One or more embodiments of the present invention relate generally to imprint lithography. In particular, one or more embodiments of the present invention relate to materials and methods for imprint lithography.
BACKGROUND OF THE INVENTION [0002] Micro-fabrication involves the fabrication of very small structures, for example, and without limitation, structures having features on the order of micro-meters or smaller. One area in which micro-fabrica ion has had a sizeable impact is in processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important since micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication have been employed include biotechnology, optical technology, mechanical systems and the like.
[0003] An exemplary micro-fabrication technique is disclosed in U.S. Patent No. 6,334,960 to Willson et al . In particular, the Willson et al . patent discloses a method of imprint lithography to form a relief pattern in a structure. The method includes providing a substrate having a transfer layer (typically spin-coated) , and covering the transfer layer, in turn, with a low viscosity, polymerizable
(typically UV curable) fluid composition (typically in the form of droplets) . The method further includes mechanically contacting an imprint template or mold having a relief structure with the polymerizable fluid composition wherein the polymerizable fluid composition fills a gap between the imprint template and the substrate and fills the relief structure of the imprint template. Next, the method includes subjecting the polymerizable fluid composition to conditions to solidify and to polymerize the same (typically, exposing the polymerizable fluid composition to UV to crosslin it) , thereby forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint template. Next, the method includes separating the imprint template from the substrate to leave solid polymeric material on the substrate, which solid polymeric material includes a relief pattern in the form of the complimentary relief structure. Next, the solidified polymeric material and the transfer layer are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material to form a relief image in the transfer layer.
[0004] The following issues that relate to selective adhesion of the solidified polymeric material to different surfaces are typically considered when one develops a method and/or a material useful in forming fine-feature relief patterns in the solidified polymeric material. First, the solidified polymeric material ought to adhere well to the transfer layer on the substrate, and second, it ought to be easily released from the surface of the imprint template. These issues are typically referred to as release characteristics and selective/preferential adhesion, and if they are satisfied, the relief pattern recorded in the solidified polymeric material will not be distorted during separation of the imprint template from the substrate. To improve release characteristics, Willson et al . teaches forming a release layer on the surface of the imprint template, which release layer is typically hydrophobic and/or has low surface energy. Such a release layer will provide a weak boundary layer between the imprint template and the solidified polymeric material. This type of release layer is referred to, for purposes of the present discussion, as an a priori release layer, i.e., a release layer that is solidified to the surface of the imprint template. [0005] Another prior art approach to improving the release characteristics is described by Bender et al . in "Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues," Microeletronic Engineering 61-62 (2002), pp. 407-413. Specifically, Bender et al . utilizes an imprint template having an a priori release layer in conjunction with a fluorine-containing UV curable material. To that end, a UV curable layer is applied to a substrate by spin-coating a 200 cps UV curable fluid to form a UV curable layer. The UV curable layer is enriched with fluorine groups to improve its release characteristics. [0006] A priori release layers, however, typically have a limited operational life. As a result, a single imprint template needs to be coated multiple times with an a priori release layer during imprint processing. This can result in several hours of down-time for a given imprint template, thereby reducing throughput. Additionally, the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed. [0007] One measure of imprint lithography quality relates to feature filling. As is well known, sufficient wetting, along with other factors, ensures feature filling, and thereby prevents voids. To test one imprint lithography method and imprint material, we made imprints utilizing a 25 mm x 25 mm imprint template having reasonably well scattered features (i.e., the features were scattered widely enough to avoid repeated high density patterns -- less than 10% of the template surface was covered by features) with feature heights of about 100 nm to provide imprints wherein a typical residual layer thickness was about 50-100 nm (as is well known, solidified polymeric material disposed between features is typically referred to as a residual layer) . In particular, we were able to provide void free imprints utilizing the following method steps: (a) pre-cleaning the surface of the imprint template by dipping its surface in a 2.5:1 solution of H2S04 and H202; (b) further pre-treating the surface of the imprint template with a spray of diluted surfactant solution consisting of 0.1% FSO-lOO in isopropyl alcohol ("IPA") where FSO-lOO is a surfactant that is available under the designation ZONYL® FSO-lOO from DUPONT™ (FSO-lOO has a general structure of RχR2 where Ri = F(CF2CF2)γ, with Y being in a range of 1 to 7, inclusive, and R2 = CH2CH2θ(CH2CH2θ)χH, where X is in a range of 0 to 15, inclusive) ,- (c) purging a gap between the imprint template and the substrate using an ~ 5 psi Helium purge; (d) depositing a pattern of substantially equidistant droplets of the following imprinting fluid on the surface of the substrate (where each component is listed by weight) : (i) acryloxymethylpentamethyldisiloxane (37 gm) which is available under the designation XG-1064 from Gelest, Inc. of Morrisville, Pennsylvania, (ii) isobornyl acrylate ("IBOA") (42 gm) which is available under the designation SR 506 from Aldrich Chemical Company of Milwaukee, Wisconsin, (iii) ethylene glycol diacrylate (18 gm) which is available under the designation EGDA from Aldrich Chemical Company of Milwaukee, Wisconsin, (iv) a UV photoinitiator, i.e., 2-hydrozy-2-methyl-l-phenyl-propan-l-one (3 gm) which is available under the designation Darocur 1173 from CIBA® of Tarrytown, New York), and (v) FSO-lOO (0.5 gm) ; and (e) performing imprint lithography steps as described above. In this example, the substrate was covered with a transfer layer of a cross-linked BARC material (as is well known, BARC or "bottom antireflective coating" is an organic antireflective coating that is typically produced by a spin-on process) . The BARC layer was used to prevent intermixing between the imprinting material and the transfer layer, which intermixing may be particularly problematic when using an imprinting material comprised of low viscosity acrylate components because such components have solvency toward many polymers. Such intermixing may cause problems, such as, for example, and without limitation, distortion of features when the imprint template is separated from the substrate after exposure to polymerizing radiation. In particular, this can be problematic when feature thicknesses are as small as 50 nm to 100 nm. [0008] Despite the above-described successful imprinting, material voids were observed when we imprinted a high feature density imprint template using the above-described imprinting method. Two important differences between the high feature density imprint template and the above-described imprint template were that the feature density was much higher (for example, and without limitation, about 30% to about 40% of the surface of the template was covered by features in the high feature density imprint template) and the feature height of the high feature density imprint template was much higher, i.e., a height of 200 nm as compared to a height of 100 nm. [0009] In light of the above, there is a need for imprinting methods and materials for use in imprint lithography that overcome one or more of the above-identified problems . SUMMARY OF THE INVENTION [0010] One or more embodiments of the present invention satisfy one or more of the above-identified needs in the art. In particular, one embodiment of the present invention is a method of imprint lithography that comprises depositing an imprinting material on a substrate; varying release properties associated with an imprint template by contacting a solution including imprinting materials and a polymeric fluorinated surfactant; and energizing the imprinting materials to cause a solid material to be produced therefrom. Specifically, it was recognized that employing a polymeric surfactant containing solution to a surface of an imprint template substantially reduced, if not prevented, formation of voids during imprinting. To that end, an exemplary polymeric fluorinated surfactant is 3M™ Novec™
Fluorosurfactant FC-4432. Specifically, it was found that by including in the polymeric fluorinated surfactant in a solution containing imprinting material, the desired release and wetting properties of the imprint template may be established. In this manner, the imprint template may be efficiently wetted with the imprint material, while provided with the desired release properties to reduce, if not prevent, distortions in the pattern recorded in the solidified imprint layer upon separation of the imprint template therefrom. Further, by including the polymeric fluorinated surfactant in the imprinting material is was found the surface energy associated with the imprint template may be regenerated during imprint to maintain the desired release and wetting properties. In accordance with another embodiment, a pre-treatment of the imprint template may be undertaken to coat the imprint template with a polymeric fluorinate surfactant before contacting the imprint material containing solution. In yet another embodiment of the present invention is an imprinting material that includes a polymeric fluorinated surfactant, such as 3M™ Novec™
Fluorosurfactant FC-4432. BRIEF DESCRIPTION OF THE DRAWINGS [0011] FIG. 1 is a perspective view of a lithographic system useful in carrying out one or more embodiments of the present invention;
[0012] FIG. 2 is a simplified elevation view of a lithographic system shown in FIG. 1;
[0013] FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked; [0014] FIG. 4 is a simplified representation of cross- linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation; [0015] FIG. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in FIG. 1, after patterning of the imprinting layer; and [0016] FIG. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention.
DETAILED DESCRIPTION OF THE INVENTION
[0017] FIG. 1 shows lithographic system 10 that may be used to carry out imprint lithography in accordance with one or more embodiments of the present invention and utilizing imprinting materials fabricated in accordance with one or more embodiments of the present invention. As shown in FIG. 1, system 10 includes a pair of spaced-apart bridge supports 12 having bridge 14 and stage support 16 extending therebetween. As further shown in FIG. 1, bridge 14 and stage support 16 are spaced-apart. Imprint head 18 is coupled to bridge 14, and extends from bridge 14 toward stage support 16. Motion stage 20 is disposed upon stage support 16 to face imprint head, and motion stage 20 is configured to move with respect to stage support 16 along X- and Y-axes. Radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As further shown in FIG. 1, radiation source 22 is coupled to bridge 14, and includes power generator 23 connected to radiation source 22. [0018] Referring to FIGS. 1 and 2, connected to imprint head 18 is imprint template 26 having mold 28 thereon. Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b. The plurality of features defines an original pattern that is to be transferred into substrate 31 positioned on motion stage 20. Substrate 31 may comprise a bare wafer or a wafer with one or more layers disposed thereon. To that end, imprint head 18 is adapted to move along the Z-axis and vary a distance "d" between mold 28 and substrate 31. In this manner, features on mold 28 may be imprinted into a conformable region of substrate 31, discussed more fully below. Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and substrate 31. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22. [0019] Referring to FIGS. 2 and 3, a conformable region, such as imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. It should be understood that the conformable region may be formed using any known technique to produce conformable material on surface 32, such as a hot embossing process disclosed in United States patent number 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al . in Ol tra.fa.st and Direct Imprint of Nano structures in Silicon, Nature, Col. 417, pp. 835-837, June 2002. In accordance with one embodiment of the present invention, the conformable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of material 36a on substrate 31, discussed more fully below. Imprinting layer 34 is formed from a material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. Material 36a is shown in FIG. 4 as being cross-linked at points 36b, forming cross-linked polymer material 36c. [0020] Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, imprint head 18 reduces the distance "d" to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32. In one embodiment, distance
"d" is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a. [0021] To facilitate filling of recessions 28a, material 36a is provided with the requisite properties to completely fill recessions 28a while covering surface 32 with a contiguous formation of material 36a. In accordance with one embodiment of the present invention, sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum distance "d" , has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness t2. Thicknesses "ti" and "t2" may be any thickness desired, dependent upon the application. [0022] Referring to FIGS. 2, 3 and 4, after a desired distance "d" has been reached, radiation source 22 produces actinic radiation that polymerizes and cross-links material 36a, forming polymer material 36c in which a substantial portion thereof is cross-linked. As a result, material 36a transforms to polymer material 36c, which is a solid, forming imprinting layer 134, shown in FIG. 5. Specifically, polymer material 36c is solidified to provide side 34c of imprinting layer 134 with a shape conforming to a shape of a surface 28c of mold 28, with imprinting layer 134 having recesses 30 (the bottom of the recesses may be referred to as a residual layer) . After imprinting layer 134 is transformed to consist of polymer material 36c, shown in FIG. 4, imprint head 18, shown in FIG. 2, is moved to increase distance "d" so that mold 28 and imprinting layer 134 are spaced-apart. [0023] Referring to FIG. 5, additional processing may be employed to complete the patterning of substrate 31. For example, substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31, providing a patterned surface (not shown) . To facilitate etching, the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31, as desired. [0024] To that end, etching may be performed in a two-step process. S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, and C.G. Willson describe suitable etch processes in an article entitled "Advances in Step and Flash Imprint Lithography" SPIE Microlithography Conference, February 2003, which article is available on the Internet at www.molecularimprints.com, and which article is incorporated by reference herein. As set forth in the article, the first etch step, referred to as a "break-through etch," anisotropically removes residual cross-linked material 134 to break through to an underlying transfer later (in this respect, better etch selectivity is enabled by keeping the residual layer thin) . The second etch step, referred to as a "transfer etch," uses the remaining pattern in cross-linked material 134 as an etch mask to transfer the pattern into the underlying transfer layer. In one embodiment, silicon in cross-link material 134, and lack of silicon in the transfer layer, provides etch selectivity therebetween. In such an embodiment, the etching may be done in a LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, California. For example, and without limitation, a halogen "breakthrough etch" may be utilized which comprises an anisotropic halogen reactive ion etch ("RIE") rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material (for example, and without limitation, a combination of CHF3 and 02, where the organosilicon nature of cross- linked material 134 may call for the use of a halogen gas) . Other suitable halogen compounds include, for example, and without limitation, CF4. This etch is similar to a standard Si02 etch performed in modern integrated circuit processing. Next, an anisotropic oxygen reactive ion etch may be used to transfer the features to underlying substrate 31 wherein the remaining silicon containing features serve as an etch mask to transfer the pattern to underlying substrate 31. The "transfer etch" may be achieved, for example, and without limitation, with a standard, anisotropic, oxygen RIE processing tool. However, in general, any suitable etch process may be employed dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like. [0025] Referring to both FIGS. 1 and 2, exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed. The selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired. Furthermore, the plurality of features on mold 28 are shown as recessions 28a extending along a direction parallel to protrusions 28b that provide a cross-section of mold 28 with a shape of a battlement. However, recessions 28a and protrusions 28b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers . [0026] Referring to FIGS. 1, 2 and 5, the pattern produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1. To that end, one embodiment of mold 28 has recessions 28a defining an aspect ratio in a range of 1:1 to 10:1. Specifically, protrusions 28b have a width Wi in a range of about 10 nm to about 5000 μm, and recessions 28a have a width W2 in a range of 10 nm to about 5000 μm. As a result, mold 28 and/or template 26, may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the like. [0027] Referring to FIGS. 1, 2 and 3, the characteristics of material 36a are important to efficiently pattern substrate 31 in light of the deposition process employed. As mentioned above, material 36a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36. The combined volume of droplets 36 is such that the material 36a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed. As a result, imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation. As a result of the deposition process, it is desired that material 36a have certain characteristics to facilitate rapid and even spreading of material 36a in droplets 36 over surface 32 so that all thicknesses tx are substantially uniform and all thicknesses t2 are substantially uniform. The desirable characteristics include having a low viscosity, for example, and without limitation, in a range of about 0.5 to about 10 centepoise (cps) , as well as the ability to wet surface of substrate 31 and mold 28 and to avoid subsequent pit or hole formation after polymerization. Preferably, the viscosity is in a range of 0.5 to 5 cps. With these characteristics satisfied, imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub-portions 34b, shown in FIG. 5. [0028] The constituent components that form material 36a to provide the aforementioned characteristics may differ. This results from substrate 31 being formed from a number of different materials. As a result, the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed. For example, substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury telluride, and composites thereof. Additionally, substrate 31 may include one or more layers in sub-portion 34b, for example, dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
[0029] Referring to Figs. 2, 3 and 4, it is desired, however, that material 36a include components to provide mold 28 with surface characteristics such that mold 28 may satisfy two --seemingly contradictory-- surface energy requirements. Specifically, once material 36a is solidified into material 36c, mold 28 should have the requisite surface energy to release from solidified material 36c so as to minimize distortions in the pattern recorded in solidified material 36c. Additionally, to ensure efficient filling of features of mold 28, it is desired that the surface of mold 28 have a sufficiently high surface energy to facilitate wetting of mold 28 with imprinting material 36a. [0030] As was discussed in the Background of the Invention, in accordance with one particular method of imprinting, one manner in which the two aforementioned requirements for mold surface were balanced involved pre- treating the surface of mold 28 and including a surfactant in imprinting material. To that end, a pre-treatment solution including isopropyl alcohol ("IPA") and a surfactant consisting of 0.1% FSO-lOO was employed. The imprinting material also included the surfactant FSO-lOO. FSO-lOO is available under the designation ZONYL® FSO-lOO from DUPONT™ and has a general structure of RR2 where Ri = F(CF2CF2)γ, with Y being in a range of 1 to 7, inclusive, and R2 = CH2CH20(CH2CH2θ)xH, where X is in a range of 0 to 15, inclusive. FSO-lOO is a fluorinated surfactant having a molecular weight of about 600, and it aligns efficiently at the surface of the imprint template with hydrophobic -CF3 groups projecting towards the surface of the imprint template. Such alignment is promoted by pre-cleaning the surface (prior to pre-treatment utilizing a surfactant solution consisting of 0.1% FSO-lOO in IPA) to create silanol functional groups on the surface. However, the present invention provides an improved method and material that substantially reduces, if not prevents, void formation when imprinting high density features having a height of about 200 nm.
[0031] This is achieved, in part, by employing a polymeric fluorinated surfactant. An exemplary polymeric fluorinated surfactant is available under the designation 3M™ Novec™ Fluorosurfactant FC-4432 (hereafter referred to as FC-4432) from 3M Company of St. Paul, Minnesota in the manner described in detail below to provide an imprinting material and methods for imprint lithography. FC-4432 is a non-ionic polymeric fluorochemical surfactant belonging to a class of coating additives which provide low surface tensions in organic coating systems. The composition of FC-4432 is 87% polymeric fluorochemical actives, 7% non-fluorochemical actives, 5% l-methyl-2-pyrudiinone, and <1% toluene. FC-4432 is a wetting, leveling and flow control agent for radiation curable polymer coating systems, and continues to be active throughout the curing process. FC-4432 is the first in a new line of fluorochemical surfactants from the 3M Company based on perfluorosulfate (PFBS) , where PFBS refers collectively to perfluorobutane sulfonyl compounds including perfluorobutance sulfonates. In addition, such PFBS-based surfactants with only four perfluorinated carbon atoms offer improved environmental properties. The molecular weight of FC-4432 is about 4000, and because of its higher molecular weight than that of FSO-lOO, the fluorinated groups of FC-4432 align differently at the surface of an imprint template than those in FSO-lOO. In particular, besides -CF3 groups of FSO-lOO, FC-4432 has a higher percentage of -CF2 groups situated at the surface when compared to FSO-lOO. Because a -CF2 group provides a higher surface energy than a -CF3 group, the presence of a higher percentage of -CF2 groups in FC-4432 at template surface provides a material having better wetting than FS-100. However, despite its higher surface energy, a - CF2- group is hydrophobic enough so that its use produces a material having a good release property. In addition, it is believed that the higher molecular weight of FC-4432 (when compared to that of FSO-lOO) causes FC-4432 to act like a loosely packed coil structure that results in more porous molecular packing of surfactant molecules at the surface of the imprint template. It is further believed that this coil structure helps enhance wetting over that provided by FSO- lOO, and in addition to that provided by the presence of a higher percentage of surface -CF2 groups in FC-4432 when compared to FSO-lOO. [0032] An exemplary composition for material 36a that utilizes the surfactant FC-4432 is produced by mixing (with exemplary proportions being given in weight) : (i) acryloxymethylpentamethyldisiloxane (for example, and without limitation, about 37 gm) which is available under the designation XG-1064 from Gelest, Inc. of Morrisville, Pennsylvania, (ii) isobornyl acrylate ("IBOA") (for example, and without limitation, about 42 gm) which is available under the designation SR 506 from Aldrich Chemical Company of Milwaukee, Wisconsin, (iii) ethylene glycol diacrylate (for example, and without limitation, about 18 gm) which is available under the designation EGDA from Aldrich Chemical Company of Milwaukee, Wisconsin, (iv) a UV photoinitiator, for example, and without limitation, 2-hydrozy-2-methyl-l-phenyl-propan-l-one (for example, and without limitation, about 3 gm) which is available under the designation Darocur 1173 from CIBA® of Tarrytown, New York) , and (v) FC-4432 (for example, and without limitation, about 0.5 gm) . The above-identified composition may also include stabilizers that are well known in the chemical art to increase the operational life of the composition. In a typical such embodiment, the surfactant comprises less than 1% of the imprinting material. However, the percentage of the surfactant may be greater than 1%. [0033] An advantage provided by the above-described imprinting material is that it abrogates the need for an a priori release layer, i.e., a separate hydrophobic and/or low surface energy release layer disposed on imprint template 28 (as described in the Background of the Invention) . Specifically, the imprinting material provides desirable release properties to mold 28 and imprinting layer 34 so that material 36c, shown in FIG. 4, does not adhere to mold 28 with sufficient force to distort the pattern recorded therein. [0034] Referring to FIG. 6, it is believed that surfactant molecules in droplets 36 of the imprinting material preferentially move toward the gas-liquid interface in less than about 1 sec. As such, it is believed that droplets 36 have a higher concentration of the surfactant in region 136 when compared with region 137 in which the polymerizable components are concentrated. It is believed that this is the result of an energy minimization process wherein the surfactant tends to move to the gas-liquid interface so that its hydrophobic end aligns towards the gas. For example, it is believed that the fluorinated, hydrophobic end of the FC- 4432 (for example, comprised of -CF3 and -CF2 groups) is aligned to project out of the liquid and into the gas, and the hydrophilic end (i.e., -OH and polar ethylene oxide groups) is aligned to project into the liquid. However, when the imprinting material contacts the surface of the imprint template, it is believed that exposed silanol bonds on the surface of the imprint template cause the hydrophilic end of the surfactant molecule to flip and to contact the exposed. silanol bonds so that -CF3 groups and -CF2 groups face downward (i.e., outward from the surface of the imprint template) to enable adhesion reduction. It is further believed that surfactant lamella may also be formed at the surface of the imprint template, which lamella may comprise, for example, two (2) layers of surfactant molecules.
[0035] Referring to FIG. 2, an additional advantage provided by the above-described imprinting material is that the time required to wet mold 28 and, therefore, to spread droplets 36 may be reduced. Specifically, by abrogating the need to have an a priori release layer on mold 28, the surface of mold 28 may be provided with increased surface energy. Of course, the above-described imprinting material may be employed with an a priori release layer, such as those known in the prior art . [0036] Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface. An exemplary additive is a surfactant.
[0037] The above-described imprinting material is useful in providing substantially void free imprint lithography utilizing high feature density and relatively tall feature height (for example, and without limitation, feature heights of about 200 nm) with residual layer thicknesses of 50-100 nm (note that providing thin residual layers requires low viscosity and small drops of imprinting material, i.e., viscosity below about 10 cps, and preferably below 5 cps, and drops at or below about 80 pico-liters) .
[0038] The following describes a method for imprint lithography that utilizes one or more embodiments of the above-described imprinting material. As a first step, the surface of a quartz imprint template is pre-treated to create hydrophilic bonds at the surface, for example, and without limitation silanol (Si-OH) bonds. In accordance with one or more embodiments of the present invention, the surface of the imprint template is dipped in a 2.5:1 solution of H2S04 and H202 to hydrolyze the surface, i.e., to create silanol bonds at the surface. As a next step, the surface is further pre- treated by spraying the surface of the imprint template with a diluted FC-4432 solution (for example, and without limitation, 0.1% FC-4432 in IPA). Exposure of the surface of the imprint template may be achieved by virtually any method known in the art, including dipping the surface into a volume of pre-treatment solution, wiping the surface with a cloth saturated with pre-treatment solution, and spraying a stream of pre-treatment solution onto the surface. The IPA in the pre-treatment solution may be allowed to evaporate before using the mold 28. In this manner, the IPA facilitates removing undesired contaminants from the surface while leaving the surfactant . Because the surfactant includes a hydrophobic, fluorine-rich end, and a hydrophilic end, the silanol groups promote alignment of the surfactant so that the hydrophilic end "adsorbs" to the -OH end of the silanol groups, and the hydrophobic, fluorine-rich end points away from the surface. In a next step, a gap between the imprint template and the substrate may be purged of air (mainly 02 and N2) using, for example, and without limitation, an ~ 5 psi Helium purge. In a next step, the imprinting material containing the FC-4432 surfactant is applied to the substrate, for example, and without limitation, by placing a pattern of substantially equidistant droplets of imprinting material on the substrate, by spin-coating, or by any other method known to those of ordinary skill in the art. In this example, the substrate was covered with a transfer layer whose top layer was a cross-linked BARC material (BARC or "bottom antireflective coating" is an organic antireflective coating that is typically produced by a spin-on process) . The BARC layer was used to prevent intermixing between an imprinting material and the transfer layer, which intermixing may be particularly problematic when using an imprinting material comprised of low viscosity acrylate components because such components have solvency toward many polymers. Intermixing may cause problems such as, for example, and without limitation, distortion of features when an imprint template is separated from a substrate after exposure to polymerizing radiation. This can be particularly problematic when feature thicknesses are as small as 50 to 100 nm. Next, the familiar steps of imprint lithography are carried out, i.e., exposure to actinic radiation to polymerize the imprinting material; separation of the imprint template and the substrate; and selective etching to transfer the feature pattern to the substrate .
[0039] It is believed that the use of surface pre- treatments described above utilizing FC-4432 may be useful by itself in helping to provide substantially void free imprint lithography. For example, we have run experiments where the surface was pre-treated as described directly above, and wherein the imprinting material included FSO-lOO as a surfactant rather than FC-4432. In this case a few void free imprints were made, but it is believed that as soon as the FC-4432 supplied to the surface of the imprint template by pre-treatment was abraded away, imprints having voids soon appeared . [0040] It is believed that even when pre-treating the surface of the imprint template as described above utilizing FC-4432, FC-4432 adhered to the silanol groups on the surface of the imprint template ultimately is abraded away. However, as was described above, the FC-4432 contained in the imprinting material itself rapidly comes to the gas-liquid surface of the droplets, and the surface of the imprint template is re-coated with FC-4432 as a normal consequence of imprinting. As such, in accordance with one or more embodiments of the present invention, the pre-treatment step of applying the surfactant solution to the surface of the imprint template may be eliminated. In fact, in accordance with one or more further embodiments of the present invention, the imprint template may be contacted a few times with the imprinting material as a replacement for the pre- treatment step of applying the surfactant solution to the surface. In this manner, the use of the polymeric fluorinated surfactant, as discussed above, enables varying the surface characteristics of mold 28, and therefore template 26, to satisfying the two aforementioned contradictory surface energy requirements. [0041] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims

WHAT IS CLAIMED:
1. A method of imprint lithography that comprises: depositing an imprinting material on a substrate; varying release properties associated with an imprint template by contacting a solution including imprinting materials and a polymeric fluorinated surfactant; and energizing the imprinting materials to cause a solid material to be produced therefrom.
2. The method as recited in claim 1 wherein said varying further include providing said solution with a mixture of said imprinting materials and 3M™ Novec™ Fluorosurfactant FC-4432.
3. The method of claim 1 which includes pre-treating the surface of the imprint template to be hydrophilic.
4. The method of claim 2 which includes further pre- treating the surface of the imprint template by applying a composition that includes the surfactant.
5. The method of claim 4 wherein the composition is a mixture of isopropyl alcohol and the surfactant.
6. A method of imprint lithography that comprises: depositing an imprinting material on a substrate,- moving an imprint template towards the substrate so that the imprinting materials coats a surface of the imprint template and a surface of the substrate; and energizing the imprinting material to cause a solid material to be produced therefrom; and wherein the imprinting material includes a surfactant having a composition that includes 87% polymeric fluorochemical actives, 7% non-fluorochemical actives, 5% 1- methyl-2-pyrudiinone, and <1% toluene.
7. The method of claim 1 wherein the imprinting material includes acryloxymethylpentamethyldisiloxane, isobornyl acrylate, ethylene glycol diacrylate, and 2-hydrozy-2-methyl-1-phenyl-propan-1-one .
8. The method of claim 6 wherein the imprinting material includes acryloxymethylpentamethyldisiloxane, isobornyl acrylate, ethylene glycol diacrylate, and 2 -hydrozy-2-methyl-1-phenyl-propan-1-one .
9. The method of claim 7 wherein the surfactant is <1% of the imprinting material.
10. The method of claim 8 wherein the surfactant is <1% of the imprinting material .
11. The method of claim 6 wherein depositing includes depositing a plurality of droplets upon the substrate.
12. The method of claim 6 wherein depositing includes spin-coating.
13. The method of claim 6 which includes pre-treating the surface of the imprint template to be hydrophilic.
14. The method of claim 13 wherein pre-treating includes hydrolyzing.
15. The method of claim 14 wherein hydrolyzing includes forming -OH bonds at the surface of the imprint template .
16. A method of imprint lithography that comprises: pre-treating a surface of an imprint template to cause it to be hydrophilic; pre-treating the surface of the imprint template by applying a composition that includes 3M™ Novec™ Fluorosurfactant FC-4432; depositing an imprinting material on a substrate; moving an imprint template towards the substrate so that the imprinting materials coats the surface of the imprint template and a surface of the substrate; and energizing the imprinting material to cause a solid material to be produced therefrom.
17. An imprinting material for use in imprint lithography that comprises a polymeric fluorinated surfactant .
18. The imprinting material of claim 17 wherein said polymeric fluorinated surfactant consists of 3M™ Novec™ Fluorosurfactant FC-4432.
19. The imprinting material of claim 17 which further includes a UV photoinitiator .
20. The imprinting material of claim 19 which further includes acryloxymethylpentamethyldisiloxane, isobornyl acrylate, ethylene glycol diacrylate, and 2-hydrozy-2 -methyl-1-phenyl-propan-1-one .
21. The imprinting material of claim 18 wherein 3M™ Novec™ Fluorosurfactant FC-4432 comprises <1% of the material .
PCT/US2005/001054 2004-01-23 2005-01-11 Materials and methods for imprint lithography WO2005072120A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/763,885 2004-01-23
US10/763,885 US20050160934A1 (en) 2004-01-23 2004-01-23 Materials and methods for imprint lithography

Publications (2)

Publication Number Publication Date
WO2005072120A2 true WO2005072120A2 (en) 2005-08-11
WO2005072120A3 WO2005072120A3 (en) 2005-11-10

Family

ID=34795158

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/001054 WO2005072120A2 (en) 2004-01-23 2005-01-11 Materials and methods for imprint lithography

Country Status (3)

Country Link
US (3) US20050160934A1 (en)
TW (1) TW200531150A (en)
WO (1) WO2005072120A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US8152511B2 (en) 2003-06-17 2012-04-10 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001277907A1 (en) 2000-07-17 2002-01-30 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
WO2007081876A2 (en) * 2006-01-04 2007-07-19 Liquidia Technologies, Inc. Nanostructured surfaces for biomedical/biomaterial applications and processes thereof
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US20070298176A1 (en) * 2006-06-26 2007-12-27 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100098847A1 (en) * 2008-10-21 2010-04-22 Molecular Imprints, Inc. Drop Deposition Materials for Imprint Lithography
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8262975B2 (en) * 2008-11-11 2012-09-11 HGST Netherlands B.V Self-releasing resist material for nano-imprint processes
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
KR20110015304A (en) * 2009-08-07 2011-02-15 주식회사 동진쎄미켐 Photocurable resin composition for imprint lithography and manufacturing method of imprint mold using same
EP2287666B1 (en) * 2009-08-22 2012-06-27 EV Group E. Thallner GmbH Device for embossing substrates
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
JP2011222647A (en) * 2010-04-07 2011-11-04 Fujifilm Corp Pattern forming method and pattern substrate manufacturing method
CA2794903A1 (en) 2010-04-27 2011-11-10 Nanoink, Inc. Ball-spacer method for planar object leveling
US20120058258A1 (en) * 2010-09-07 2012-03-08 Molecular Imprints, Inc. Methods of cleaning hard drive disk substrates for nanoimprint lithography
US8926888B2 (en) * 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
JP5306404B2 (en) * 2011-03-25 2013-10-02 株式会社東芝 Pattern formation method
US20120261849A1 (en) * 2011-04-14 2012-10-18 Canon Kabushiki Kaisha Imprint apparatus, and article manufacturing method using same
WO2012170936A2 (en) 2011-06-09 2012-12-13 Illumina, Inc. Patterned flow-cells useful for nucleic acid analysis
US8778849B2 (en) 2011-10-28 2014-07-15 Illumina, Inc. Microarray fabrication system and method
JP6328001B2 (en) 2013-08-30 2018-05-23 キヤノン株式会社 Curable composition for imprint, film, method for producing film
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10754245B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10754243B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10095106B2 (en) * 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10578965B2 (en) 2016-03-31 2020-03-03 Canon Kabushiki Kaisha Pattern forming method
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
CN110140089B (en) 2016-09-21 2023-01-03 分子印记公司 Microlithographic fabrication of structures
CN109937127B (en) 2016-10-18 2021-06-08 分子印记公司 Microlithographic fabrication of structures
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7425602B2 (en) 2017-03-08 2024-01-31 キヤノン株式会社 Pattern forming method, method for manufacturing processed substrates, optical components and quartz mold replicas, imprint pre-treatment coating material and set thereof with imprint resist
KR102265572B1 (en) 2017-03-08 2021-06-17 캐논 가부시끼가이샤 Pattern forming method using optical nanoimprint technology, imprint apparatus and curable composition
WO2018164015A1 (en) 2017-03-08 2018-09-13 キヤノン株式会社 Pattern forming method, imprint preprocessing coating material, and substrate preprocessing method
US10386882B2 (en) * 2017-04-14 2019-08-20 Allegro Microsystems, Llc Control circuit
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3403122A (en) 1967-12-22 1968-09-24 Minnesota Mining & Mfg Emulsion polymerization of water insoluble omega-(n-perfluoroalkanesulfonyl) aminoalkyl acrylates or methacrylates
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3787351A (en) 1972-02-28 1974-01-22 Minnesota Mining & Mfg Use of soluble fluoroaliphatic oligomers in resin composite articles
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4303761A (en) 1979-05-29 1981-12-01 E. I. Du Pont De Nemours And Company Fluoroelastomer gelling agents and products made therefrom
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4585829A (en) 1983-09-30 1986-04-29 Union Carbide Corporation Internal mold release for reaction injection molded polyurethanes
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
JPS61116358A (en) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4845008A (en) * 1986-02-20 1989-07-04 Fuji Photo Film Co., Ltd. Light-sensitive positive working, o-guinone diazide presensitized plate with mixed solvent
JPH0762761B2 (en) 1986-03-28 1995-07-05 富士写真フイルム株式会社 Image forming material
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPH01163027A (en) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd Method and device for molding optical element
US4835084A (en) 1988-03-21 1989-05-30 Eastman Kodak Company Electrostatographic toner and method of producing the same
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5204381A (en) * 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
US6054034A (en) 1990-02-28 2000-04-25 Aclara Biosciences, Inc. Acrylic microchannels and their use in electrophoretic applications
JP3197010B2 (en) * 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
JP2586692B2 (en) * 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
GB9014299D0 (en) 1990-06-27 1990-08-15 Domino Printing Sciences Plc Ink composition
GB9123070D0 (en) 1991-10-30 1991-12-18 Domino Printing Sciences Plc Ink
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5283148A (en) 1992-09-18 1994-02-01 Minnesota Mining And Manufacturing Company Liquid toners for use with perfluorinated solvents
DE4306997A1 (en) 1993-03-05 1994-09-08 Thera Ges Fuer Patente Hydrophilized polyethers
US5482768A (en) * 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5380644A (en) 1993-08-10 1995-01-10 Minnesota Mining And Manufacturing Company Additive for the reduction of mottle in photothermographic and thermographic elements
US5389696A (en) * 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5462700A (en) * 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5631314A (en) 1994-04-27 1997-05-20 Tokyo Ohka Kogyo Co., Ltd. Liquid coating composition for use in forming photoresist coating films and photoresist material using said composition
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
JP3278306B2 (en) 1994-10-31 2002-04-30 富士写真フイルム株式会社 Positive photoresist composition
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US5747234A (en) 1995-11-13 1998-05-05 Eastman Kodak Company Photographic element
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
GB9603667D0 (en) 1996-02-21 1996-04-17 Coates Brothers Plc Ink composition
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6093455A (en) 1997-05-23 2000-07-25 Deco Patents, Inc. Method and compositions for decorating glass
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
TW494125B (en) 1997-07-11 2002-07-11 Rohm And Haas Compary Preparation of fluorinated polymers
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6169139B1 (en) 1998-04-27 2001-01-02 Dupont Dow Elastomers Llc Fluoroelastomer latex
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
KR100273172B1 (en) 1998-08-01 2001-03-02 윤덕용 Photoresist using a compound having a dioxaspirocyclic derivative in the acrylic side chain
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
EP1003078A3 (en) 1998-11-17 2001-11-07 Corning Incorporated Replicating a nanoscale pattern
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6245421B1 (en) 1999-02-04 2001-06-12 Kodak Polychrome Graphics Llc Printable media for lithographic printing having a porous, hydrophilic layer and a method for the production thereof
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
TW465815U (en) 1999-04-09 2001-11-21 Hon Hai Prec Ind Co Ltd Electrical connector
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6276273B1 (en) 1999-06-11 2001-08-21 Kodak Polychrome Graphics Llc Surfactant-pretreated printing plate substrate, lithographic printing plate and method for production thereof
JP2003502169A (en) 1999-06-11 2003-01-21 ボシュ・アンド・ロム・インコーポレイテッド Lens mold with protective coating for manufacturing contact lenses and other ophthalmic products
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
WO2001018305A1 (en) 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
JP4855616B2 (en) 1999-10-27 2012-01-18 スリーエム イノベイティブ プロパティズ カンパニー Fluorochemical sulfonamide surfactant
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
JP3802732B2 (en) 2000-05-12 2006-07-26 信越化学工業株式会社 Resist material and pattern forming method
US6372838B1 (en) 2000-06-28 2002-04-16 3M Innovative Properties Company Fine latex and seed method of making
CN100504598C (en) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
US20020126189A1 (en) 2000-09-21 2002-09-12 Gloster Daniel F. Systems and methods for lithography
EP2306242A3 (en) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
DE10062203A1 (en) 2000-12-13 2002-06-20 Creavis Tech & Innovation Gmbh Metallic embossing tool or embossing roller, used for embossing hydrophobic polymers to provide a surface structure to the polymer, is rendered hydrophobic before the first embossing step
KR20020047490A (en) 2000-12-13 2002-06-22 윤종용 Photosensitive polymer containing silicon and resist composition comprising the same
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
KR100970661B1 (en) 2001-02-27 2010-07-15 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 Novel polymers, Processes for polymer synthesis and Photoresist compositions
KR100442859B1 (en) 2001-04-04 2004-08-02 삼성전자주식회사 Photosensitive polymer comprising polymer of alkyl vinyl ether containing silicon and resist composition comprising the same
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
AU2003292809A1 (en) 2002-12-26 2004-07-29 Nissan Chemical Industries, Ltd. Alkali-soluble gap filling material forming composition for lithography
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
JP2005014348A (en) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd Original plate for planographic printing plate, and planographic printing method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7052749B2 (en) 2004-01-16 2006-05-30 Eastman Kodak Company Inkjet recording element comprising subbing layer and printing method
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20080000373A1 (en) * 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
US20080110557A1 (en) 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
3M Product Information from the 3M Website. *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152511B2 (en) 2003-06-17 2012-04-10 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method

Also Published As

Publication number Publication date
US20050160934A1 (en) 2005-07-28
US20110031651A1 (en) 2011-02-10
US7837921B2 (en) 2010-11-23
TW200531150A (en) 2005-09-16
US8268220B2 (en) 2012-09-18
US20060175736A1 (en) 2006-08-10
WO2005072120A3 (en) 2005-11-10

Similar Documents

Publication Publication Date Title
US20050160934A1 (en) Materials and methods for imprint lithography
US7157036B2 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
EP2261280B1 (en) Process for imprint lithography
US7122482B2 (en) Methods for fabricating patterned features utilizing imprint lithography
JP4942657B2 (en) Polymerization techniques to attenuate oxygen inhibition of liquid coagulation and compositions therefor
US7699598B2 (en) Conforming template for patterning liquids disposed on substrates
US8616873B2 (en) Micro-conformal templates for nanoimprint lithography
US20060035029A1 (en) Method to provide a layer with uniform etch characteristics
US20100140218A1 (en) Positive Tone Bi-Layer Method
US20060036051A1 (en) Composition to provide a layer with uniform etch characteristics
WO2006023297A1 (en) Method and composition to provide a layer with uniform etch characteristics
KR101179063B1 (en) Materials for imprint lithography
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor
Zelsmann et al. Materials and processes in UV-assisted nanoimprint lithography
Watts et al. Method to reduce adhesion between a conformable region and a pattern of a mold
Watts et al. Materials for imprint lithography
CN1914265A (en) Materials for imprint lithography

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase