WO2005068681A2 - Cleaning tantalum-containing deposits from process chamber components - Google Patents

Cleaning tantalum-containing deposits from process chamber components Download PDF

Info

Publication number
WO2005068681A2
WO2005068681A2 PCT/US2004/016518 US2004016518W WO2005068681A2 WO 2005068681 A2 WO2005068681 A2 WO 2005068681A2 US 2004016518 W US2004016518 W US 2004016518W WO 2005068681 A2 WO2005068681 A2 WO 2005068681A2
Authority
WO
WIPO (PCT)
Prior art keywords
tantalum
solution
cleaning
metal
deposits
Prior art date
Application number
PCT/US2004/016518
Other languages
French (fr)
Other versions
WO2005068681A3 (en
Inventor
Karl Brueckner
Hong Wang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/742,604 external-priority patent/US6902627B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020127000547A priority Critical patent/KR101164570B1/en
Priority to KR1020127000546A priority patent/KR101223154B1/en
Priority to JP2006545314A priority patent/JP2007528938A/en
Priority to KR1020127000548A priority patent/KR101270192B1/en
Publication of WO2005068681A2 publication Critical patent/WO2005068681A2/en
Publication of WO2005068681A3 publication Critical patent/WO2005068681A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B3/00Extraction of metal compounds from ores or concentrates by wet processes
    • C22B3/04Extraction of metal compounds from ores or concentrates by wet processes by leaching
    • C22B3/06Extraction of metal compounds from ores or concentrates by wet processes by leaching in inorganic acid solutions, e.g. with acids generated in situ; in inorganic salt solutions other than ammonium salt solutions
    • C22B3/065Nitric acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B34/00Obtaining refractory metals
    • C22B34/20Obtaining niobium, tantalum or vanadium
    • C22B34/24Obtaining niobium or tantalum
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B7/00Working up raw materials other than ores, e.g. scrap, to produce non-ferrous metals and compounds thereof; Methods of a general interest or applied to the winning of more than two metals
    • C22B7/006Wet processes
    • C22B7/007Wet processes by acid leaching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/46Regeneration of etching compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/08Iron or steel
    • C23G1/086Iron or steel solutions containing HF
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/19Iron or steel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/36Regeneration of waste pickling liquors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/20Recycling

Abstract

A method of cleaning tantalum-containing deposits from a surface of a process chamber component includes immersing the surface of the component in a cleaning solution having a ration of HF to HNO3 of from about 1:8 to about 1:30 by weight. In another version, the cleaning solution has a ration of KOH to H2O2 of from about 6:1 to about 10:1 by moles. In yet another version suitable for cleaning copper surfaces, the cleaning solution includes HF and an oxidizing agent in a molar ratio of HF to the oxidizing agent of at least about 6:1. The tantalum-containing deposits can be removed from the surface substantially without eroding the surface.

Description

CLEANING TANTALUM-CONTAINING DEPOSITS FROM PROCESS CHAMBER COMPONENTS
CROSS-REFERENCE
This application is a continuation-in-part of U.S. Patent Application Serial No. 10/742,604, entitled "Cleaning Chamber Surfaces to Recover Metal-Containing Compounds" to Brueckner et al, assigned to Applied Materials, Inc. and filed on December 19, 2003, which is a continuation-in-part of U.S. Patent Application Serial No. 10/304,535, entitled "Method of Cleaning a Coated Process Chamber Component" to Wang et al, assigned to Applied Materials, Inc. and filed on November 25, 2002, both of which are herein incorporated by reference in their entireties.
BACKGROUND
The present invention relates to the cleaning and recovery of metal- containing residues from the surface of processing chamber components.
In the processing of substrates, such as semiconductor wafers and displays, a substrate is placed in a process chamber and exposed to an energized gas to deposit or etch material on the substrate. During such processing, process residues are generated and deposited on internal surfaces in the chamber. For example, in sputter deposition processes, material sputtered from a target for deposition on a substrate also deposits on other component surfaces in the chamber, such as on deposition rings, cover ring, shadow rings, inner shield, upper shield, wall liners, and focus rings. In subsequent process cycles, the deposited process residues can "flake off' from the chamber component surfaces to fall upon and contaminate the substrate. Consequently, the deposited process residues are periodically cleaned from the chamber surfaces.
However, it is difficult to clean process deposits that contain metals such as tantalum from chamber components, especially when the components are made of metal-containing materials. When tantalum is sputter deposited onto the substrate, some of the sputtered tantalum deposits upon the adjacent chamber component surfaces. These tantalum process deposits are difficult to remove because cleaning solutions suitable for their removal are also frequently reactive with other metals, such as titanium, that are used to form chamber components. Cleaning of tantalum- containing materials from such surfaces can erode the components and require their frequent replacement. The erosion of metal surfaces can be especially problematic when cleaning textured metal surfaces, such as surfaces formed by a "Lavacoat™" process. These surfaces have crevices and pores in which tantalum-containing process residues get lodged, making it difficult to remove these residues with conventional cleaning process.
When conventional cleaning methods are used to clean tantalum, an amount of the tantalum-containing material generated in these process is not recovered. It is estimated that in many tantalum deposition processes, only about one- half of the sputtered tantalum material is deposited on the substrate, the rest being deposited on component surfaces within the chamber. Conventional cleaning methods frequently dispose of the used cleaning solutions along with the dissolved tantalum material. Thus, a large amount of tantalum material is wasted after it is cleaned off the chamber surfaces, resulting in an estimated loss of about 30,000 pounds of tantalum per year. The disposal of tantalum is environmentally undesirable and costly because high purity tantalum is expensive and fresh cleaning solution has to be acquired.
In one version, it is desirable to be able to use process chamber components having copper surfaces during the processing of substrates. Copper surfaces exhibit fewer thermal gradients, and can thus minimize stresses between the copper surfaces and any residues deposited on the surfaces. However, it can be difficult to implement the use of components having copper surfaces because it can be very difficult to clean process residues from such surfaces. This is in part because the copper surfaces are typically very easily etched and eroded by the same cleaning solutions that are capable of etching and removing tantalum-containing deposits from the component surfaces. Also, copper surfaces can be undesirably eroded even by cleaning solutions that do not otherwise excessively erode other metal surfaces, such as aluminum or stainless steel surfaces. Thus, it is desirable to have a method of cleaning metal-containing residues and deposits such as tantalum-containing deposits from surfaces of components without excessively eroding the surfaces. It is further desirable to have a method of cleaning tantalum-containing deposits from surfaces of components comprising copper. It is also desirable to reduce the waste of the tantalum materials cleaned off the chamber surfaces. It is further desirable to have a method of recovering cleaning solutions which are used to clean the tantalum-containing residues.
DRAWINGS
These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where: Figure 1 is a schematic side view of an embodiment of a component having a surface with metal-containing deposits thereon;
Figure 2 is a schematic side view of an embodiment of an electrochemical etching apparatus;
Figure 3a is a flow chart showing an embodiment of a method for recovering tantalum-containing compounds;
Figure 3b is a flow chart showing another embodiment of a method for recovering tantalum-containing compounds;
Figure 4 is a sectional side view of an embodiment of a process chamber having one or more components that can be cleaned of metal-containing deposits in a cleaning process;
Figure 5 is a comparative graph of the percent weight loss of copper for increasing cleaning time resulting from the cleaning of copper surfaces with different cleaning solutions comprising HF and HNO3; Figure 6a is a graph of the percent weight loss of copper for increasing cleaning time resulting from the cleaning of copper surface with a cleaning solution comprising HF alone, and also with an improved cleaning solution having a preselected ratio of HF to HNO3; and Figure 6b is a graph of the percent weight loss of tantalum for increasing cleaning time resulting from the cleaning of tantalum surfaces with the cleaning solutions in Figure 6a.
DESCRIPTION
A process chamber component 22 having a surface 20 is cleaned to remove metal-containing process deposits 24, such as tantalum-containing deposits 24, that are generated during processing of a substrate 104, as shown for example in Figure 1. The tantalum-containing deposits can comprise, for example, at least one of tantalum metal, tantalum nitride and tantalum oxide. Performing a cleaning process to remove the tantalum-containing deposits 24 can reduce the formation of contaminant particles in the chamber 106, improve substrate yields, and allow recovery of tantalum from the cleaning solution. The chamber components 22 to be cleaned are those that accumulate metal and tantalum-containing process deposits 24, such as for example, portions of a gas delivery system 112 that provides process gas in the chamber 106, a substrate support 114 that supports the substrate 104 in the chamber 106, a gas energizer 116 that energizes the process gas, chamber enclosure walls 118 and shields 120, or a gas exhaust 122 that exhausts gas from the chamber 106, exemplary embodiments of which are shown in Figure 4.
Referring to Figure 4, which illustrates an embodiment of a physical vapor deposition chamber 106, components 22 that can be cleaned include a chamber enclosure wall 118, a chamber shield 120, including upper and lower shields 120a, b, a target 124, a cover ring 126, a deposition ring 128, a support ring 130, insulator ring 132, a coil 135, coil support 137, shutter disk 133, clamp shield 141, and a surface 134 of the substrate support 114. The components 22 can have surfaces 20 comprising metal, such as at least one of titanium, stainless steel, aluminum, copper and tantalum. The surfaces 20 can also comprise a ceramic material, such as at least one of aluminum oxide, aluminum nitride, and silicon oxide.
A cleaning step to remove process deposits 24 can comprise exposing the surface 20 of the component 22 to an acidic cleaning solution that is capable of at least partially removing the process deposits 24 from the surface 20 of the component 22. The acidic solution comprises dissolved acidic species that are capable of reacting with and removing process deposits 24 from the surface 20 of the component 22, for example, by reacting with the process deposits 24 to form species that readily dissolve in the acidic solution. However, the acidic solution does not excessively corrode or otherwise damage the exposed portions of the surface 20 of the component 22 after the process deposits 24 are removed from that portion of the component 22. The surface 20 can be exposed to the acidic solution by dipping, immersing or otherwise contacting portions of the surface 20 with the acidic solution. The surface 20 of the coated component 22 may be immersed in the acidic solution for a duration of from about 3 to about 15 minutes, such as about 8 minutes, but may also be immersed for other times depending on the composition and thickness of the process deposit materials.
The composition of the acidic cleaning solution is selected according to the composition of the surface 20, and the composition of the process deposits 24. In one version, the acidic solution comprises hydrofluoric acid (HF). Hydrofluoric acid can react with and dissolve impurities that may have accumulated on the surface 20. The acidic solution may additionally or alternatively comprise a non-fluorinated acid, such as nitric acid (HNO3.) The non-fluorinated agent may provide less aggressive chemical species, which allows for the cleaning and preparation of the surface 20 with reduced formation of erosion cracks through the underlying component structure. Additionally, in one version, the acidic solution provided to clean the surface 20 can comprise a suitably small concentration of the acidic species to reduce corrosion of the component 22. A suitable concentration of acidic species may be, for example, less than about 15 M acidic species, such as from about 2 to about 15 M acidic species. For a component 22 comprising a surface 20 comprising aluminum oxide or stainless steel, a suitable acidic solution may comprise from about 2 M to about 8 M HF, such as about 5 M HF, and from about 2 M HNO3 to about 15 M HNO3, such as about 12 M HNO3. For a component 22 comprising a surface 20 comprising titanium, a suitable acidic solution may comprise from about 2 M to about 10 M HNO3. In one version, a suitable acidic solution may comprise 5 M HF and 12 M HNO3.
It has further been discovered that the cleaning method can be improved to clean tantalum-containing residues by immersing the surface 20 in a solution having a ratio of HF to HNO3 that is selected to remove the tantalum-containing deposits substantially without eroding the surface 20, and especially without eroding metal surfaces 20. In particular, it has been discovered that selecting a ratio of HF to HNO3 that is sufficiently low can reduce erosion of the surface 20, and can especially reduce the erosion of metal surfaces 20. A suitable ratio of HF to HNO3 may be a ratio that is less than about 1:8 by weight. For example, the cleaning solution can comprise a ratio of HF to HNO3 of from about 1:8 to about 1:30 by weight, and even from about 1 :12 to about 1:20 by weight, such as about 1:15 by weight. A concentration of HF in solution is desirably maintained at less than about 10% by weight, such as from about 2% to about 10% by weight, and even about 5% by weight. A concentration of HNO3 in solution is desirably at least about 60% by weight, such as from about 60% to about 67% by weight, and even about 65% by weight.
The improved cleaning results are believed to be at least in part because the HNO3 reacts with the surfaces 20, such as metal surfaces, to form an oxidized and etch-resistant protective layer on the surface that inhibits etching of the surface 20. At a sufficiently low ratio, the HNO3 and HF work in concert to remove the tantalum- containing deposits substantially without eroding the surface 20. The HF etches away and dissolves the tantalum-containing deposits, and thus exposes portions of the surface 20. The HNO3 also etches tantalum-containing deposits, although at a lower etching rate, and as a strong oxidizer further reacts with and oxidizes the exposed portions of the surface 20 to form the protective etch-resistant layer. Thus, by maintaining a concentration of HNO3 that is sufficiently high with respect to the concentration of HF in the solution, an excess of HNO3 can be used to protect the surface 20 from erosion. Cleaning solutions having the improved ratio of HF to HNO3 that provides a sufficiently high concentration of HNO3 with respect to HF may be especially suitable for cleaning metal surfaces 20 comprising, for example, at least one of titanium, stainless steel, aluminum, and tantalum. In the cleaning process, fresh HF can be added to the cleaning solution to replenish depleted HF. The HF in solution becomes depleted by, for example, reacting with tantalum-containing deposits 24 to form tantalum fluoride compounds. The HF depletion gradually slows down the removal of the tantalum-containing deposits from the surface 20. Addition of fresh HF allows the tantalum-containing deposits 24 to be removed from the surface 20 at a desired rate.
In one version, the composition of the cleaning solution can be optimized to clean tantalum-containing deposits from metal surfaces 20 comprising copper. In particular, a cleaning solution comprising hydrofluoric acid (HF) and an oxidizing agent in a pre-selected molar ratio has been discovered to provide improved cleaning of the tantalum-containing deposits 24 without excessively etching the copper surface 20, and even substantially without eroding the copper surface 20. In one version, the cleaning solution comprises a molar ratio of HF to an oxidizing agent of at least about 6:1, such as at least about 9: 1 , and even at least about 20: 1. For example, the cleaning solution may comprise a molar ratio of HF to oxidizing agent of from about 6:1 to about 40:1 , such as from about 9:1 to about 20:1. A suitable concentration of HF in the cleaning solution may be at least about 3 M, such as from about 3 M to about 20 M. A suitable concentration of oxidizing agent in the cleaning solution may be less than about 3 M, such as from about 0.1 M to about 3 M, and even less than about 1 M, such as from about 0.1 M to about 1 M. The improved cleaning solution comprising HF and the oxidizing agent in the pre-selected ratio can provide a good etching selectivity of the tantalum-containing deposits 24 to the copper surface 20, such as for example a selectivity of at least about 40: 1 , and even at least about 50: 1.
The oxidizing agent comprises a compound that is capable of oxidizing other compounds and materials, such as the tantalum-containing deposits, and typically comprises an oxygen-containing compound. In one version, a suitable oxidizing agent comprises nitric acid (HNO3). It has further been discovered that good cleaning results can be provided with oxidizing agents comprising at least one of hydrogen peroxide (H2O2), sulfurous acid (H2SO3), and ozone (O3), any one or combination of which can be provided in addition to or as an alternative to HNO3. For example, ozone can be provided in the cleaning solution in the desired ratio by bubbling ozone gas into the cleaning solution.
In one exemplary version of a cleaning solution suitable for cleaning tantalum-containing deposits from a component surface 20 comprising copper, the oxidizing agent comprises HNO3. For example, the cleaning solution may be formed by combining (i) about 45% by volume of a stock solution of HF having a concentration of about 49% HF by weight, with (ii) from about 5% to about 10% by volume of a stock solution of HNO3 having a concentration of about 70% HNO3 by weight. The remainder of the solution comprises water, which is preferably de-ionized. Such a solution comprises a molar ratio of HF to HNO3 of from about 9:1, for the 10% by volume HNO3 solution, to about 19:1, for the 5% by volume HNO3 solution. The discovery that a solution comprising HF and an oxidizing agent in a pre-selected ratio could clean tantalum-containing deposits 24 without excessively etching copper surfaces 20 was unexpected, because copper is typically very susceptible to chemical attack by oxidizing agents such as HNO3, and thus can be easily eroded by such agents. Also, tantalum-containing deposits 24 are not typically etched at a desirably high rate by solutions comprising HF alone. However, it was observed that by combining HF and an oxidizing agent in the pre-selected molar ratio, a synergistic effect could be obtained by which improved cleaning of the tantalum- containing deposits 24 was obtained. Without limiting the discovery to any specific chemical mechanism, it is postulated that the oxidizing agent may act to speed up the rate of cleaning achieved by HF in solution to etch the tantalum-containing deposits from the surface 20 at a high etching rate. However, the concentration of oxidizing agent is desirably maintained low with respect to the HF concentration, as excessive amounts of the oxidizing agent can otherwise result in rapid etching and erosion of copper surfaces 20. The improved copper cleaning ability of the HF and oxidizing agent cleaning solution is further a surprise, as surfaces 20 of components comprising metals other than copper, such as for example aluminum or stainless steel surfaces, can often require cleaning solutions having a substantially lower molar ratio of HF to HNO3. Thus, the cleaning of copper surfaces 20 with the improved cleaning solution having HF and the oxidizing agent in the pre-selected ratio provides unexpectedly good cleaning results, and provides for the efficient use of components 22 having copper surfaces 20 in substrate processing chambers 106. Figures 5 through 6b show comparative data for the cleaning of surfaces with different cleaning solutions. Figure 5 demonstrates comparative data for cleaning solutions having HF and HNO3 in relatively low ratios that are below the desired molar ratio of at least about 6:1. To obtain the comparative data, copper surfaces 20 were immersed in cleaning solutions comprising HF and HNO3 in molar ratios of (i) 2:1 in the solution indicated by line 200, and (ii) 1:2 in the solution indicated by line 202 on Figure 5. The solution indicated by line 200 was formed by combining 1 part by volume of a 49% by weight stock solution of HF, 1 part by volume of a 70% by weight stock solution of HNO3, and 1 part by volume of de-ionized water. The solution indicated by line 202 was formed by combining 1 part by volume of the 49% by weight stock solution of HF with 4 parts by volume of de-ionized water. The weight percent of copper eroded way from each surface was measured at different intervals during the cleaning process and this weight percent was graphed for increasing cleaning duration. Figure 5 demonstrates that both cleaning solutions yielded undesirably high levels of erosion of the copper surface, with the cleaning solution indicated by line 200 eroding away about 20%) by weight of the copper surface after only about 5 minutes, and the solution indicated by line 202 eroding a little over 25% by weight after about 5 minutes, and over 30% by weight after about 10 minutes. Thus, the cleaning solutions provided undesirable results in the cleaning of the copper surfaces 20.
Figures 6a and 6b demonstrate the unexpectedly good cleaning results obtained with a solution comprising HF and HNO3 having the pre-selected ratio. In Figure 6a, copper surfaces were immersed in solutions comprising (i) a comparative solution comprising HF alone in a concentration of about 15 M, indicated by line 204, and (ii) an improved solution comprising HF and HNO3 in a molar ratio of about 20: 1 , indicated by line 206. The comparative solution was formed by combining 1 part by volume of a 49% by weight stock solution of HF with 1 part by volume of de-ionized water. The improved cleaning solution was formed by combining 10 parts by volume of a 49% by weight stock solution of HF with 1 part by volume of a 70% by weight stock solution of HNO3 and 10 parts by volume of de-ionized water. The weight percent of copper eroded way from each surface was measured at different intervals during the cleaning process, and the eroded weight percent was graphed for increasing cleaning duration. Figure 6a shows the weight percent loss of copper resulting from the cleaning of copper surfaces 20 with the comparative cleaning solution comprising HF and the improved cleaning solution comprising both HF and HNO3 in the molar ratio of about 20:1. The comparative solution yielded little or no erosion of the copper surface. While the improved solution comprising both HF and HNO3 did result in minor erosion of the copper surface, the erosion occurred at a much lower rate and with a much lower copper percent weight loss than the comparative cleaning solutions represented by lines 200 and 202 in Figure 5. For example, for the improved cleaning solution represented by line 206, the copper percent weight loss after a little more than 100 minutes of cleaning was just a little lower than 0.15%. By comparison, after only 5 minutes of cleaning, the comparative solutions represented by lines 200 and 202 in Figure 5 resulted in copper weight loss percentages of 20% and a little over 25%, which is a percent weight loss that is over 100 times that of the improved cleaning solution having the pre-selected ratio of HF to HNO3. Even after about 350 minutes of cleaning, the improved cleaning solution having the pre-selected ratio of HF to HNO3 only yielded a loss of a little over about 0.20 weight percent of copper from the surface 20. Thus, the improved cleaning solution having the pre-selected ratio of HF to HNO3 provides improved cleaning of copper surfaces 20 substantially without eroding the copper surfaces 20.
Figure 6b demonstrates the results from the exposure of tantalum surfaces to cleaning solutions having the same composition as those in Figure 6a. The cleaning results provided by the comparative solution comprising about 15 M HF are indicated by line 208, and the cleaning results provided by the improved solution comprising both HF and HNO3 in the pre-selected ratio of about 20:1 is indicated by line 210. To obtain the data for this figure, surfaces comprising tantalum were immersed in each of the cleaning solutions, and the weight percent of tantalum eroded way from each surface was measured at different intervals during the cleaning process to determine the cleaning ability of each solution. The eroded weight percent was graphed for each solution for increasing cleaning duration.
The results shown in Figure 6b demonstrate that the improved cleaning solution having the pre-selected ratio of HF to HNO3 provides excellent cleaning of tantalum-containing materials over solutions having HF alone. For example, after about 150 minutes of cleaning, the improved solution of HF and HNO3, represented by line 210, removed over 5 weight percent of tantalum from the surface. By comparison, the solution comprising only HF, represented by line 208, removed only about 1 weight percent of tantalum in the same time period. Furthermore, a comparison of Figures 6a and 6b demonstrate the high selectivity between tantalum and copper that is exhibited by the improved cleaning solution comprising the pre-selected ratio of HF to HNO3. The improved cleaning solution resulted in a loss of only about 0.22 weight percent of copper after a cleaning duration of about 350 minutes, as shown by line 206 in Figure 6a, while a little over 11 weight percent of tantalum was removed from the surface during the same time period, as shown by line 210 in Figure 6b. Thus, the improved cleaning solution is capable of providing a selectivity of tantalum to copper of about 50:1. Accordingly, a solution having the pre-selected ratio of HF and oxidizing agent such as HNO3 provides improved results for the efficient cleaning of tantalum- containing residues from component surfaces comprising copper, substantially without eroding the component surfaces.
In yet another version, tantalum-containing deposits 24 can be cleaned from a surface 20 by immersing the surface 20 in a cleaning solution comprising KOH and H2O2. The cleaning solution has a ratio of KOH to H2O2 that is selected to remove the tantalum-containing deposits substantially without eroding the surface 20, and in particular substantially without eroding metal surfaces. A suitable ratio of KOH to H2O2 is from about 6:1 to about 10:1 by mole, such as about 7.5:1. A ratio that is lower or higher than the desired ratio range can reduce the selectivity towards the tantalum- containing deposits, and result in etching and erosion of the surface 20, respectively. A suitable concentration of KOH in solution is, for example, from about 5 M to about 12 M, and even from about 5 M to about 10 M, such as about 7 M. A suitable concentration of H2O2 in solution is, for example, from about 0.5 M to about 2.5 M, and even from about 0.5 M to about 2 M, such as about 1 M. Also, it has been discovered that maintaining a proper temperature of the cleaning solution comprising KOH and H2O2 can improve the removal of tantalum-containing deposits 24 by increasing the deposit removal rate. A suitable temperature of the cleaning solution may be at least about 70°C, such as from about 80 to about 95°C, and even at least about 90°C.
In yet another version of the cleaning method, a metal surface 20 is cleaned in an electrochemical etching process. In this process, the surface 20 of the component 22 serves as an anode and is connected to a positive terminal 31 of a voltage source 30, as shown for example in Figure 2. The metal surface 20 is immersed in an electrochemical bath 33 having a bath solution comprising electrolytes. The electrochemical bath solution can also or alternatively comprise an etching agent that selectively etches the tantalum-containing deposits, such as at least one of HF, HNO3, KOH and H2O2. For example, the electrochemical bath can comprise one of the HF/HNO3 or KOH/H2O2 cleaning solutions described above. The bath solution can also comprise other cleaning agents such as HCI, H2SO4 and methanol. In one version, the bath selectively electrochemically etches tantalum-containing deposits with a solution comprising HF, H2SO and methanol. A cathode 34 connected to the negative terminal 32 of the voltage source 30 is also immersed in the bath 33. Application of a bias voltage to the metal surface 20 and cathode 34 from the voltage source 30 induces a change in oxidation state of the tantalum-containing residues 24 on the surface 20 that can change tantalum-containing deposits 24, such as tantalum metal, into ionic forms that are soluble in the electrochemical etching bath solution, thus "etching" the tantalum-containing deposits 24 away from the surface 20. The electrochemical etching process conditions, such as the voltage applied to the metal surface 20, the pH of the electrochemical etching solution, and the temperature of the solution, are desirably maintained to selectively remove tantalum-containing deposits from the metal surface 20 substantially without eroding the metal surface 20.
These cleaning methods may be particularly suitable for surfaces 20 that are textured, as shown for example in Figure 1. Components 22 having textured surfaces reduce particle generation in the process chamber by providing a "sticky" surface to which process residues adhere. In one version, components 22 cleaned of tantalum-containing deposits include components having surfaces textured by a "Lavacoat™" process, such as for example components described in U.S. Patent Application Serial No. 10/653,713 to West, et al, filed on 9/2/2002, entitled "Fabricating and Cleaning Chamber Components Having Textured Surfaces," U.S. Patent Application No. 10/099,307, filed March 13, 2002, to Popiolkowski et al, and U.S. Patent Application No. 10/622,178, filed on July 17, 2003 to Popiolkowski et al., all commonly assigned to Applied Materials, Inc., and all of which are incorporated herein by reference in their entireties. The components 22 can also comprise coated components having textured surfaces, such as plasma sprayed coatings or twin-wire arc sprayed coatings, as described for example in U.S. Patent Application Serial No. 10/304,535 to Wang et al, filed on 11/25/2002, commonly assigned to Applied Materials, which is incorporated herein by reference in its entirety. The "Lavacoat™" textured metal surface 20 is formed by generating an electromagnetic energy beam and directing the beam onto the surface 20 of the component 22. The electromagnetic energy beam is preferably an electron beam, but can also comprise protons, neutrons and X-rays and the like. The electron beam is typically focused on a region of the surface 20 for a period of time, during which time the beam interacts with the surface 20 to form features on the surface. It is believed that the beam forms the features by rapidly heating the region of the surface 20, in some cases to a melting temperature of the surface material. The rapid heating causes some of the surface material to be ejected outwards, which forms depressions 23 in the regions the material was ejected from, and protuberances 25 in areas where the ejected material re-deposits. After the desired features in the region are formed, the beam is scanned to a different region of the component surface 20 to form features in the new region. The final surface 20 can comprise a honeycomb-like structure of depressions 23 and protuberances 25 formed in the surface 20. The features formed by this method are typically macroscopically sized, and the depressions can range in diameter from about 0.1 mm to about 3.5 mm, such as from about 0.8 to about 1.0 mm in diameter. The "Lavacoat™" textured surface 20 has an overall surface roughness average of from about 2500 microinches (63.5 micrometers) to about 4000 microinches (101.6 micrometers), the roughness average of the surface 20 being defined as the mean of the absolute values of the displacements from the mean line of the features along the surface 20.
The instant cleaning methods provide surprisingly good results in cleaning such textured surfaces substantially without eroding the surfaces 20. For example, for a textured metal surface 20 formed of titanium, the cleaning methods described above may clean tantalum-containing residues from the surface 20 while eroding less than about 1 mg/cm2 per hour of titanium from the metal surface 20. In contrast, conventional tantalum cleaning processes can erode more than about 5 mg/cm2 of titanium from a titanium surface of a component 22. As another example, a solution of KOH and H2O2 having the selected molar ratio of from about 6:1 to about 10:1 and a temperature of from about 80 to about 95°C, can clean tantalum-containing deposits at a rate that is about 20 times faster than the rate at which a titanium component surface 20 is eroded, allowing the surface 20 to be cleaned substantially without excessive erosion.
Once cleaning of the component surface 20 has been completed, the cleaning solution can be treated to recover metal-containing materials, such as the tantalum-containing materials, which may be at least one of tantalum metal and tantalum oxide. Recovering tantalum-containing materials from the cleaning solution reduces the pollution of the environment by tantalum waste, and can also reduce the costs associated with proper disposal of waste tantalum. The recovered tantalum- containing materials can be re-used in substrate processing, for example the recovered tantalum materials can be used to form a tantalum-containing target for physical vapor deposition processes. In addition to tantalum recovery, the used cleaning solution can be treated to allow for re-use of the cleaning solution. For example, the cleaning solution can be treated to recover a re-useable solution of HF and HNO3.
A flow chart showing one version of a method of cleaning a component and recovering tantalum-containing materials is shown in Figure 3a. In the first step of this method, the component surface 20 is cleaned by immersing in a cleaning solution, which dissolves tantalum and other metal-containing residues in the solution to form tantalum and metal-containing compounds, respectively. After the component surface 20 has been cleaned, a precipitating agent is added to the cleaning solution to precipitate metal-containing compounds out of the solution and form mixed solids. The mixed solids comprise tantalum-containing compounds such as tantalum oxides, and can also comprise other metal-containing compounds, such as compounds comprising aluminum, titanium and iron. In one version, the cleaning solution may be recovered and re-used to clean subsequent components 22 once the mixed solids have been precipitated out of and removed from the solution, as indicated by the arrow in Figure 3a. In one method of precipitating the mixed solids, the cleaning solution is neutralized by adding a precipitating agent comprising an acid or base to bring the pH of the solution from a pH of less than about 1 to about 7 . For example, for a cleaning solution comprising HF and HNO3, a base can be added to neutralize the solution. For a cleaning solution comprising KOH and H2O2, an acid can be added to neutralize the solution. A suitable neutralizing acid can comprise at least one of HNO3, H2SO and H3PO4. A suitable neutralizing base can comprise at least one of NaOH, KOH and CaCO3. The mixed solids are then separated from the cleaning solution, for example by filtering the mixed solids from the solution.
To separate the tantalum-containing compounds from the other metal- containing compounds, a metal-selective acid solution is added to the mixed solids. The metal-selective acid solution comprises a metal-selective acid that dissolves metal- containing compounds in the acid solution substantially without dissolving the tantalum- containing compounds. A suitable metal-selective acid can comprise, for example, HCI. The solid tantalum-containing compounds are separated from the acid solution having the dissolved metal-containing compounds by, for example, filtering the tantalum- containing solids, or by decanting the acid solution from the tantalum-containing solids. The tantalum-containing compound can then be converted into tantalum oxide, for example by heating.
Yet another method of cleaning a component and recovering tantalum- containing materials is shown in the flow chart of Figure 3b. The component surface 20 is cleaned by immersing the surface 20 in an aqueous cleaning solution to dissolve tantalum-containing compounds from the surface 20. After cleaning the surface, tantalum-containing compounds are removed from the cleaning solution in a liquid-to- liquid extraction process. The extraction process comprises combining the aqueous cleaning solution with an organic solution that is substantially non-miscible with the aqueous solution. The organic solution is a solution in which the tantalum-containing compounds are highly soluble, and that is capable of extracting the tantalum-containing compounds from the aqueous solution. A suitable organic solution for extracting the tantalum-containing compounds can comprise, for example, at least one of methyl isobutyl ketone, diethyl ketone, cyclohexone, diisobutyl ketone, and tributyl phosphate. Once the tantalum-containing compounds have been extracted into the organic solution, the organic and aqueous solutions are separated, for example by allowing the solutions to divide into separate organic and aqueous phases, and draining one of the solutions from the other. The separated aqueous solution can be retained and re-used as a cleaning solution, as shown by the arrow in Figure 3b. For example, the aqueous solution can comprise HF and HNO3 that remain in the aqueous solution during the extractions, and which can be re-used in subsequent cleaning processes to remove tantalum-containing residues from metal surfaces 20.
After the extraction process, the tantalum-containing compounds in the organic solution can be pyrohydrolytically decomposed. In the pyrohydrolytic decomposition, the tantalum-containing compounds are heated to a temperature at which the compounds react with oxygen to form tantalum oxide compounds, such as a temperature of at least about 120°C, such as from about 120°C to about 180°C. The organic solution and any decomposition reaction products can be evaporated from the tantalum oxide compounds during the pyrohydrolytic decomposition process. Alternatively, the organic solution can be removed from the tantalum-containing compounds in a separate step. The tantalum oxide compounds can also be further treated to form tantalum metal, for example by heating the tantalum oxide compounds in a furnace.
An example of a suitable process chamber 106 having a component that is cleaned to remove metal-containing deposits 24 such as tantalum-containing deposits 24 is shown in Figure 4. The chamber 106 can be a part of a multi-chamber platform (not shown) having a cluster of interconnected chambers connected by a robot arm mechanism that transfers substrates 104 between the chambers 106. In the version shown, the process chamber 106 comprises a sputter deposition chamber, also called a physical vapor deposition or PVD chamber, that is capable of sputter depositing material on a substrate 104, such as one or more of tantalum, tantalum nitride, titanium, titanium nitride, copper, tungsten, tungsten nitride and aluminum. The chamber 106 comprises enclosure walls 118 that enclose a process zone 109, and that include sidewalls 164, a bottom wall 166, and a ceiling 168. A support ring 130 can be arranged between the sidewalls 164 and ceiling 168 to support the ceiling 168. Other chamber walls can include one or more shields 120 that shield the enclosure walls 118 from the sputtering environment.
The chamber 106 comprises a substrate support 114 to support the substrate in the sputter deposition chamber 106. The substrate support 114 may be electrically floating or may comprise an electrode 170 that is biased by a power supply 172, such as an RF power supply. The substrate support 114 can also comprise a moveable shutter disk 133 that can protect the upper surface 134 of the support 114 when the substrate 104 is not present. In operation, the substrate 104 is introduced into the chamber 106 through a substrate loading inlet (not shown) in a sidewall 164 of the chamber 106 and placed on the support 114. The support 114 can be lifted or lowered by support lift bellows and a lift finger assembly (not shown) can be used to lift and lower the substrate onto the support 114 during transport of the substrate 104 into and out of the chamber 106. The support 114 may also comprise one or more rings, such as a cover ring 126 and a deposition ring 128, that cover at least a portion of the upper surface 134 of the support 114 to inhibit erosion of the support 114. In one version, the deposition ring 128 at least partially surrounds the substrate 104 to protect portions of the support 114 not covered by the substrate 104. The cover ring 126 encircles and covers at least a portion of the deposition ring 128, and reduces the deposition of particles onto both the deposition ring 128 and the underlying support 114.
A process gas, such as a sputtering gas, is introduced into the chamber 106 through a gas delivery system 112 that includes a process gas supply comprising one or more gas sources 174 that each feed a conduit 176 having a gas flow control valve 178, such as a mass flow controller, to pass a set flow rate of the gas therethrough. The conduits 176 can feed the gases to a mixing manifold (not shown) in which the gases are mixed to from a desired process gas composition. The mixing manifold feeds a gas distributor 180 having one or more gas outlets 182 in the chamber 106. The process gas may comprise a non-reactive gas, such as argon or xenon, which is capable of energetically impinging upon and sputtering material from a target. The process gas may also comprise a reactive gas, such as one or more of an oxygen- containing gas and a nitrogen-containing gas, that are capable of reacting with the sputtered material to form a layer on the substrate 104. Spent process gas and byproducts are exhausted from the chamber 106 through an exhaust 122 which includes one or more exhaust ports 184 that receive spent process gas and pass the spent gas to an exhaust conduit 186 in which there is a throttle valve 188 to control the pressure of the gas in the chamber 106. The exhaust conduit 186 feeds one or more exhaust pumps 190. Typically, the pressure of the sputtering gas in the chamber 106 is set to sub-atmospheric levels.
The sputtering chamber 106 further comprises a sputtering target 124 facing a surface 105 of the substrate 104, and comprising material to be sputtered onto the substrate 104, such as for example at least one of tantalum and tantalum nitride. The target 124 is electrically isolated from the chamber 106 by an annular insulator ring 132, and is connected to a power supply 192. The sputtering chamber 106 also has a shield 120 to protect a wall 118 of the chamber 106 from sputtered material. The shield 120 can comprise a wall-like cylindrical shape having upper and lower shield sections 120a, 120b that shield the upper and lower regions of the chamber 106. In the version shown in Figure 4, the shield 120 has an upper section 120a mounted to the support ring 130 and a lower section 120b that is fitted to the cover ring 126. A clamp shield 141 comprising a clamping ring can also be provided to clamp the upper and lower shield sections 120a,b together. Alternative shield configurations, such as inner and outer shields, can also be provided. In one version, one or more of the power supply 192, target 124, and shield 120, operate as a gas energizer 116 that is capable of energizing the sputtering gas to sputter material from the target 124. The power supply 192 applies a bias voltage to the target 124 with respect to the shield 120. The electric field generated in the chamber 106 from the applied voltage energizes the sputtering gas to form a plasma that energetically impinges upon and bombards the target 124 to sputter material off the target 124 and onto the substrate 104. The support 114 having the electrode 170 and support electrode power supply 172 may also operate as part of the gas energizer 116 by energizing and accelerating ionized material sputtered from the target 124 towards the substrate 104. Furthermore, a gas energizing coil 135 can be provided that is powered by a power supply 192 and that is positioned within the chamber 106 to provide enhanced energized gas characteristics, such as improved energized gas density. The gas energizing coil 135 can be supported by a coil support 137 that is attached to a shield 120 or other wall in the chamber 106.
The chamber 106 is controlled by a controller 194 that comprises program code having instruction sets to operate components of the chamber 106 to process substrates 104 in the chamber 106. For example, the controller 194 can comprise a substrate positioning instruction set to operate one or more of the substrate support 114 and substrate transport to position a substrate 104 in the chamber 106; a gas flow control instruction set to operate the flow control valves 178 to set a flow of sputtering gas to the chamber 106; a gas pressure control instruction set to operate the exhaust throttle valve 188 to maintain a pressure in the chamber 106; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106; and a process monitoring instruction set to monitor the process in the chamber 106. Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, other chamber components than the exemplary components described herein can also be cleaned. Additional cleaning and recovery steps other than those described could also be performed. Furthermore, relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims

What is claimed is:
1. A method of cleaning tantalum-containing deposits from a surface of a process chamber component, the method comprising: immersing the surface of the component in a cleaning solution comprising a ratio of HF to HNO3 of from about 1 :8 to about 1 :30 by weight, whereby the tantalum-containing deposits can be removed from the surface substantially without eroding the surface.
2. A method according to claim 1 wherein the surface of the process chamber component comprises at least one of titanium, stainless steel, aluminum, and tantalum.
3. A method according to claim 1 wherein the solution comprises less than about 10% by weight of HF.
4. A method according to claim 1 comprising immersing a surface of a process chamber component that is a textured surface having a surface roughness average of from about 63.5 micrometers to about 101.6 micrometers.
5. A method according to claim 1 comprising immersing a surface of a process chamber component that is a textured surface having depressions with diameters of from about 0.1 mm to about 3.5 mm.
6. A method of cleaning tantalum-containing deposits from a surface of a process chamber component, the method comprising: immersing the surface of the component in a solution comprising a ratio of KOH to H2O2 of from about 6:1 to about 10:1 by moles, whereby the tantalum-containing deposits can be removed from the surface substantially without eroding the surface.
7. A method according to claim 6 wherein the surface of the process chamber component comprises at least one of titanium, stainless steel, aluminum, and tantalum.
8. A method according to claim 6 wherein the solution comprises from about 5 M to about 12 M of KOH, and from about 0.5 M to about 2.5 M of H2O2.
9. A method according to claim 6 wherein the solution is maintained at a temperature of at least about 70°C.
10. A method of cleaning tantalum-containing deposits from a surface of a process chamber component, the surface comprising copper, the method comprising: immersing the surface of the component in a cleaning solution comprising HF and an oxidizing agent, the molar ratio of HF to the oxidizing agent being at least about 6:1, whereby the tantalum-containing deposits can be removed from the surface substantially without eroding the surface.
11. A method according to claim 10 wherein the molar ratio of HF to oxidizing agent is from about 9:1 to about 20:1.
12. A method according to claim 10 wherein the oxidizing agent comprises at least one of HNO3, H2O2, H2SO3 and O3.
13. A method according to claim 10 wherein the cleaning solution comprises from about 3 M to about 20 M HF and from about 0.1 M to about 3 M of the oxidizing agent.
14. A method of cleaning tantalum-containing and other metal- containing deposits from a surface of a process chamber component and recovering tantalum-containing material, the method comprising: (a) immersing the surface of the component in acidic or basic cleaning solution, thereby dissolving the tantalum-containing and other metal-containing deposits on the surface to form tantalum-containing and metal-containing compounds, respectively; and (b) treating the solution to recover the tantalum-containing compounds by: (i) adding a precipitating agent to the solution, thereby forming mixed solids comprising the tantalum-containing and metal-containing compounds; (ii) filtering the mixed solids from the solution; (iii) adding a metal-selective acid solution to the mixed solids, the metal-selective acid solution comprising a metal-selective acid that dissolves the metal-containing compounds substantially without dissolving the tantalum- containing compounds; and (iv) separating the tantalum-containing compounds from the dissolved metal-containing compounds.
15. A method of cleaning tantalum-containing deposits from a surface of a process chamber component and recovering tantalum-containing material, the method comprising: (a) immersing the surface of the component in an aqueous cleaning solution comprising an acid or a base, thereby dissolving the tantalum- containing deposits on the surface to form tantalum-containing compounds in the solution; and (b) treating the aqueous cleaning solution to recover tantalum- containing compounds by: (i) combining the aqueous cleaning solution with an organic solution, the organic solution being capable of extracting the tantalum- containing compounds from the aqueous cleaning solution; (ii) separating the organic solution from the aqueous cleaning solution; and (iii) pyrohydrolytically decomposing the tantalum- containing compounds.
PCT/US2004/016518 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components WO2005068681A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020127000547A KR101164570B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components
KR1020127000546A KR101223154B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components
JP2006545314A JP2007528938A (en) 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components
KR1020127000548A KR101270192B1 (en) 2003-12-19 2004-05-25 Cleaning tantalium-containing deposits from process chamber components

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/742,604 US6902627B2 (en) 2002-11-25 2003-12-19 Cleaning chamber surfaces to recover metal-containing compounds
US10/742,604 2003-12-19
US10/846,894 2004-05-13
US10/846,894 US20050028838A1 (en) 2002-11-25 2004-05-13 Cleaning tantalum-containing deposits from process chamber components

Publications (2)

Publication Number Publication Date
WO2005068681A2 true WO2005068681A2 (en) 2005-07-28
WO2005068681A3 WO2005068681A3 (en) 2005-09-15

Family

ID=34798987

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/016518 WO2005068681A2 (en) 2003-12-19 2004-05-25 Cleaning tantalum-containing deposits from process chamber components

Country Status (5)

Country Link
US (1) US20050028838A1 (en)
JP (1) JP2007528938A (en)
KR (4) KR20070026369A (en)
TW (1) TWI304612B (en)
WO (1) WO2005068681A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007105721A (en) * 2005-07-29 2007-04-26 Boc Group Inc:The Method and apparatus for the application of twin wire arc spray coating
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
CN109371405A (en) * 2018-12-14 2019-02-22 惠州市四维化工有限公司 A kind of secondary chemical synthesizing method of lossless magnesium and magnesium alloy

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
TWI479559B (en) * 2007-06-28 2015-04-01 Quantum Global Tech Llc Methods and apparatus for cleaning deposition chamber parts using selective spray etch
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
KR101722371B1 (en) * 2016-08-05 2017-04-05 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
KR101959760B1 (en) * 2017-02-23 2019-03-19 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
US11371159B2 (en) * 2019-06-22 2022-06-28 Applied Materials, Inc. Methods of reducing or eliminating deposits after electrochemical plating in an electroplating processor

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
EP1049133A2 (en) * 1999-04-30 2000-11-02 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117833A (en) * 1958-09-25 1964-01-14 Fansteel Metallurgical Corp Process of purifying and separating columbium and tantalum values from each other
DK449074A (en) 1974-08-22 1976-02-23 Atomenergikommissionen PROCEDURE FOR EXTRACTING TANTALS AND / OR NIOB IN FREE OR BASED FORM FROM TANTAL AND NIOB CONTAINING SOLUTIONS
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
JPS58153782A (en) * 1982-03-08 1983-09-12 Hitachi Denshi Ltd Regeneration of boat made of tantalum
JPS61146717A (en) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd Purification of tantalum
JPS63149396A (en) * 1986-12-12 1988-06-22 Kobe Steel Ltd Pre-treatment of anodic oxidation of valve metal
JPH0353084A (en) * 1989-07-18 1991-03-07 Citizen Watch Co Ltd Etching solution of tantalum
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JP3581890B2 (en) * 1994-04-26 2004-10-27 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (en) * 1998-10-26 2000-06-12 G S G As Processing of niobium and tantalum-containing materials
JP2000265276A (en) * 1999-01-12 2000-09-26 Central Glass Co Ltd Cleaning gas
JP4709358B2 (en) * 2000-08-30 2011-06-22 株式会社東芝 Sputtering target and sputtering apparatus, thin film, and electronic component using the same
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
JP2002292346A (en) * 2001-03-29 2002-10-08 Sharp Corp Method and apparatus for recovering deposited film
JP2002363662A (en) * 2001-06-01 2002-12-18 Nikko Materials Co Ltd Method for recovery of high-purity tantalum, high-purity tantalum sputtering target, and thin film deposited by using this sputtering target
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6953120B2 (en) * 2002-02-08 2005-10-11 Cabot Corporation Method of recovering metal and/or oxide thereof in a slurry and tailings obtained from said method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
EP1049133A2 (en) * 1999-04-30 2000-11-02 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 012, no. 410 (C-540), 28 October 1988 (1988-10-28) -& JP 63 149396 A (KOBE STEEL LTD; others: 01), 22 June 1988 (1988-06-22) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007105721A (en) * 2005-07-29 2007-04-26 Boc Group Inc:The Method and apparatus for the application of twin wire arc spray coating
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US10358599B2 (en) 2009-04-30 2019-07-23 Asm America, Inc. Selective etching of reactor surfaces
CN109371405A (en) * 2018-12-14 2019-02-22 惠州市四维化工有限公司 A kind of secondary chemical synthesizing method of lossless magnesium and magnesium alloy

Also Published As

Publication number Publication date
TWI304612B (en) 2008-12-21
KR20070026369A (en) 2007-03-08
KR101164570B1 (en) 2012-07-10
TW200522186A (en) 2005-07-01
JP2007528938A (en) 2007-10-18
KR20120014234A (en) 2012-02-16
WO2005068681A3 (en) 2005-09-15
KR101270192B1 (en) 2013-05-30
US20050028838A1 (en) 2005-02-10
KR101223154B1 (en) 2013-01-17
KR20120016177A (en) 2012-02-22
KR20120016176A (en) 2012-02-22

Similar Documents

Publication Publication Date Title
US6902627B2 (en) Cleaning chamber surfaces to recover metal-containing compounds
US20050028838A1 (en) Cleaning tantalum-containing deposits from process chamber components
JP4881015B2 (en) Corrosion resistant aluminum component with multilayer coating
US8142989B2 (en) Textured chamber surface
KR100299569B1 (en) Surface treatment method and plasma treatment device of aluminum member
TWI533384B (en) Process kit shields and methods of use thereof
KR101737378B1 (en) Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US7323230B2 (en) Coating for aluminum component
US20090120462A1 (en) Fabricating and cleaning chamber components having textured surfaces
US20060105182A1 (en) Erosion resistant textured chamber surface
US20050172984A1 (en) Cleaning of chamber components
US9068273B2 (en) Electrochemical removal of tantalum-containing materials
US20190323127A1 (en) Texturing and plating nickel on aluminum process chamber components
JP2720966B2 (en) Recycling method of parts with thin film attached
CN117043387A (en) High temperature chamber and chamber component cleaning and maintenance method and apparatus
JP2823555B2 (en) Method using chlorine trifluoride for surface cleaning of thin film forming equipment
JP2768666B2 (en) Method for removing deposits in thin film forming apparatus
JP3582502B2 (en) Maintenance method of dry etching equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006545314

Country of ref document: JP

Ref document number: 200480038034.4

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWE Wipo information: entry into national phase

Ref document number: 1020067014433

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 1020127000546

Country of ref document: KR

Ref document number: 1020127000547

Country of ref document: KR

Ref document number: 1020127000548

Country of ref document: KR