WO2005038890A1 - Methods of selective deposition of heavily doped epitaxial sige - Google Patents

Methods of selective deposition of heavily doped epitaxial sige Download PDF

Info

Publication number
WO2005038890A1
WO2005038890A1 PCT/US2004/030872 US2004030872W WO2005038890A1 WO 2005038890 A1 WO2005038890 A1 WO 2005038890A1 US 2004030872 W US2004030872 W US 2004030872W WO 2005038890 A1 WO2005038890 A1 WO 2005038890A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
substrate
film
range
deposited
Prior art date
Application number
PCT/US2004/030872
Other languages
French (fr)
Inventor
Yihwan Kim
Arkadii V. Samoilov
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2006533945A priority Critical patent/JP4969244B2/en
Priority to EP04784661A priority patent/EP1680808A1/en
Publication of WO2005038890A1 publication Critical patent/WO2005038890A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and devices, more particular, to methods of depositing silicon-containing films forming semiconductor devices.
  • junction depth is required to be less than 30 nm for sub-100 nm CMOS (complementary metal-oxide semiconductor) devices.
  • CMOS complementary metal-oxide semiconductor
  • Conventional doping by implantation and annealing is less effective as the junction depth approaches 10 nm.
  • Doping by implantation requires a post-annealing process in order to activate dopants and post-annealing causes enhanced dopant diffusion into layers.
  • Source/drain extension features are manufactured by etching silicon to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown SiGe epilayer.
  • Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy.
  • the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further.
  • an elevated source/drain is epitaxially and selectively grown on the junction.
  • Selective Si epitaxial deposition and selective SiGe epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas.
  • Selective epitaxy may be used in semiconductor devices, such as within elevated source/drains, source/drain extensions, contact plugs, and base layer deposition of bipolar devices.
  • a selective epitaxy process involves two reactions, deposition and etching, that simultaneously occur with relatively different reaction rates on silicon and on dielectric surface.
  • a selective process window results in deposition only on silicon surfaces by changing the concentration of an etchant gas (e.g., HCI).
  • an etchant gas e.g., HCI
  • a popular process to perform selective, epitaxy deposition is to use dichlorosilane (SiH 2 CI 2 ) as a silicon source, germane (GeH 4 ) as a germanium source, HCI as an etchant to provide selectivity during the deposition and hydrogen (H 2 ) as a carrier gas.
  • SiGe epitaxial deposition is suitable for small dimensions, this approach does not readily prepare doped SiGe since the dopants react with HCI.
  • the process development of heavily boron doped (e.g., higher than 5 x 10 19 cm "3 ) selective SiGe epitaxy is a much more complicated task because boron doping makes the process window for selective deposition narrow.
  • more boron concentration e.g., B 2 H 6
  • This higher HCI flow rate reduces boron incorporation in the epilayers presumably because the B-Cl bond is stronger than Ge-CI and Si-CI bonds.
  • a method of depositing a silicon germanium film on a substrate includes placing the substrate within a process chamber and heating the substrate surface to a temperature in a range from about 500°C to about 900°C while maintaining a pressure in a range from about 0.1 Torr to about 200 Torr.
  • a deposition gas is provided to the process chamber and includes SiH 4 , GeH 4 , HCI, a carrier gas and at least one dopant gas, such as diborane, arsine or phosphine.
  • a doped silicon germanium film is epitaxially grown on the substrate.
  • a selective epitaxial method for growing a doped silicon germanium film on a substrate includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr and heating the substrate surface to a temperature in a range from about 500°C to about 900°C.
  • a deposition gas is provided to the process chamber and includes SiH 4 , a germanium source, an etchant source, a carrier gas and at least one dopant gas.
  • the silicon germanium film is grown and has a dopant concentration in a range from about 1x10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 .
  • a selective epitaxial method for growing a silicon- containing film on a substrate includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr and heating the substrate surface to a temperature in a range from about 500°C to about 900°C.
  • a deposition gas is provided to the process chamber and includes SiH , HCI and a carrier gas. The silicon-containing film is grown at a rate from about 50 A min to about 600 Amin.
  • a selective epitaxial method for growing a silicon- containing film on a substrate includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr, heating the substrate to a temperature in a range from about 500°C to about 900°C, providing a deposition gas that includes CI 2 SiH 2 , HCI and a carrier gas and depositing a silicon-containing layer on the substrate.
  • the method further includes providing a second deposition gas comprising SiH 4 , HCI and a second carrier gas and depositing a second silicon-containing layer on the silicon-containing layer.
  • a method of selectively depositing a silicon- containing film on a substrate includes placing the substrate within a process chamber, heating the substrate to a temperature in a range from about 500°C to about 900°C and maintaining a pressure in a range from about 0.1 Torr to about 200 Torr.
  • the method further includes providing a deposition gas containing SiH 4 , a germanium source, HCI, at least one dopant gas and a carrier gas selected from the group consisting of N 2 , Ar, He and combinations thereof and depositing the silicon-containing film epitaxially on the substrate.
  • Figures 1A-C show several devices with epitaxially deposited silicon- containing layer
  • Figures 2A-F show schematic illustrations of fabrication techniques for a source/drain extension device within a MOSFET.
  • the invention provides a process to epitaxially deposit silicon containing compounds during the manufacture of various device structures.
  • the process utilizes the silicon precursor silane (SiH 4 ) during the deposition of silicon compounds.
  • SiH 4 silicon precursor silane
  • past techniques usually have used chlorine- containing precursors, such as dichlorosilane, for selective deposition
  • embodiments of the present invention teach the utilization of silane as a precursor.
  • the use of silane has been found to deposit silicon containing films more quickly than that of dichlorosilane.
  • the use of silane provides a higher degree of control for dopant concentrations while the film and increasing the deposition rate.
  • Some embodiments disclose processes to grow films of selective, epitaxial silicon compounds.
  • Selective silicon containing film growth generally is conducted when the substrate or surface includes more than one material, such as exposed single crystalline silicon surface areas and features that are covered with dielectric material, such as oxide layers or nitride layers.
  • these features are dielectric material and may include silicon oxide silicon nitride, silicon oxynitride, tantalum nitride.
  • Selective epitaxial growth to the crystalline, silicon surface is achieved while the feature is left bare, generally, with the utilization of an etchant (e.g., HCI).
  • the etchant removes amorphous silicon or polysilicon growth from features quicker than the etchant removes crystalline silicon growth from the substrate, thus selective epitaxial growth is achieved.
  • Carrier gases are used throughout the processes and include H 2 , Ar, N 2 , He and combinations thereof.
  • H 2 is used as a carrier gas.
  • N 2 is used as a carrier gas.
  • a carrier gas is substantial free of H 2 or atomic hydrogen during an epitaxial deposition process.
  • a relatively inert gas may be used as a carrier gas, such as N 2 , Ar, He and combinations thereof.
  • Carrier gases may be combined in various ratios during some embodiments of the process.
  • a carrier gas includes N 2 and/or Ar to maintain available sites on the silicon compound film.
  • the presence of hydrogen on the silicon compound surface limits the number of available sites (i.e., passivates) for Si or SiGe to grow when an abundance of H 2 is used as a carrier gas. Consequently, a passivated surface limits the growth rate at a given temperature, particularly at lower temperatures (e.g., ⁇ 650°C). Therefore, a carrier gas of N 2 and/or Ar may be used during a process at lower temperature and reduce the thermal budget without sacrificing the growth rate.
  • a silicon compound film is epitaxially grown as a Si film.
  • a substrate e.g., 300 mm OD
  • a silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • an etchant e.g., HCI
  • the flow rate of the silane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem.
  • the flow rate of the etchant is from about 5 seem to about 1 ,000 seem.
  • the process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is kept at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 600°C to about 900°C, for example, from 600°C to 750°C, or in another example, from 650°C to 800°C.
  • the mixture of reagents is thermally driven to react and epitaxially deposit crystalline silicon.
  • the HCI etches any deposited amorphous silicon or polycrystalline silicon from dielectric features on the surface of the substrate.
  • the process is conducted to form the deposited silicon compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min.
  • the silicon compound has a thickness greater than 500 A, such as about 1 ,000 A or greater. *
  • Etchants maintain various areas on a device to be free of deposited silicon compound.
  • Etchants that are useful during selective deposition processes throughout the embodiments include HCI, HF, F 2 , NF, XeF 2 , HBr, Si 2 CI 6 , SiCI , Cl 2 SiH 2 , CCI , Cl 2 and combinations thereof.
  • Other silicon precursors, besides silane, useful to deposit silicon compounds include higher silanes and organosilanes. Higher silanes include the compounds with the empirical formula Si ⁇ H( 2x +2), such as disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H ⁇ 0 ) > derivatives thereof and combinations thereof.
  • Organosilane compounds have been found to be advantageous silicon sources and carbon sources during embodiments of the present invention to incorporate carbon in to deposited silicon compound.
  • a silicon compound film is epitaxially grown as a SiGe film.
  • a substrate e.g., 300 mm OD
  • a silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • germanium source e.g., GeH 4
  • an etchant e.g., HCI.
  • the flow rate of the silane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem.
  • the flow rate of the germanium source is from about 0.1 seem to about 10 seem.
  • the flow rate of the etchant is from about 5 seem to about 1 ,000 seem.
  • the process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is maintained at a temperature in the range from about 500°C to about 1,000°C, preferably from about 700°C to about 900°C.
  • the reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium film.
  • the HCI etches any deposited amorphous SiGe compounds from dielectric features on the surface of the substrate.
  • the process is conducted to form the deposited SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 300 A/min, preferably at about 150 A min.
  • the germanium concentration of the SiGe compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent.
  • germanium sources or precursors besides germane (GeH 4 ), to deposit silicon compounds include higher germanes and organogermanes.
  • Higher germanes include compounds with the empirical formula Ge x H( 2 ⁇ +2). such as digermane (Ge 2 H 6 ), trigermane (Ge 3 H 8 ) and tetragermane (Ge 4 H-i 0 ), derivatives thereof and combinations thereof during various embodiments of the present invention.
  • germane and organogermane compounds have been found to be germanium sources as well as carbon sources for incorporating germanium and carbon into the deposited silicon compounds, namely SiGe and SiGeC compounds.
  • a silicon compound film is epitaxially grown as a doped Si film.
  • a substrate e.g., 300 mm OD
  • a silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • a dopant e.g., B 2 H ⁇
  • an etchant e.g., HCI.
  • the flow rate of the silane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem.
  • the flow rate of the dopant is from about 0.01 seem to about 3 seem.
  • the flow rate of the etchant is from about 5 seem to about 1 ,000 seem.
  • the process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is kept at a temperature in the range from about 500°C to about 1,000°C, preferably from about 700°C to about 900°C.
  • the mixture of reagents is thermally driven to react and epitaxially deposit doped silicon films.
  • the HCI etches any deposited amorphous silicon or polycrystalline silicon from dielectric features on the surface of the substrate.
  • the process deposits a doped silicon compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate from about 50 A/min to about 600 A/min, preferably about 150 A min.
  • Dopants provide the deposited silicon compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon compounds are doped with particular dopants to achieve the desired conductive characteristic.
  • the silicon compound is deposited as doped p-type material by co- flowing diborane with the silicon precursor.
  • the boron concentration of the deposited silicon compound is in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • the p-type dopant has a concentration of at least 5x10 19 atoms/cm 3 .
  • the p-type dopant is in the range from about 1x10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 .
  • the silicon compound is doped n-type, such as with phosphorus and/or arsenic to a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • boron containing dopants include boranes and organoboranes.
  • Boranes include borane, diborane, trib ⁇ rane, tetraborane and pentaborane
  • Alkylphosphines include trimethylphosphine ((CH 3 ) 3 P), dimethylphosphine ((CH 3 ) 2 PH), triethylphosphine ((CH 3 CH 2 ) 3 P) and diethylphosphine ((CH 3 CH 2 ) 2 PH).
  • a silicon compound film is epitaxially grown to produce a doped SiGe film.
  • a substrate e.g., 300 mm OD
  • a silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • a germanium source e.g., GeH 4
  • a dopant e.g., B 2 H 6
  • an etchant e.g., HCI
  • the flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem.
  • the flow rate of the germanium source is from about 0.1 seem to about 10 seem.
  • the flow rate of the dopant is from about 0.01 seem to about 3 seem.
  • the flow rate of the etchant is from about 5 seem to about 1,000 seem.
  • the process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is maintained at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 700°C to about 900°C.
  • the reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium film.
  • the HCI etches any deposited amorphous SiGe from features upon the surface of the substrate.
  • the process is conducted to form the doped SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A min and about 600 A/min, preferably at about 150 A/min.
  • the germanium concentration of the SiGe compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent.
  • the boron concentration of the SiGe compound is in the range from about 1 ⁇ 10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 , preferably about 2x10 20 atoms/cm 3 .
  • a silicon compound film is epitaxially grown as a SiGeC film.
  • a substrate e.g., 300 mm OD
  • a silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • germanium source e.g., GeH
  • carbon source e.g., CH 3 SiH 3
  • an etchant e.g., HCI.
  • the flow rate of the silane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem.
  • the flow rate of the germanium source is from about 0.1 seem to about 10 seem.
  • the flow rate of the carbon source is from about 0.1 seem to about 50 seem.
  • the flow rate of the etchant is from about 5 seem to about 1 ,000 seem.
  • the process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is maintained at a temperature in the range from about 500°C to about ,000°C, preferably from about 500°C to about 700°C.
  • the reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium carbon film.
  • the HCI etches any deposited amorphous or polycrystalline SiGeC compounds from dielectric features upon the surface of the substrate.
  • the process deposits a SiGeC compound with a thickness in a range from about 100 A to about 3,000 A and has at a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min.
  • the germanium concentration of the SiGeC compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent.
  • the carbon concentration of the SiGeC compound is in the range from about 0.1 atomic percent to about 5 atomic percent, preferably about 2 atomic percent.
  • carbon sources or precursors besides ethylene or methane, are useful while depositing silicon compounds and include alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include ethyne (C 2 H 2 ), propane (C 3 H 8 ), propene (C 3 H 6 ), butyne (C 4 He), as well as others.
  • Other carbon sources include organosilane compounds, as described in relation to silicon sources.
  • a silicon compound film is epitaxially grown as a doped-SiGeC film.
  • a substrate e.g., 300 mm OD
  • silicon precursor e.g., silane
  • a carrier gas e.g., H 2 and/or N 2
  • germanium source e.g., GeH
  • carbon source e.g., CH 3 SiH 3
  • a dopant e.g., B 2 H ⁇
  • an etchant e.g., HCI.
  • the flow rate of the silane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem.
  • the flow rate of the germanium source is from about 0.1 seem to about 10 seem.
  • the flow rate of the carbon source is from about 0.1 seem to about 50 seem.
  • the flow rate of the dopant is from about 0.01 seem to about 3 seem.
  • the flow rate of the etchant is from about 5 seem to about 1,000 seem.
  • the process chamber is maintained with a pressure from about 0.1 Torr to about 200 Torr, preferably at about 15 Torr.
  • the substrate is maintained at a temperature in the range from about
  • the reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a doped silicon germanium carbon film.
  • the HCI etches any deposited amorphous or polycrystalline SiGeC compounds from dielectric features on the surface of the substrate.
  • the process deposits a doped-SiGeC compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min.
  • the germanium concentration of the SiGeC compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent.
  • the carbon concentration of the SiGeC compound is in the range from about 0.1 atomic percent to about 5 atomic percent, preferably about 2 atomic percent.
  • the boron concentration is in the range from about 1x10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 of the SiGe compound, preferably at about 2x10 20 atoms/cm 3 .
  • a second silicon compound film is epitaxially grown as a SiGe film by using dichlorosilane (CI 2 SiH 2 ), subsequently to depositing any of the silicon compounds as described above via silane as a silicon source.
  • a substrate e.g., 300 mm OD
  • dichlorosilane is flown concurrently into the process chamber with a carrier gas ⁇ e.g., H 2 and/or N2), a germanium source (e.g., GeH 4 ) and an etchant (e.g., HCI).
  • the flow rate of the dichlorosilane is in the range from about 5 seem to about 500 seem.
  • the flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem.
  • the flow rate of the germanium source is from about 0.1 seem to about 10 seem.
  • the flow rate of the etchant is from about 5 seem to about 1,000 seem.
  • the process chamber is maintained with a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr.
  • the substrate is maintained at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 700°C to about 900°C.
  • the reagent mixture is thermally driven to react and epitaxially deposit a second silicon compound, namely a silicon germanium film on the first silicon compound.
  • the HCI etches any deposited amorphous or polycrystalline SiGe compounds from any dielectric features upon the surface of the substrate.
  • the process is conducted to form the deposited SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 10 A/min and about 100 A/min, preferably about 50 A/min.
  • the germanium concentration is in the range from about 1 atomic percent to about 30 atomic percent of the SiGe compound, preferably about 20 atomic percent.
  • This embodiment describes a process to deposit a second silicon containing film, namely a SiGe film, though substitution of silane with dichlorosilane to any of the previously described embodiments.
  • a third silicon containing layer is deposited using any of the silane based process discussed above.
  • a silicon compound laminate film may be deposited in sequential layers of silicon compounds by altering the silicon precursor between silane and dichlorosilane.
  • a laminate film of about 2,000 A is formed by depositing four silicon compound layers (each of about 500 A), such that the first and third layers are deposited using dichlorosilane in the process gas mixture and the second and fourth layers are deposited using silane in a second process gas mixture.
  • the first and third layers are deposited using silane and the second and fourth layers are deposited using dichlorosilane.
  • the thickness of each layer is independent from each other; therefore, a laminate film may have various thicknesses of the silicon compound layers.
  • dichlorosilane is added to process gas for depositing a silicon compound layer on an under layer containing surface islands (e.g., contamination or irregularity to film).
  • a process incorporating dichlorosilane may be less sensitive to irregularities of the surface islands while depositing the silicon compound layer on the under layer.
  • the use of dichlorosilane as the silicon source forms silicon compounds with a higher horizontal or lateral growth rate relative to silicon compounds formed by the use of silane.
  • the surface island is covered by a silicon compound layer having a consistent surface, then dichlorosilane is replaced with silane in the process gas and deposition of the silicon compound layer is continued.
  • Embodiments of the invention teach processes to deposit silicon compounds on many substrates and surfaces.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> and Si ⁇ 111>), silicon oxide, silicon germanium, doped or undoped wafers and patterned or non-patterned wafers.
  • Substrates have a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD).
  • Surfaces and/or substrates include wafers, films, layers and materials with dielectric, conductive and barrier properties and include polysilicon, silicon on insulators (SOI), strained and unstrained lattices.
  • SOI silicon on insulators
  • Pretreatment of surfaces may include polishing, etching, reduction, oxidation, hydroxylation, annealing and baking.
  • wafers are dipped into a 1% HF solution, dried and baked in a hydrogen atmosphere at 800°C.
  • silicon compounds include a germanium concentration within the range from about 0 atomic percent to about 95 atomic percent. In another embodiment, a germanium concentration is within the range from about 1 atomic percent to about 30 atomic percent, preferably from about 15 atomic percent to about 25 atomic percent, and more preferably, about 20 atomic percent. Silicon compounds also include a carbon concentration within the range from about 0 atomic percent to about 5 atomic percent. In other aspects, a carbon concentration is within the range from about 200 pp to about 2 atomic percent.
  • the silicon compound films of germanium and/or carbon are produced by various processes of the invention and can have consistent, sporadic or graded elemental concentrations.
  • Graded silicon germanium films are disclosed in U.S. Patent No. 6,770,134 and in U.S. Patent Application 10/014,466, published as U.S. Patent Publication No. 20020174827, both assigned to Applied Material, Inc., and incorporated herein by reference in their entirety for the purpose of describing methods of depositing graded silicon compound films.
  • silane and a germanium source are used to deposit silicon germanium containing films, in this embodiment, the ratio of a silane source and a germanium source may be varied to control the elemental concentration of the silicon compound while growing a graded film.
  • silane and a carbon source e.g., CH3SiH 3
  • the ratio of silane and carbon source may be varied to control the elemental concentration of the silicon compound while growing homogenous or graded films.
  • silane, a germanium source e.g., GeH 4
  • a carbon source e.g., CH 3 SiH 3
  • the ratio of silane, germanium and carbon source can be varied in order to provide control of the elemental concentration while growing homogenous or graded films.
  • silicon compound films are grown by chemical vapor deposition (CVD) processes, wherein CVD processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes.
  • Chemical vapor deposition includes the use of many techniques, such as plasma- assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD), hot-wire (HWCVD), reduced-pressure or low pressure CVD (RP- CVD or LP-CVD), ultra-high vacuum CVD (UHV-CVD) and others.
  • the process uses thermal CVD to epitaxially grow or deposit the silicon compound, whereas the silicon compound includes silicon, SiGe, SiC, SiGeC, doped variants thereof and combinations thereof.
  • the processes of the invention can be carried out in equipment known in the art of ALE, CVD and ALD.
  • the apparatus brings the sources into contact with a heated substrate on which the silicon compound films are grown.
  • the processes can operate at a range of pressures from about 1 mTorr to about 2,300 Torr, preferably between about 0.1 Torr and about 200 Torr.
  • Hardware that can be used to deposit silicon-containing films includes the Epi Centura ® system and the Poly Gen ® system available from Applied Materials, Inc., located in Santa Clara, California.
  • An ALD apparatus is disclosed in U.S. Patent Application No. 10/032,284, published as U.S. Patent Publication No.
  • FIG. 1A-1C show the processes of depositing silicon compound layers in Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) and bipolar transistors as depicted in Figures 1A-1C.
  • MOSFET Metal-Oxide-Semiconductor Field Effect Transistor
  • Figures 1A-1B show the epitaxially grown silicon compound on a MOSFET. The silicon compound is deposited to the source/drain features of the device.
  • Figure 1A demonstrates the silicon compound deposited as a source/drain extension source, while in another embodiment, Figure 1B shows the silicon compound deposited as an elevated source/drain (ESD).
  • ESD elevated source/drain
  • the source/drain layer 12 is formed by ion implantation of the substrate 10. Generally, the substrate 10 is doped n-type while the source/drain layer 12 is doped p-type. Silicon compound layer 14 is epitaxially grown to the source/drain layer 12 by the various embodiments of the present invention.
  • a gate oxide layer 18 bridges the either the segmented silicon compound layer 14 ( Figure 1A) or the segmented source/drain layer 12 ( Figure 1B).
  • gate oxide layer 18 is composed of silicon dioxide, silicon oxynitride or tantalum oxide.
  • a spacer 16 which is usually an isolation material such as a nitride/oxide stack (e.g., Si 3 N 4 /SiO 2 /Si 3 N 4 ). Also within the spacer 16 is off-set layers 20 (e.g., Si 3 N ) and the gate layer 22 (e.g., W or Ni).
  • an isolation material such as a nitride/oxide stack (e.g., Si 3 N 4 /SiO 2 /Si 3 N 4 ).
  • off-set layers 20 e.g., Si 3 N
  • the gate layer 22 e.g., W or Ni
  • Figure 1C depicts the deposited silicon compound layer 34 as a base layer of a bipolar transistor.
  • the silicon compound layer 34 is epitaxially grown with the various embodiments of the invention.
  • the silicon compound layer 34 is deposited to an n-type collector layer 32 previously deposited to substrate 30.
  • the transistor further includes isolation layer 33 (e.g., SiU2 or Si 3 N ), contact layer 36 (e.g., heavily doped poly-Si), off-set layer 38 (e.g., Si 3 N ) and a second isolation layer 40 (e.g., Si ⁇ 2 or Si 3 N 4 ).
  • isolation layer 33 e.g., SiU2 or Si 3 N
  • contact layer 36 e.g., heavily doped poly-Si
  • off-set layer 38 e.g., Si 3 N
  • second isolation layer 40 e.g., Si ⁇ 2 or Si 3 N 4 .
  • a source/drain extension is formed within a MOSFET wherein the silicon compound layers are epitaxially and selectively deposited on the surface of the substrate.
  • Figure 2A depicts a source/drain layer 132 formed by implanting ions, such as dopant ions, into the surface of a substrate 130. The segments of source/drain layer 132 are bridged by the gate 136 formed within off-set layer 134. A portion of the source/drain layer is etched and wet-cleaned, to produce a recess 138, as in Figure 2B.
  • Figure 2C illustrates several embodiments of the present invention, in which silicon compound layers 140 (epitaxial) and 142 (polycrystalline) are selectively deposited. Silicon compound layers 140 and 142 are deposited simultaneously without depositing on the off-set layer 134. Silicon compound layers 140 and 142 are generally doped SiGe containing layers with a germanium concentration of about 1 atomic percent to about 30 atomic percent, preferably at about 20 atomic percent and a dopant (e.g., B, As or P) concentration from about 1 ⁇ 10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 , preferably at about 2x10 20 atoms/cm 3 .
  • Figure 2D shows the nitride spacer 144 (e.g., Si 3 N ) deposited to the off-set layer 134.
  • Figure 2E depicts another embodiment of the present invention, in which a silicon compound is epitaxially and selectively deposited as silicon compound layer 148.
  • Silicon compound layer 148 is deposited on layer 140 (doped-SiGe).
  • Polysilicon layer 146 is deposited on the silicon compound layer 142 (doped-SiGe).
  • a metal layer 154 is deposited over the features and the device is annealed.
  • the metal layer 154 may include cobalt, nickel or titanium, among other metals.
  • polysilicon layer 146 and silicon compound layer 148 are converted to metal suicide layers, 150 and 152, respectively. That is, when cobalt is deposited as metal layer 154, then metal suicide layers 150 and 152 are cobalt suicide after an annealing process.
  • the silicon compound is heavily doped with the in-situ dopants. Therefore, annealing steps of the prior art are omitted and the overall throughput is shorter. An increase of carrier mobility along the channel and subsequent drive current is achieved with the optional addition of germanium and/or carbon into the silicon compound layer. Selectively grown epilayers of the silicon compound above the gate oxide level can compensate junction consumption during the silicidation, which can relieve concerns of high series resistance of ultra shallow junctions. These two applications can be implemented together as well as solely for CMOS device fabrication.
  • Silicon compounds as deposited by the embodiments herein may be used in the fabrication of devices that include Bipolar (e.g., base, emitter, collector, emitter contact), BiCMOS (e.g., base, emitter, collector, emitter contact) and CMOS (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug).
  • Bipolar e.g., base, emitter, collector, emitter contact
  • CMOS e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug.
  • Other embodiments of processes teach the growth of silicon compounds films that can be used as gate, base contact, collector contact, emitter contact, elevated source/drain and other uses.
  • Example 1 Boron doped silicon germanium deposition: A substrate, Si ⁇ 100>, (e.g., 300 mm OD) was employed to investigate selective, monocrystalline film growth by CVD. A dielectric feature existed on the surface of the wafer. The wafer was prepared by subjecting to a 1 % HF dip for 45 seconds. The wafer was loaded into the deposition chamber (Epi Centura ® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow. Silane (100 seem) and germane (6 seem) were added to the chamber at 15 Torr and 725°C. Hydrogen chloride was delivered with a flow rate of 460 seem.
  • Ether ® chamber the deposition chamber
  • Hydrogen chloride was delivered with a flow rate of 460 seem.
  • Diborane was delivered with a flow rate of 1 seem.
  • the substrate was maintained at 725°C.
  • Deposition was carried out for 5 minutes to form a 500 A SiGe film with a germanium concentration of 21 atomic percent and the boron concentration was 2.0x10 20 cm "3 .
  • Example 2 Phosphorus doped silicon germanium deposition: A substrate was prepared as in Example 1. The wafer was loaded into the deposition chamber
  • Silane (100 seem) and germane (4 seem) were added to the chamber at 15 Torr and
  • Example 3 Boron doped silicon germanium deposition with sequential ClgSiH? and SiH 4 flows:
  • the substrates were prepared as in Example 1.
  • the wafer was loaded into the deposition chamber (Epi Centura ® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide.
  • a flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow.
  • Dichlorosilane (100 seem), germane (2.8 seem), and diborane (0.3 seem) were added to the chamber at 15 Torr and 725°C.
  • Hydrogen chloride was delivered with a flow rate of 190 seem.
  • the substrate was maintained at 725°C.
  • Deposition was conducted for 72 seconds to form a first layer of silicon compound with a thickness of 50 A.
  • a subsequent epitaxial layer i.e., a second layer of silicon compound
  • silane 100 seem
  • germane 6 seem
  • hydrogen chloride 460 seem
  • diborane 1 seem
  • the chamber pressure and temperature remained constant (15 Torr and 725°C) and the deposition was conducted for 144 seconds to form 250 A layer of the second layer.
  • Examples 4 Boron doped silicon germanium deposition with seguential using SiH 4 and CI?SiH?: The substrates were prepared as in Example . The wafer was loaded into the deposition chamber (Epi Centura ® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow. Silane (100 seem), germane (6 seem), and diborane (1 seem) were added to the chamber at 15 Torr and 725°C. Hydrogen chloride was delivered with a flow rate of 460 seem. The substrate was maintained at 725°C. Deposition was conducted for 144 seconds to form a first layer of silicon compound with a thickness of 250 A.
  • a second layer of silicon compound was sequentially deposited using dichlorosilane (100 seem), germane (2.8 seem), hydrogen chloride (190 seem) and diborane (0.3 seem).
  • the chamber pressure and temperature remained constant (15 Torr and 725°C) was conducted for 72 seconds to form additional 50 A layer.

Abstract

In one embodiment a method for depositing a silicon film or silicon germanium film on a substrate is provided which includes placing the substrate within a process chamber and heating the substrate surface to a temperature in the range from about 600 C to about 900 C while maintaining a pressure in the process chamber in the range from about 13 Pa (0.1 Torr) to about 27 kPa (200 Torr). A deposition gas is provided to the process chamber and includes SiH4, an optional germanium source gas, an etchant, a carrier gas and optionally at least one dopant gas. The silicon film or the silicon germanium film is selectively and epitaxially grown on the substrate. One embodiment includes a method for depositing a silicon-containing film with an inert gas as the carrier gas. Methods also include the fabrication of electronic devices utilizing selective silicon germanium epitaxial films.

Description

METHODS OF SELECTIVE DEPOSITION OF HEAVILY DOPED EPITAXIAL SiGe
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and devices, more particular, to methods of depositing silicon-containing films forming semiconductor devices.
Description of the Related Art
[0002] As smaller transistors are manufactured, ultra shallow source/drain junctions are becoming more challenging to produce. According to the International Technology Roadmap for Semiconductors (ITRS), junction depth is required to be less than 30 nm for sub-100 nm CMOS (complementary metal-oxide semiconductor) devices. Conventional doping by implantation and annealing is less effective as the junction depth approaches 10 nm. Doping by implantation requires a post-annealing process in order to activate dopants and post-annealing causes enhanced dopant diffusion into layers.
[0003] Recently, heavily-doped (about >1019 atoms/cm3), selective SiGe epitaxy has become a useful material to deposit during formation of elevated source/drain and source/drain extension features. Source/drain extension features are manufactured by etching silicon to make a recessed source/drain feature and subsequently filling the etched surface with a selectively grown SiGe epilayer. Selective epitaxy permits near complete dopant activation with in-situ doping, so that the post annealing process is omitted. Therefore, junction depth can be defined accurately by silicon etching and selective epitaxy. On the other hand, the ultra shallow source/drain junction inevitably results in increased series resistance. Also, junction consumption during suicide formation increases the series resistance even further. In order to compensate for junction consumption, an elevated source/drain is epitaxially and selectively grown on the junction. [0004] Selective Si epitaxial deposition and selective SiGe epitaxial deposition permits growth of epilayers on silicon moats with no growth on dielectric areas. Selective epitaxy may be used in semiconductor devices, such as within elevated source/drains, source/drain extensions, contact plugs, and base layer deposition of bipolar devices. Generally, a selective epitaxy process involves two reactions, deposition and etching, that simultaneously occur with relatively different reaction rates on silicon and on dielectric surface. A selective process window results in deposition only on silicon surfaces by changing the concentration of an etchant gas (e.g., HCI). A popular process to perform selective, epitaxy deposition is to use dichlorosilane (SiH2CI2) as a silicon source, germane (GeH4) as a germanium source, HCI as an etchant to provide selectivity during the deposition and hydrogen (H2) as a carrier gas.
[0005] Although SiGe epitaxial deposition is suitable for small dimensions, this approach does not readily prepare doped SiGe since the dopants react with HCI. The process development of heavily boron doped (e.g., higher than 5 x 1019 cm"3) selective SiGe epitaxy is a much more complicated task because boron doping makes the process window for selective deposition narrow. Generally, when more boron concentration (e.g., B2H6) is added to the deposition gas flow, a higher HCI concentration is necessary to achieve selectivity due to the increase growth rate of deposited film(s) on any dielectric areas. This higher HCI flow rate reduces boron incorporation in the epilayers presumably because the B-Cl bond is stronger than Ge-CI and Si-CI bonds.
[0006] Therefore, there is a need to have a process for selectively and epitaxially depositing silicon and silicon compounds with an enriched dopant concentration. Furthermore, the process must maintain a high growth of the deposited material. Also, the process must have less dependency on germanium and boron concentrations in the silicon compound in relation to an etchant flow rate. SUMMARY OF THE INVENTION
[0007] In one embodiment, a method of depositing a silicon germanium film on a substrate is provided which includes placing the substrate within a process chamber and heating the substrate surface to a temperature in a range from about 500°C to about 900°C while maintaining a pressure in a range from about 0.1 Torr to about 200 Torr. A deposition gas is provided to the process chamber and includes SiH4, GeH4, HCI, a carrier gas and at least one dopant gas, such as diborane, arsine or phosphine. A doped silicon germanium film is epitaxially grown on the substrate.
[0008] In another embodiment, a selective epitaxial method for growing a doped silicon germanium film on a substrate is provided which includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr and heating the substrate surface to a temperature in a range from about 500°C to about 900°C. A deposition gas is provided to the process chamber and includes SiH4, a germanium source, an etchant source, a carrier gas and at least one dopant gas. The silicon germanium film is grown and has a dopant concentration in a range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3.
[0009] In another embodiment, a selective epitaxial method for growing a silicon- containing film on a substrate is provided which includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr and heating the substrate surface to a temperature in a range from about 500°C to about 900°C. A deposition gas is provided to the process chamber and includes SiH , HCI and a carrier gas. The silicon-containing film is grown at a rate from about 50 A min to about 600 Amin.
[0010] In another embodiment, a selective epitaxial method for growing a silicon- containing film on a substrate is provided which includes placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr, heating the substrate to a temperature in a range from about 500°C to about 900°C, providing a deposition gas that includes CI2SiH2, HCI and a carrier gas and depositing a silicon-containing layer on the substrate. The method further includes providing a second deposition gas comprising SiH4, HCI and a second carrier gas and depositing a second silicon-containing layer on the silicon-containing layer.
[0011] In another embodiment, a method of selectively depositing a silicon- containing film on a substrate is provided which includes placing the substrate within a process chamber, heating the substrate to a temperature in a range from about 500°C to about 900°C and maintaining a pressure in a range from about 0.1 Torr to about 200 Torr. The method further includes providing a deposition gas containing SiH4, a germanium source, HCI, at least one dopant gas and a carrier gas selected from the group consisting of N2, Ar, He and combinations thereof and depositing the silicon-containing film epitaxially on the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings, it is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0013] Figures 1A-C show several devices with epitaxially deposited silicon- containing layer; and
[0014] Figures 2A-F show schematic illustrations of fabrication techniques for a source/drain extension device within a MOSFET.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0015] The invention provides a process to epitaxially deposit silicon containing compounds during the manufacture of various device structures. In some embodiments, the process utilizes the silicon precursor silane (SiH4) during the deposition of silicon compounds. While past techniques usually have used chlorine- containing precursors, such as dichlorosilane, for selective deposition, embodiments of the present invention teach the utilization of silane as a precursor. The use of silane has been found to deposit silicon containing films more quickly than that of dichlorosilane. Also, the use of silane provides a higher degree of control for dopant concentrations while the film and increasing the deposition rate.
[0016] Some embodiments disclose processes to grow films of selective, epitaxial silicon compounds. Selective silicon containing film growth generally is conducted when the substrate or surface includes more than one material, such as exposed single crystalline silicon surface areas and features that are covered with dielectric material, such as oxide layers or nitride layers. Usually, these features are dielectric material and may include silicon oxide silicon nitride, silicon oxynitride, tantalum nitride. Selective epitaxial growth to the crystalline, silicon surface is achieved while the feature is left bare, generally, with the utilization of an etchant (e.g., HCI). The etchant removes amorphous silicon or polysilicon growth from features quicker than the etchant removes crystalline silicon growth from the substrate, thus selective epitaxial growth is achieved.
[0017] Carrier gases are used throughout the processes and include H2, Ar, N2, He and combinations thereof. In one example, H2 is used as a carrier gas. In another example N2 is used as a carrier gas. In one embodiment, a carrier gas is substantial free of H2 or atomic hydrogen during an epitaxial deposition process. However, a relatively inert gas may be used as a carrier gas, such as N2, Ar, He and combinations thereof. Carrier gases may be combined in various ratios during some embodiments of the process.
[0018] In one embodiment, a carrier gas includes N2 and/or Ar to maintain available sites on the silicon compound film. The presence of hydrogen on the silicon compound surface limits the number of available sites (i.e., passivates) for Si or SiGe to grow when an abundance of H2 is used as a carrier gas. Consequently, a passivated surface limits the growth rate at a given temperature, particularly at lower temperatures (e.g., <650°C). Therefore, a carrier gas of N2 and/or Ar may be used during a process at lower temperature and reduce the thermal budget without sacrificing the growth rate. [0019] In one embodiment of the invention, a silicon compound film is epitaxially grown as a Si film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, a silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem. The flow rate of the etchant is from about 5 seem to about 1 ,000 seem. The process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is kept at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 600°C to about 900°C, for example, from 600°C to 750°C, or in another example, from 650°C to 800°C. The mixture of reagents is thermally driven to react and epitaxially deposit crystalline silicon. The HCI etches any deposited amorphous silicon or polycrystalline silicon from dielectric features on the surface of the substrate. The process is conducted to form the deposited silicon compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min. In one embodiment, the silicon compound has a thickness greater than 500 A, such as about 1 ,000 A or greater. *
[0020] Etchants maintain various areas on a device to be free of deposited silicon compound. Etchants that are useful during selective deposition processes throughout the embodiments include HCI, HF, F2, NF, XeF2, HBr, Si2CI6, SiCI , Cl2SiH2, CCI , Cl2 and combinations thereof. Other silicon precursors, besides silane, useful to deposit silicon compounds include higher silanes and organosilanes. Higher silanes include the compounds with the empirical formula SiχH(2x+2), such as disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si40)> derivatives thereof and combinations thereof. Organosilanes include compounds with the empirical formula RySiχH(2χ+2-y), where R = methyl, ethyl, propyl, butyl or other alkyls, such as methylsilane ((CH3)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2) derivatives thereof, combinations thereof. Organosilane compounds have been found to be advantageous silicon sources and carbon sources during embodiments of the present invention to incorporate carbon in to deposited silicon compound.
[0021] In another embodiment of the invention, a silicon compound film is epitaxially grown as a SiGe film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, a silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2), a germanium source (e.g., GeH4) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem. The flow rate of the germanium source is from about 0.1 seem to about 10 seem. The flow rate of the etchant is from about 5 seem to about 1 ,000 seem. The process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is maintained at a temperature in the range from about 500°C to about 1,000°C, preferably from about 700°C to about 900°C. The reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium film. The HCI etches any deposited amorphous SiGe compounds from dielectric features on the surface of the substrate. The process is conducted to form the deposited SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 300 A/min, preferably at about 150 A min. The germanium concentration of the SiGe compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent.
[0022] Other germanium sources or precursors besides germane (GeH4), to deposit silicon compounds include higher germanes and organogermanes. Higher germanes include compounds with the empirical formula GexH(2χ+2). such as digermane (Ge2H6), trigermane (Ge3H8) and tetragermane (Ge4H-i0), derivatives thereof and combinations thereof during various embodiments of the present invention. Organogermanes include compounds with the empirical formula RyGexH(2χ+2-y), where R = methyl, ethyl, propyl, butyl or other alkyls, such as methylgermane ((CH3)GeH3), dimethylger ane ((CH3)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CH3)2Ge2H4) and hexamethyldigermane ((CH3)6Ge2). During various embodiments of the present invention germane and organogermane compounds have been found to be germanium sources as well as carbon sources for incorporating germanium and carbon into the deposited silicon compounds, namely SiGe and SiGeC compounds.
[0023] In one embodiment of the invention, a silicon compound film is epitaxially grown as a doped Si film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, a silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2), a dopant (e.g., B2Hβ) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem. The flow rate of the dopant is from about 0.01 seem to about 3 seem. The flow rate of the etchant is from about 5 seem to about 1 ,000 seem. The process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is kept at a temperature in the range from about 500°C to about 1,000°C, preferably from about 700°C to about 900°C. The mixture of reagents is thermally driven to react and epitaxially deposit doped silicon films. The HCI etches any deposited amorphous silicon or polycrystalline silicon from dielectric features on the surface of the substrate. The process deposits a doped silicon compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate from about 50 A/min to about 600 A/min, preferably about 150 A min.
[0024] Dopants provide the deposited silicon compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon compounds are doped with particular dopants to achieve the desired conductive characteristic. In one with the embodiment, the silicon compound is deposited as doped p-type material by co- flowing diborane with the silicon precursor. The boron concentration of the deposited silicon compound is in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3. In one example, the p-type dopant has a concentration of at least 5x1019 atoms/cm3. In another example, the p-type dopant is in the range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3. In another example, the silicon compound is doped n-type, such as with phosphorus and/or arsenic to a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3.
[0025] Besides diborane, other boron containing dopants include boranes and organoboranes. Boranes include borane, diborane, tribσrane, tetraborane and pentaborane, while alkylboranes include compounds with the empirical formula RXBH(3-X), where R = methyl, ethyl, propyl or butyl and x = 0, 1 , 2 or 3. Alkylboranes include trimethylborane ((CH3)3B), dimethylborane ((CH3)2BH), triethyl borane ((CH3CH2)3B), diethylborane ((CH3CH2)2BH) and derivatives thereof. Dopants also include arsine (AsH3), phosphine (PH3) and alkylphosphines, such as with the empirical formula RχPH(3-X)) where R = methyl, ethyl, propyl or butyl and x = 0, 1 , 2 or 3. Alkylphosphines include trimethylphosphine ((CH3)3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CH3CH2)3P) and diethylphosphine ((CH3CH2)2PH).
[0026] In another embodiment of the invention, a silicon compound film is epitaxially grown to produce a doped SiGe film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, a silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2), a germanium source (e.g., GeH4), a dopant (e.g., B2H6) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem. The flow rate of the germanium source is from about 0.1 seem to about 10 seem. The flow rate of the dopant is from about 0.01 seem to about 3 seem. The flow rate of the etchant is from about 5 seem to about 1,000 seem. The process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is maintained at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 700°C to about 900°C. The reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium film. The HCI etches any deposited amorphous SiGe from features upon the surface of the substrate. The process is conducted to form the doped SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A min and about 600 A/min, preferably at about 150 A/min. The germanium concentration of the SiGe compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent. The boron concentration of the SiGe compound is in the range from about 1χ1020 atoms/cm3 to about 2.5x1021 atoms/cm3, preferably about 2x1020 atoms/cm3.
[0027] In another embodiment of the invention, a silicon compound film is epitaxially grown as a SiGeC film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, a silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2), a germanium source (e.g., GeH ), a carbon source (e.g., CH3SiH3) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem. The flow rate of the germanium source is from about 0.1 seem to about 10 seem. The flow rate of the carbon source is from about 0.1 seem to about 50 seem. The flow rate of the etchant is from about 5 seem to about 1 ,000 seem. The process chamber is maintained at a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is maintained at a temperature in the range from about 500°C to about ,000°C, preferably from about 500°C to about 700°C. The reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a silicon germanium carbon film. The HCI etches any deposited amorphous or polycrystalline SiGeC compounds from dielectric features upon the surface of the substrate. The process deposits a SiGeC compound with a thickness in a range from about 100 A to about 3,000 A and has at a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min. The germanium concentration of the SiGeC compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent. The carbon concentration of the SiGeC compound is in the range from about 0.1 atomic percent to about 5 atomic percent, preferably about 2 atomic percent.
[0028] Other carbon sources or precursors, besides ethylene or methane, are useful while depositing silicon compounds and include alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include ethyne (C2H2), propane (C3H8), propene (C3H6), butyne (C4He), as well as others. Other carbon sources include organosilane compounds, as described in relation to silicon sources.
[0029] In another embodiment of the invention, a silicon compound film is epitaxially grown as a doped-SiGeC film. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the process chamber. During this deposition technique, silicon precursor (e.g., silane) is flown concurrently into the process chamber with a carrier gas (e.g., H2 and/or N2), a germanium source (e.g., GeH ), a carbon source (e.g., CH3SiH3), a dopant (e.g., B2Hβ) and an etchant (e.g., HCI). The flow rate of the silane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem. The flow rate of the germanium source is from about 0.1 seem to about 10 seem. The flow rate of the carbon source is from about 0.1 seem to about 50 seem. The flow rate of the dopant is from about 0.01 seem to about 3 seem. The flow rate of the etchant is from about 5 seem to about 1,000 seem. The process chamber is maintained with a pressure from about 0.1 Torr to about 200 Torr, preferably at about 15 Torr. The substrate is maintained at a temperature in the range from about
500°C to about 1,000°C, preferably from about 500°C to about 700°C. The reagent mixture is thermally driven to react and epitaxially deposit a silicon compound, namely a doped silicon germanium carbon film. The HCI etches any deposited amorphous or polycrystalline SiGeC compounds from dielectric features on the surface of the substrate. The process deposits a doped-SiGeC compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 50 A/min and about 600 A/min, preferably about 150 A/min. The germanium concentration of the SiGeC compound is in the range from about 1 atomic percent to about 30 atomic percent, preferably about 20 atomic percent. The carbon concentration of the SiGeC compound is in the range from about 0.1 atomic percent to about 5 atomic percent, preferably about 2 atomic percent. The boron concentration is in the range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3 of the SiGe compound, preferably at about 2x1020 atoms/cm3.
[0030] In another embodiment of the invention, a second silicon compound film is epitaxially grown as a SiGe film by using dichlorosilane (CI2SiH2), subsequently to depositing any of the silicon compounds as described above via silane as a silicon source. A substrate (e.g., 300 mm OD) containing any of the above described silicon containing compounds is placed into the process chamber. During this deposition technique, dichlorosilane is flown concurrently into the process chamber with a carrier gas {e.g., H2 and/or N2), a germanium source (e.g., GeH4) and an etchant (e.g., HCI). The flow rate of the dichlorosilane is in the range from about 5 seem to about 500 seem. The flow rate of the carrier gas is from about 1,000 seem to about 60,000 seem. The flow rate of the germanium source is from about 0.1 seem to about 10 seem. The flow rate of the etchant is from about 5 seem to about 1,000 seem. The process chamber is maintained with a pressure from about 0.1 Torr to about 200 Torr, preferably about 15 Torr. The substrate is maintained at a temperature in the range from about 500°C to about 1 ,000°C, preferably from about 700°C to about 900°C. The reagent mixture is thermally driven to react and epitaxially deposit a second silicon compound, namely a silicon germanium film on the first silicon compound. The HCI etches any deposited amorphous or polycrystalline SiGe compounds from any dielectric features upon the surface of the substrate. The process is conducted to form the deposited SiGe compound with a thickness in a range from about 100 A to about 3,000 A and has a deposition rate between about 10 A/min and about 100 A/min, preferably about 50 A/min. The germanium concentration is in the range from about 1 atomic percent to about 30 atomic percent of the SiGe compound, preferably about 20 atomic percent. This embodiment describes a process to deposit a second silicon containing film, namely a SiGe film, though substitution of silane with dichlorosilane to any of the previously described embodiments. In another embodiment, a third silicon containing layer is deposited using any of the silane based process discussed above. [0031] Therefore, in one embodiment, a silicon compound laminate film may be deposited in sequential layers of silicon compounds by altering the silicon precursor between silane and dichlorosilane. In one example, a laminate film of about 2,000 A is formed by depositing four silicon compound layers (each of about 500 A), such that the first and third layers are deposited using dichlorosilane in the process gas mixture and the second and fourth layers are deposited using silane in a second process gas mixture. In another aspect of a laminate film, the first and third layers are deposited using silane and the second and fourth layers are deposited using dichlorosilane. The thickness of each layer is independent from each other; therefore, a laminate film may have various thicknesses of the silicon compound layers.
[0032] In one embodiment, dichlorosilane is added to process gas for depositing a silicon compound layer on an under layer containing surface islands (e.g., contamination or irregularity to film). A process incorporating dichlorosilane may be less sensitive to irregularities of the surface islands while depositing the silicon compound layer on the under layer. The use of dichlorosilane as the silicon source forms silicon compounds with a higher horizontal or lateral growth rate relative to silicon compounds formed by the use of silane. In one embodiment, the surface island is covered by a silicon compound layer having a consistent surface, then dichlorosilane is replaced with silane in the process gas and deposition of the silicon compound layer is continued.
[0033] Embodiments of the invention teach processes to deposit silicon compounds on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> and Si<111>), silicon oxide, silicon germanium, doped or undoped wafers and patterned or non-patterned wafers.
Substrates have a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD). Surfaces and/or substrates include wafers, films, layers and materials with dielectric, conductive and barrier properties and include polysilicon, silicon on insulators (SOI), strained and unstrained lattices.
Pretreatment of surfaces may include polishing, etching, reduction, oxidation, hydroxylation, annealing and baking. In one embodiment, wafers are dipped into a 1% HF solution, dried and baked in a hydrogen atmosphere at 800°C.
[0034] In one embodiment, silicon compounds include a germanium concentration within the range from about 0 atomic percent to about 95 atomic percent. In another embodiment, a germanium concentration is within the range from about 1 atomic percent to about 30 atomic percent, preferably from about 15 atomic percent to about 25 atomic percent, and more preferably, about 20 atomic percent. Silicon compounds also include a carbon concentration within the range from about 0 atomic percent to about 5 atomic percent. In other aspects, a carbon concentration is within the range from about 200 pp to about 2 atomic percent.
[0035] The silicon compound films of germanium and/or carbon are produced by various processes of the invention and can have consistent, sporadic or graded elemental concentrations. Graded silicon germanium films are disclosed in U.S. Patent No. 6,770,134 and in U.S. Patent Application 10/014,466, published as U.S. Patent Publication No. 20020174827, both assigned to Applied Material, Inc., and incorporated herein by reference in their entirety for the purpose of describing methods of depositing graded silicon compound films. In one embodiment, silane and a germanium source (e.g., GeH4) are used to deposit silicon germanium containing films, in this embodiment, the ratio of a silane source and a germanium source may be varied to control the elemental concentration of the silicon compound while growing a graded film. In another embodiment, silane and a carbon source (e.g., CH3SiH3) are used to deposit silicon carbon containing films. The ratio of silane and carbon source may be varied to control the elemental concentration of the silicon compound while growing homogenous or graded films. In another embodiment, silane, a germanium source (e.g., GeH4) and a carbon source (e.g., CH3SiH3) are used to deposit silicon germanium carbon containing films. The ratio of silane, germanium and carbon source can be varied in order to provide control of the elemental concentration while growing homogenous or graded films.
[0036] In processes of the invention, silicon compound films are grown by chemical vapor deposition (CVD) processes, wherein CVD processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes. Chemical vapor deposition includes the use of many techniques, such as plasma- assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD), hot-wire (HWCVD), reduced-pressure or low pressure CVD (RP- CVD or LP-CVD), ultra-high vacuum CVD (UHV-CVD) and others. Preferably, the process uses thermal CVD to epitaxially grow or deposit the silicon compound, whereas the silicon compound includes silicon, SiGe, SiC, SiGeC, doped variants thereof and combinations thereof.
[0037] The processes of the invention can be carried out in equipment known in the art of ALE, CVD and ALD. The apparatus brings the sources into contact with a heated substrate on which the silicon compound films are grown. The processes can operate at a range of pressures from about 1 mTorr to about 2,300 Torr, preferably between about 0.1 Torr and about 200 Torr. Hardware that can be used to deposit silicon-containing films includes the Epi Centura® system and the Poly Gen® system available from Applied Materials, Inc., located in Santa Clara, California. An ALD apparatus is disclosed in U.S. Patent Application No. 10/032,284, published as U.S. Patent Publication No. 20030079686, assigned to Applied Material, Inc., and entitled "Gas Delivery Apparatus and Methods for ALD," and is incorporated herein by reference in entirety for the purpose of describing the apparatus. Other apparatuses include batch, high-temperature furnaces, as known in the art.
[0038] The processes are extremely useful while depositing silicon compound layers in Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) and bipolar transistors as depicted in Figures 1A-1C. Herein, silicon compounds are the deposited layers or films and include Si, SiGe, SiC, SiGeC, doped variants thereof and combinations thereof, epitaxially grown during the processes of the present invention. The silicon compounds include strained or unstrained layers within the films. [0039] Figures 1A-1B show the epitaxially grown silicon compound on a MOSFET. The silicon compound is deposited to the source/drain features of the device. The silicon compound adheres and grows from the crystal lattice of the underlying layer and maintains this arrangement as the silicon compound grows with thickness. In one embodiment, Figure 1A demonstrates the silicon compound deposited as a source/drain extension source, while in another embodiment, Figure 1B shows the silicon compound deposited as an elevated source/drain (ESD).
[0040] The source/drain layer 12 is formed by ion implantation of the substrate 10. Generally, the substrate 10 is doped n-type while the source/drain layer 12 is doped p-type. Silicon compound layer 14 is epitaxially grown to the source/drain layer 12 by the various embodiments of the present invention. A gate oxide layer 18 bridges the either the segmented silicon compound layer 14 (Figure 1A) or the segmented source/drain layer 12 (Figure 1B). Generally, gate oxide layer 18 is composed of silicon dioxide, silicon oxynitride or tantalum oxide. Partially encompassing the gate oxide layer 18 is a spacer 16, which is usually an isolation material such as a nitride/oxide stack (e.g., Si3N4/SiO2/Si3N4). Also within the spacer 16 is off-set layers 20 (e.g., Si3N ) and the gate layer 22 (e.g., W or Ni).
[0041] In another embodiment, Figure 1C depicts the deposited silicon compound layer 34 as a base layer of a bipolar transistor. The silicon compound layer 34 is epitaxially grown with the various embodiments of the invention. The silicon compound layer 34 is deposited to an n-type collector layer 32 previously deposited to substrate 30. The transistor further includes isolation layer 33 (e.g., SiU2 or Si3N ), contact layer 36 (e.g., heavily doped poly-Si), off-set layer 38 (e.g., Si3N ) and a second isolation layer 40 (e.g., Siθ2 or Si3N4).
[0042] In one embodiment, as depicted in Figures 2A-2F, a source/drain extension is formed within a MOSFET wherein the silicon compound layers are epitaxially and selectively deposited on the surface of the substrate. Figure 2A depicts a source/drain layer 132 formed by implanting ions, such as dopant ions, into the surface of a substrate 130. The segments of source/drain layer 132 are bridged by the gate 136 formed within off-set layer 134. A portion of the source/drain layer is etched and wet-cleaned, to produce a recess 138, as in Figure 2B.
[0043] Figure 2C illustrates several embodiments of the present invention, in which silicon compound layers 140 (epitaxial) and 142 (polycrystalline) are selectively deposited. Silicon compound layers 140 and 142 are deposited simultaneously without depositing on the off-set layer 134. Silicon compound layers 140 and 142 are generally doped SiGe containing layers with a germanium concentration of about 1 atomic percent to about 30 atomic percent, preferably at about 20 atomic percent and a dopant (e.g., B, As or P) concentration from about 1χ1020 atoms/cm3 to about 2.5x1021 atoms/cm3, preferably at about 2x1020 atoms/cm3. During the next step, Figure 2D shows the nitride spacer 144 (e.g., Si3N ) deposited to the off-set layer 134.
[0044] Figure 2E depicts another embodiment of the present invention, in which a silicon compound is epitaxially and selectively deposited as silicon compound layer 148. Silicon compound layer 148 is deposited on layer 140 (doped-SiGe). Polysilicon layer 146 is deposited on the silicon compound layer 142 (doped-SiGe).
[0045] In the next step shown in Figure 2F, a metal layer 154 is deposited over the features and the device is annealed. The metal layer 154 may include cobalt, nickel or titanium, among other metals. During the annealing process, polysilicon layer 146 and silicon compound layer 148 are converted to metal suicide layers, 150 and 152, respectively. That is, when cobalt is deposited as metal layer 154, then metal suicide layers 150 and 152 are cobalt suicide after an annealing process.
[0046] The silicon compound is heavily doped with the in-situ dopants. Therefore, annealing steps of the prior art are omitted and the overall throughput is shorter. An increase of carrier mobility along the channel and subsequent drive current is achieved with the optional addition of germanium and/or carbon into the silicon compound layer. Selectively grown epilayers of the silicon compound above the gate oxide level can compensate junction consumption during the silicidation, which can relieve concerns of high series resistance of ultra shallow junctions. These two applications can be implemented together as well as solely for CMOS device fabrication.
[0047] Silicon compounds as deposited by the embodiments herein may be used in the fabrication of devices that include Bipolar (e.g., base, emitter, collector, emitter contact), BiCMOS (e.g., base, emitter, collector, emitter contact) and CMOS (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug). Other embodiments of processes teach the growth of silicon compounds films that can be used as gate, base contact, collector contact, emitter contact, elevated source/drain and other uses.
[0048] Example 1 : Boron doped silicon germanium deposition: A substrate, Si<100>, (e.g., 300 mm OD) was employed to investigate selective, monocrystalline film growth by CVD. A dielectric feature existed on the surface of the wafer. The wafer was prepared by subjecting to a 1 % HF dip for 45 seconds. The wafer was loaded into the deposition chamber (Epi Centura® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow. Silane (100 seem) and germane (6 seem) were added to the chamber at 15 Torr and 725°C. Hydrogen chloride was delivered with a flow rate of 460 seem. Diborane was delivered with a flow rate of 1 seem. The substrate was maintained at 725°C. Deposition was carried out for 5 minutes to form a 500 A SiGe film with a germanium concentration of 21 atomic percent and the boron concentration was 2.0x1020 cm"3.
[0049] Example 2: Phosphorus doped silicon germanium deposition: A substrate was prepared as in Example 1. The wafer was loaded into the deposition chamber
(Epi Centura® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow.
Silane (100 seem) and germane (4 seem) were added to the chamber at 15 Torr and
725°C. Hydrogen chloride was delivered with a flow rate of 250 seem. Phosphine was delivered to the chamber with a flow rate of 1 seem. The substrate was maintained at 725°C. Deposition was carried out for 5 minutes to form a 500 A SiGe film with a germanium concentration of 20 atomic percent and the phosphorus concentration was 1.6χ1020 cm'3.
[0050] Example 3: Boron doped silicon germanium deposition with sequential ClgSiH? and SiH4 flows: The substrates were prepared as in Example 1. The wafer was loaded into the deposition chamber (Epi Centura® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow. Dichlorosilane (100 seem), germane (2.8 seem), and diborane (0.3 seem) were added to the chamber at 15 Torr and 725°C. Hydrogen chloride was delivered with a flow rate of 190 seem. The substrate was maintained at 725°C. Deposition was conducted for 72 seconds to form a first layer of silicon compound with a thickness of 50 A. On top of the first layer, a subsequent epitaxial layer (i.e., a second layer of silicon compound) was deposited using silane (100 seem), germane (6 seem), hydrogen chloride (460 seem) and diborane (1 seem). The chamber pressure and temperature remained constant (15 Torr and 725°C) and the deposition was conducted for 144 seconds to form 250 A layer of the second layer.
[0051] Examples 4: Boron doped silicon germanium deposition with seguential using SiH4 and CI?SiH?: The substrates were prepared as in Example . The wafer was loaded into the deposition chamber (Epi Centura® chamber) and baked in a hydrogen atmosphere at 800°C for 60 seconds to remove native oxide. A flow of carrier gas, hydrogen, was directed towards the substrate and the source compounds were added to the carrier flow. Silane (100 seem), germane (6 seem), and diborane (1 seem) were added to the chamber at 15 Torr and 725°C. Hydrogen chloride was delivered with a flow rate of 460 seem. The substrate was maintained at 725°C. Deposition was conducted for 144 seconds to form a first layer of silicon compound with a thickness of 250 A. On top of the first layer, a second layer of silicon compound was sequentially deposited using dichlorosilane (100 seem), germane (2.8 seem), hydrogen chloride (190 seem) and diborane (0.3 seem). The chamber pressure and temperature remained constant (15 Torr and 725°C) was conducted for 72 seconds to form additional 50 A layer.
[0052] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method of depositing a silicon germanium film on a substrate comprising: placing the substrate within a process chamber; heating the substrate to a temperature in a range from about 500°C to about 900°C; maintaining a pressure in a range from about 0.1 Torr to about 200 Torr; providing a deposition gas comprising SiH , GeH4, HCI, a carrier gas and at least one dopant gas; and depositing the silicon germanium film epitaxially on the substrate.
2. The method of claim 1 , wherein the at least one dopant gas is a boron containing compound selected from the group consisting of BH3, B2H6, B3H8, Me3B, Et3B, complexes thereof and derivatives thereof or combinations thereof.
3. The method of claim 2, wherein the silicon germanium film is deposited with a boron concentration in a range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3.
4. The method of claim 1 , wherein the at least one dopant gas includes an arsenic containing compound or a phosphorus containing compound.
5. The method of claim 1 , wherein the carrier gas is selected from the group consisting of H2, Ar, N2, He or combinations thereof.
6. The method of claim 5, wherein the deposition gas further comprises a member selected from the group of consisting of a carbon source, CI2SiH2 or combinations thereof.
7. The method of claim 6, wherein the temperature is in a range from about 600°C to about 750°C.
8. The method of claim 7, wherein the silicon germanium film is grown to a thickness in a range from about 100 A to about 3,000 A.
9. The method of claim 8, wherein the silicon germanium film is deposited within an electronic device used for CMOS, Bipolar or BiCMOS application.
0. The method of claim 9, wherein a fabrication step is selected from the group consisting of contact plug, source/drain extension, elevated source/drain and bipolar transistor.
11. The method of claim 1 , wherein the silicon germanium film is deposited to a first thickness, therein SiH4 is replaced by CI2SiH2, and a second silicon germanium film is deposited to a second thickness on the silicon germanium film.
12. The method of claim 1 , wherein a silicon-containing film is deposited on the substrate before the silicon germanium film.
13. The method of claim 12, wherein the silicon-containing film is deposited from a process gas comprising CI2SiH2.
14. A selective epitaxial method for growing a silicon germanium film on a substrate comprising: placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr; heating the substrate to a temperature in a range from about 500°C to about 900°C; providing a deposition gas comprising SiH4, a germanium source, an etchant source, a carrier gas and at least one dopant gas; and growing selectively the silicon germanium film with a dopant concentration in a range from about 1χ1020 atoms/cm3 to about 2.5x1021 atoms/cm3.
15. The method of claim 14, wherein the germanium source is selected from the group consisting of GeH4, Ge2H6, Ge3H8, Ge4H-|0, derivatives thereof or combinations thereof.
16. The method of claim 15, wherein the carrier gas is selected from the group consisting of H2, Ar, N2, He and combinations thereof.
17. The method of claim 16, wherein the temperature is in a range from about 600°C to about 750°C.
18. The method of claim 1 , wherein the etchant source is selected from the group consisting of HCI, SiCI4, CCI4, H2CCI2, Cl2, derivatives thereof or combinations thereof.
19. The method of claim 14, wherein the at least one dopant gas is a boron containing compound selected from the group consisting of BH3, B2H6, B3H8, Me3B, Et3B, complexes thereof, and derivatives thereof or combinations thereof.
20. The method of claim 14, wherein the at least one dopant gas is selected from the group consisting of an arsenic containing compound or a phosphorus containing compound.
21. The method of claim 14, wherein the deposition gas further comprises a member selected from the group consisting of a carbon source, CI2SiH2 or combinations thereof.
22. The method of claim 17, wherein the silicon germanium film is grown to a thickness in a range from about 100 A to about 3,000 A.
23. The method of claim 22, wherein the silicon germanium film is deposited within an electronic device used for CMOS, Bipolar or BiCMOS application.
24. The method of claim 23, wherein a fabrication step is selected from the group consisting of contact plug, source/drain extension, elevated source/drain or bipolar transistor.
25. The method of claim 14, wherein the silicon germanium film is deposited on a first thickness, therein SiH is replaced by CI2SiH2) and a second silicon germanium film is deposited to a second thickness on the silicon germanium film.
26. The method of claim 14, wherein a silicon-containing film is deposited to the substrate before the silicon germanium film.
27. The method of claim 26, wherein the silicon-containing film is deposited from a process gas comprising CI2SiH2.
28. A selective epitaxial method for growing a silicon-containing film on a substrate comprising: placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr; heating the substrate to a temperature in a range from about 500°C to about 900°C; providing a deposition gas comprising SiH4, HCI and a carrier gas; and growing the silicon-containing film at a rate from about 50 A min to about 600 A min.
29. The method of claim 28, wherein the deposition gas further comprises at least one dopant gas.
30. The method of claim 29, wherein the at least one dopant gas is a boron containing compound selected from the group consisting of BH3, B2H6, B3H8, Me3B, Et3B, complexes thereof, and derivatives thereof or combinations thereof.
31. The method of claim 30, wherein the silicon-containing film is deposited with a boron concentration in a range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3.
32. The method of claim 28, wherein the at least one dopant gas includes an arsenic containing compound or a phosphorus containing compound.
33. The method of claim 28, wherein the carrier gas is selected from the group consisting of H2, Ar, N2, He or combinations thereof.
34. The method of claim 33, wherein the temperature is in a range from about 650°C to about 800°C.
35. The method of claim 28, wherein the deposition gas further comprises a member selected from the group of consisting of a carbon source, CI2SiH2 or combinations thereof.
36. The method of claim 28, wherein the silicon-containing film is deposited within an electronic device used for CMOS, Bipolar or BiCMOS application.
37. The method of claim 36, wherein a fabrication step is selected from the group consisting of contact plug, source/drain extension, elevated source/drain or bipolar transistor.
38. The method of claim 28, wherein the silicon-containing film is deposited to a first thickness, therein SiH4 is replaced by CI2SiH2, and a second silicon-containing film is deposited to a second thickness on the silicon-containing film.
39. The method of claim 28, wherein a second silicon-containing film is deposited on the substrate before the silicon-containing film.
40. The method of claim 39, wherein the second silicon-containing film is deposited from a process gas comprising CI2SiH2.
41. A selective epitaxial method for growing a silicon-containing film on a substrate comprising: placing the substrate within a process chamber at a pressure in a range from about 0.1 Torr to about 200 Torr; heating the substrate to a temperature in a range from about 500°C to about 900°C; providing a deposition gas comprising Cl2SiH2, HCI and a carrier gas; depositing a silicon-containing layer on the substrate; providing a second deposition gas comprising SiH , HCI and a second carrier gas; and depositing a second silicon-containing layer on the silicon-containing layer.
42. A method of depositing a silicon-containing film on a substrate comprising: placing the substrate within a process chamber; heating the substrate to a temperature in a range from about 500°C to about 900°C; maintaining a pressure in a range from about 0.1 Torr to about 200 Torr; providing a deposition gas comprising a SiH4 a germanium source, HCI, at least one dopant gas and a carrier gas selected from the group consisting of N2, Ar, He and combinations thereof; and depositing selectively the silicon-containing film epitaxially on the substrate.
PCT/US2004/030872 2003-10-10 2004-09-21 Methods of selective deposition of heavily doped epitaxial sige WO2005038890A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006533945A JP4969244B2 (en) 2003-10-10 2004-09-21 Method for selectively depositing heavily doped epitaxial SiGe
EP04784661A EP1680808A1 (en) 2003-10-10 2004-09-21 Methods of selective deposition of heavily doped epitaxial sige

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/683,937 US7166528B2 (en) 2003-10-10 2003-10-10 Methods of selective deposition of heavily doped epitaxial SiGe
US10/683,937 2003-10-10

Publications (1)

Publication Number Publication Date
WO2005038890A1 true WO2005038890A1 (en) 2005-04-28

Family

ID=34422873

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/030872 WO2005038890A1 (en) 2003-10-10 2004-09-21 Methods of selective deposition of heavily doped epitaxial sige

Country Status (6)

Country Link
US (3) US7166528B2 (en)
EP (1) EP1680808A1 (en)
JP (1) JP4969244B2 (en)
KR (1) KR20060110291A (en)
CN (2) CN101483136B (en)
WO (1) WO2005038890A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2008127220A2 (en) * 2006-01-20 2008-10-23 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US8058120B2 (en) 2009-09-18 2011-11-15 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme for strained source/drain CMOS using oxide hard mask
CN102465336A (en) * 2010-11-05 2012-05-23 上海华虹Nec电子有限公司 Method for germanium-silicon epitaxy of high germanium concentration
CN101724896B (en) * 2009-11-26 2012-08-08 上海宏力半导体制造有限公司 Method for growing germanium-silicon epitaxies in nonselective way
US8293622B2 (en) 2007-06-22 2012-10-23 Fujitsu Semiconductor Limited Semiconductor device fabrication method, semiconductor device, and semiconductor layer formation method
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US8551831B2 (en) 2007-08-10 2013-10-08 Semiconductor Manufacturing International (Shanghai) Corporation Silicon germanium and polysilicon gate structure for strained silicon transistors
US9048300B2 (en) 2005-09-29 2015-06-02 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (en) * 2002-10-21 2008-03-26 キヤノン株式会社 Information processing apparatus and information processing method
JP2006524429A (en) * 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for epitaxial growth of N-doped silicon layers
US7868358B2 (en) * 2003-06-06 2011-01-11 Northrop Grumman Systems Corporation Coiled circuit device with active circuitry and methods for making the same
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US7135391B2 (en) * 2004-05-21 2006-11-14 International Business Machines Corporation Polycrystalline SiGe junctions for advanced devices
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (en) * 2004-06-17 2006-06-28 삼성전자주식회사 Methods of selectively forming an epitaxial semiconductor layer on a single crystal semiconductor and semiconductor devices manufactured using the same
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7132355B2 (en) 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
JP2006068393A (en) * 2004-09-03 2006-03-16 Olympus Corp Endoscope
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100585175B1 (en) * 2005-01-31 2006-05-30 삼성전자주식회사 Fabrication method of gesbte thin film by chemical vapor deposition process
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US20060208257A1 (en) * 2005-03-15 2006-09-21 Branz Howard M Method for low-temperature, hetero-epitaxial growth of thin film cSi on amorphous and multi-crystalline substrates and c-Si devices on amorphous, multi-crystalline, and crystalline substrates
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8105890B2 (en) * 2005-06-30 2012-01-31 Freescale Semiconductor, Inc. Method of forming a semiconductor structure
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20070057320A1 (en) * 2005-09-12 2007-03-15 Tetsuji Ueno Semiconductor Devices with Stressed Channel Regions and methods Forming the Same
US7612389B2 (en) * 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
KR100663010B1 (en) * 2005-09-23 2006-12-28 동부일렉트로닉스 주식회사 Mos transistor and manufacturing method thereof
US20100216296A1 (en) * 2005-10-27 2010-08-26 Yusuke Muraki Processing Method and Recording Medium
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
FR2900275A1 (en) * 2006-04-19 2007-10-26 St Microelectronics Sa Forming a silicon based monocrystalline portion on a first zone surface of a substrate in which a silicon based monocrystalline material belonging to the substrate is initially exposed and on outside of a second zone of the substrate
FR2900277B1 (en) * 2006-04-19 2008-07-11 St Microelectronics Sa PROCESS FOR FORMING A SILICON-BASED MONOCRYSTALLINE PORTION
KR101170210B1 (en) * 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 A method of ultra-shallow junction formation using si film alloyed with carbon
US7678631B2 (en) * 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7998788B2 (en) * 2006-07-27 2011-08-16 International Business Machines Corporation Techniques for use of nanotechnology in photovoltaics
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8835263B2 (en) * 2007-02-21 2014-09-16 Texas Instruments Incorporated Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
KR20080102065A (en) * 2007-05-18 2008-11-24 삼성전자주식회사 Method of forming a epitaxial silicon structure and method of forming a semiconductor device using the same
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP5311791B2 (en) * 2007-10-12 2013-10-09 東京エレクトロン株式会社 Method for forming polysilicon film
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7994010B2 (en) * 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US8293592B2 (en) * 2008-04-16 2012-10-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP2010103142A (en) * 2008-10-21 2010-05-06 Toshiba Corp Method for fabricating semiconductor device
JP2010141223A (en) * 2008-12-15 2010-06-24 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
KR101714003B1 (en) 2010-03-19 2017-03-09 삼성전자 주식회사 Method of forming semiconductor device having faceted semiconductor pattern and related device
US8012859B1 (en) 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US8598020B2 (en) 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
EP2673799B1 (en) 2011-02-08 2022-08-31 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
US9218962B2 (en) * 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931058B (en) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure and method for forming P-channel metal oxide semiconductor (PMOS) transistor
CN102956465A (en) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 Metal gate forming method and MOS (metal oxide semiconductor) transistor forming method
US20130089962A1 (en) * 2011-10-11 2013-04-11 Chung-Fu Chang Semiconductor process
CN103132049B (en) * 2011-11-25 2015-08-05 中芯国际集成电路制造(上海)有限公司 The formation method of germanium-silicon thin membrane and forming apparatus
JP5780981B2 (en) * 2012-03-02 2015-09-16 東京エレクトロン株式会社 Method for forming germanium thin film
KR20140016008A (en) 2012-07-30 2014-02-07 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
WO2014089813A1 (en) * 2012-12-14 2014-06-19 复旦大学 Transistor and manufacturing method thereof
CN103928294B (en) * 2013-01-15 2016-12-28 中芯国际集成电路制造(上海)有限公司 The wafer preprocess method of selective epitaxial growth germanium silicon
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR102231596B1 (en) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 Gas injection apparatus and substrate process chamber incorporating same
WO2015038309A1 (en) 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9064961B2 (en) * 2013-09-18 2015-06-23 Global Foundries Inc. Integrated circuits including epitaxially grown strain-inducing fills doped with boron for improved robustness from delimination and methods for fabricating the same
CN104701164A (en) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105047526A (en) * 2014-04-21 2015-11-11 应用材料公司 Method of enhancing dopant incorporation in epitaxial film using halogen molecules as reactant in depostion
KR102323392B1 (en) * 2014-06-13 2021-11-05 어플라이드 머티어리얼스, 인코포레이티드 Dual auxiliary dopant inlets on epi chamber
CN104201108B (en) * 2014-08-27 2017-11-07 上海集成电路研发中心有限公司 The manufacture method of SiGe source /drain region
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104392929A (en) * 2014-11-26 2015-03-04 上海华力微电子有限公司 Preparation method of intercalated silicon carbide
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107430994B (en) * 2015-04-10 2022-02-18 应用材料公司 Method for increasing growth rate of selective epitaxial growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
FR3057102A1 (en) * 2016-10-05 2018-04-06 Stmicroelectronics Sa GAS EPITAXY DEPOSITION METHOD
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
CN106783965A (en) * 2016-12-01 2017-05-31 上海华力微电子有限公司 A kind of germanium silicon source drain electrode and preparation method
TWI812984B (en) 2016-12-12 2023-08-21 美商應用材料股份有限公司 Method of forming strained channel layer
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020028028A1 (en) 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
CN110120344B (en) * 2019-04-09 2022-08-16 上海华虹宏力半导体制造有限公司 Method for realizing self-alignment structure by using silicon nitride side wall in germanium-silicon Heterojunction Bipolar Transistor (HBT)
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
KR20210011748A (en) 2019-07-23 2021-02-02 삼성전자주식회사 A semiconductor device
US20220319844A1 (en) * 2019-07-26 2022-10-06 Applied Materials, Inc. Anisotropic epitaxial growth
CN112309843A (en) * 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112408327A (en) * 2020-12-18 2021-02-26 天津中科拓新科技有限公司 Method and device for preparing electronic-grade germane and co-producing electronic-grade tetrafluorogermane
TW202240012A (en) * 2021-03-05 2022-10-16 荷蘭商Asm Ip私人控股有限公司 Film deposition systems and methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11830734B2 (en) * 2021-05-19 2023-11-28 Applied Materials, Inc. Thermal deposition of silicon-germanium

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US552733A (en) * 1896-01-07 stansel
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
JPS5898917A (en) 1981-12-09 1983-06-13 Seiko Epson Corp Atomic layer epitaxial device
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4818723A (en) * 1985-11-27 1989-04-04 Advanced Micro Devices, Inc. Silicide contact plug formation technique
JPS62171999A (en) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> Epitaxy of iii-v compound semiconductor
JPS6362313A (en) 1986-09-03 1988-03-18 Fujitsu Ltd Manufacture of semiconductor device
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01270593A (en) 1988-04-21 1989-10-27 Fujitsu Ltd Method for forming compound semiconductor layer
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02172895A (en) 1988-12-22 1990-07-04 Nec Corp Method for growing semiconductor crystal
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JPH0671073B2 (en) * 1989-08-29 1994-09-07 株式会社東芝 Semiconductor device and manufacturing method thereof
JPH03286522A (en) 1990-04-03 1991-12-17 Nec Corp Growth method of si crystal
JP2880322B2 (en) 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
JPH0547665A (en) 1991-08-12 1993-02-26 Fujitsu Ltd Vapor growth method
JP2828152B2 (en) 1991-08-13 1998-11-25 富士通 株式会社 Method of forming thin film, multilayer structure film, and method of forming silicon thin film transistor
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) * 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
EP0799495A4 (en) 1994-11-10 1999-11-03 Lawrence Semiconductor Researc Silicon-germanium-carbon compositions and processes thereof
US5846867A (en) 1995-12-20 1998-12-08 Sony Corporation Method of producing Si-Ge base heterojunction bipolar device
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
JPH10321818A (en) * 1997-05-21 1998-12-04 Mitsubishi Electric Corp Manufacture of semiconductor device
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
DE69923436T2 (en) 1998-03-06 2006-01-05 Asm America Inc., Phoenix PROCESS FOR COATING SILICON WITH HIGH EDGE COVER
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
EP1065728B1 (en) 1999-06-22 2009-04-22 Panasonic Corporation Heterojunction bipolar transistors and corresponding fabrication methods
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP2001338988A (en) * 2000-05-25 2001-12-07 Hitachi Ltd Semiconductor device and its manufacturing method
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP2002198525A (en) 2000-12-27 2002-07-12 Toshiba Corp Semiconductor device and its manufacturing method
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
CN1168121C (en) * 2001-03-08 2004-09-22 中国科学院半导体研究所 Doping ,method for gas source molecular beam epitaxial growth Ge-Si heterojunction bipolar transistor material
JP3547419B2 (en) 2001-03-13 2004-07-28 株式会社東芝 Semiconductor device and manufacturing method thereof
JP3890202B2 (en) * 2001-03-28 2007-03-07 株式会社日立製作所 Manufacturing method of semiconductor device
KR100500013B1 (en) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 Semiconductor device and method for manufacture thereof
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
JP2004533118A (en) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド Low temperature loading and unloading and baking
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US7060582B2 (en) * 2001-06-05 2006-06-13 Sony Corporation Adjusting the germanium concentration of a semiconductor layer for equal thermal expansion for a hetero-junction bipolar transistor device
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
JP3660897B2 (en) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6858537B2 (en) * 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6839507B2 (en) 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
KAMINS T I ET AL: "KINETICS OF SELECTIVE EPITAXIAL DEPOSITION OF SI1-XGEX", APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 61, no. 6, 10 August 1992 (1992-08-10), pages 669 - 671, XP000290127, ISSN: 0003-6951 *
MENON C ET AL: "Loading effect in SiGe layers grown by dichlorosilane- and silane-based epitaxy", JOURNAL OF APPLIED PHYSICS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 90, no. 9, 1 November 2001 (2001-11-01), pages 4805 - 4809, XP012054441, ISSN: 0021-8979 *
SEDGWICK T O ET AL: "SELECTIVE SIGE AND HEAVILY AS DOPED SI DEPOSITED AT LOW TEMPERATURE BY ATMOSPHERIC PRESSURE CHEMICAL VAPOR DEPOSITION", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 11, no. 3, 1 May 1993 (1993-05-01), pages 1124 - 1128, XP000383206, ISSN: 1071-1023 *
UCHINO T ET AL: "A raised source/drain technology using in-situ P-doped SiGe and B-doped Si for 0.1-/spl mu/m CMOS ULSIs", ELECTRON DEVICES MEETING, 1997. TECHNICAL DIGEST., INTERNATIONAL WASHINGTON, DC, USA 7-10 DEC. 1997, NEW YORK, NY, USA,IEEE, US, 7 December 1997 (1997-12-07), pages 479 - 482, XP010265551, ISBN: 0-7803-4100-7 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7737007B2 (en) 2003-10-10 2010-06-15 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7439142B2 (en) 2003-10-10 2008-10-21 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
WO2005112577A2 (en) * 2004-05-14 2005-12-01 Applied Materials, Inc. Methods to fabricate mosfet devices using selective deposition processes
WO2005112577A3 (en) * 2004-05-14 2006-05-26 Applied Materials Inc Methods to fabricate mosfet devices using selective deposition processes
US9048300B2 (en) 2005-09-29 2015-06-02 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
WO2008127220A2 (en) * 2006-01-20 2008-10-23 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
WO2008127220A3 (en) * 2006-01-20 2009-04-16 Applied Materials Inc Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7709391B2 (en) 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US8293622B2 (en) 2007-06-22 2012-10-23 Fujitsu Semiconductor Limited Semiconductor device fabrication method, semiconductor device, and semiconductor layer formation method
US8551831B2 (en) 2007-08-10 2013-10-08 Semiconductor Manufacturing International (Shanghai) Corporation Silicon germanium and polysilicon gate structure for strained silicon transistors
US8058120B2 (en) 2009-09-18 2011-11-15 Semiconductor Manufacturing International (Shanghai) Corporation Integration scheme for strained source/drain CMOS using oxide hard mask
CN101724896B (en) * 2009-11-26 2012-08-08 上海宏力半导体制造有限公司 Method for growing germanium-silicon epitaxies in nonselective way
CN102465336A (en) * 2010-11-05 2012-05-23 上海华虹Nec电子有限公司 Method for germanium-silicon epitaxy of high germanium concentration
CN102465336B (en) * 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 Method for germanium-silicon epitaxy of high germanium concentration

Also Published As

Publication number Publication date
KR20060110291A (en) 2006-10-24
JP4969244B2 (en) 2012-07-04
US7166528B2 (en) 2007-01-23
CN101483136A (en) 2009-07-15
US7737007B2 (en) 2010-06-15
US20050079691A1 (en) 2005-04-14
EP1680808A1 (en) 2006-07-19
US20090011578A1 (en) 2009-01-08
JP2007514294A (en) 2007-05-31
US7517775B2 (en) 2009-04-14
CN100468625C (en) 2009-03-11
CN1875461A (en) 2006-12-06
CN101483136B (en) 2012-02-29
US20060234488A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
US7166528B2 (en) Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) Methods to fabricate MOSFET devices using selective deposition process
US7312128B2 (en) Selective epitaxy process with alternating gas supply
US7560352B2 (en) Selective deposition
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7682940B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
US9064960B2 (en) Selective epitaxy process control
US7732269B2 (en) Method of ultra-shallow junction formation using Si film alloyed with carbon
KR20070022046A (en) Methods to fabricate mosfet devices using selective deposition processes

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480032674.4

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006533945

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020067008872

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004784661

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004784661

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067008872

Country of ref document: KR