WO2005033797A2 - Single phase fluid imprint lithography method - Google Patents

Single phase fluid imprint lithography method Download PDF

Info

Publication number
WO2005033797A2
WO2005033797A2 PCT/US2004/031408 US2004031408W WO2005033797A2 WO 2005033797 A2 WO2005033797 A2 WO 2005033797A2 US 2004031408 W US2004031408 W US 2004031408W WO 2005033797 A2 WO2005033797 A2 WO 2005033797A2
Authority
WO
WIPO (PCT)
Prior art keywords
fluid
apertures
substrate
recited
template
Prior art date
Application number
PCT/US2004/031408
Other languages
French (fr)
Other versions
WO2005033797A3 (en
Inventor
Ian M. Mcmackin
Daniel A. Babbs
Duane J. Voth
Michael P. C. Watts
Van N. Truskett
Frank Y. Xu
Ronald D. Voisin
Pankaj B. Lad
Nicholas A. Stacey
Original Assignee
Molecular Imprints, Inc.
Board Of Regents, The University Of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints, Inc., Board Of Regents, The University Of Texas System filed Critical Molecular Imprints, Inc.
Priority to JP2006533980A priority Critical patent/JP2007509769A/en
Priority to KR1020127011488A priority patent/KR101241076B1/en
Priority to EP04817123A priority patent/EP1667778B1/en
Priority to KR1020117022529A priority patent/KR101178432B1/en
Publication of WO2005033797A2 publication Critical patent/WO2005033797A2/en
Publication of WO2005033797A3 publication Critical patent/WO2005033797A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/06Vacuum
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/815Chemically inert or reactive atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Definitions

  • the field of invention relates generally to imprint lithography. More particularly, the present invention is directed to reducing pattern distortions during imprint lithography processes by reducing, if not eliminating, the presence of gases in imprinting layers.
  • Micro- fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller.
  • One area in which micro- fabrication has had a sizeable impact is in the processing of integrated circuits.
  • micro-fabrication provides greater process control while reducing the minimum feature dimension of the structures formed.
  • Other areas of development in which micro- fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • An exemplary micro-fabrication technique is shown in United States patent number 6,334,960 to Willson et al . Willson et al .
  • the method includes providing a substrate having a transfer layer.
  • the transfer layer is covered with a polymerizable fluid composition.
  • a mold makes mechanical contact with the polymerizable fluid.
  • the mold includes a relief structure, and the polymerizable fluid composition fills the relief structure.
  • the polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold.
  • the mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer.
  • the time required and the minimum feature dimension provided by this technique is dependent upon, inter alia, the composition of the polymerizable material .
  • United States patent number 5,772,905 to Chou discloses a lithographic method and apparatus for creating ultra-fine (sub-25 nm) patterns in a thin film coated on a substrate in which a mold having at least one protruding feature is pressed into a thin film carried on a substrate.
  • the protruding feature in the mold creates a recess of the thin film.
  • the mold is removed from the film.
  • the thin film then is processed such that the thin film in the recess is removed, exposing the underlying substrate.
  • patterns in the mold are replicated in the thin film, completing the lithography.
  • the patterns in the thin film will be, in subsequent processes, reproduced in the substrate or in another material which is added onto the substrate.
  • the present invention is directed to a method to reduce pattern distortions by substantially reducing gas pockets present in a layer of viscous liquid deposited on a substrate.
  • the method includes dispensing gases, proximate to the mold, with varied transport properties in the viscous liquid.
  • the atmosphere proximate to the substrate is saturated with gases that are either highly soluble, highly diffusive, or both with respect to the viscous liquid being deposited.
  • the pressure of the atmosphere may be reduced.
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention.
  • FIG. 2 is a simplified elevation view of a lithographic system shown in Fig. 1 ;
  • FIG. 3 is a simplified representation of material from which an imprinting layer, shown in Fig. 2, is comprised before being polymerized and cross-linked;
  • Fig. 4 is a simplified representation of cross- linked polymer material into which the material shown in Fig. 3 is transformed after being subjected to radiation;
  • Fig. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in Fig. 1, after patterning of the imprinting layer;
  • Fig. 6 is a simplified elevation view of an additional imprinting layer positioned atop the substrate shown in Fig. 5 after the pattern in the first imprinting layer is transferred therein;
  • Fig. 7 is a detailed perspective view of a print head shown in Fig. 1 ;
  • Fig. 8 is a cross-sectional view of a chucking system in accordance with the present invention;
  • Fig. 9 is detailed cross-sectional view of an imprint head shown in Fig. 7;
  • Fig. 10 is a bottom-up perspective view of the imprint head shown in Fig . 9.
  • Fig. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced- apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16 and provides movement along the Z-axis. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X- and Y-axes.
  • imprint head 18 may provide movement along the X- and Y-axes, as well as in the Z-axis
  • motion stage 20 may provide movement in the Z-axis, as well as in the X and Y axes.
  • An exemplary motion stage device is disclosed in United States patent application number 10/194,414, filed July 11, 2002, entitled “Step and Repeat Imprint Lithography Systems,” assigned to the assignee of the present invention, and which is incorporated by reference herein in its entirety.
  • a radiation source 22 is coupled to lithographic system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.
  • lithographic system 10 Operation of lithographic system 10 is typically controlled by a processor 25 that is in data communication therewith.
  • a processor 25 connected to imprint head 18 is a template 26 having a mold 28 thereon.
  • Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b.
  • the plurality of features defines an original pattern that is to be transferred into a substrate 30 positioned on motion stage 20.
  • imprint head 18 and/or motion stage 20 may vary a distance "d" between mold 28 and substrate 30. In this manner, the features on mold 28 may be imprinted into a flowable region of substrate 30, discussed more fully below.
  • a flowable region such as an imprinting layer 34, is disposed on a portion of a surface 32 that presents a substantially planar profile.
  • a flowable region may be formed using any known technique, such as a hot embossing process disclosed in United States patent number 5,772,905, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al . in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col.
  • LADI laser assisted direct imprinting
  • the flowable region may also comprise a spin-coated film of viscous fluid that may be molded and cured to form a rigid replica.
  • a flowable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of a material 36a on substrate 30, discussed more fully below.
  • An exemplary system for depositing droplets 36 is disclosed in United States patent application number 10/191,749, filed July 9, 2002, entitled “System and Method for Dispensing Liquids," assigned to the assignee of the present invention, and which is incorporated by reference herein in its entirety.
  • Imprinting layer 34 is formed from material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern.
  • An exemplary composition for material 36a is disclosed in Untied States patent application number 10/463,396, filed June 16, 2003, and entitled "Method to Reduce Adhesion Between a Conformable Region and a Pattern of a Mold," which is incorporated by reference in its entirety herein.
  • Material 36a is shown in Fig. 4 as being cross-linked at points 36b, forming a cross-linked polymer material 36c.
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28.
  • distance “d” is reduced to allow droplets 36 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32.
  • distance “d” is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a.
  • material 36a is provided with the requisite properties to completely fill recessions 28a, while covering surface 32 with a contiguous formation of material 36a.
  • sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum, distance "d” has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness, t 2 .
  • Thicknesses "ti" and "t 2 " may be any thickness desired, dependent upon the application.
  • ti is selected so as to be no greater than twice the width u of sub-portions 34a, i.e., ti ⁇ 2u, shown more clearly in Fig. 5.
  • radiation source 22 produces actinic radiation that polymerizes and crosslinks material 36a, forming cross-linked polymer material 36c.
  • the composition of imprinting layer 34 transforms from material 36a to cross-linked polymer material 36c, which is a solid.
  • cross- linked polymer material 36c is solidified to provide side 34c of imprinting layer 34 with a shape conforming to a shape of a surface 28c of mold 28, shown more clearly in Fig. 5.
  • imprint head 18, shown in Fig. 2 is moved to increase distance "d” so that mold 28 and imprinting layer 34 are spaced-apart.
  • imprinting layer 34 and substrate 30 may be etched to transfer the pattern of imprinting layer 34 into substrate 30, providing a patterned surface 32a, shown in Fig. 6.
  • the material from which imprinting layer 34 is formed may be varied to define a relative etch rate with respect to substrate 30, as desired.
  • the relative etch rate of imprinting layer 34 and substrate 30 may be between about 1.5:1 to about 100:1.
  • imprinting layer 34 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon.
  • the photo-resist material may be provided to further pattern imprinting layer 34, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 30 and imprinting layer 34. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • template 26, upon which mold 28 is present, is coupled to an imprint head housing 18a via a chucking system 40 that includes a chuck body 42.
  • Chuck body 42 is adapted to retain template 26 upon which mold 28 is attached employing vacuum techniques.
  • chuck body 42 includes one or more recesses 42a that are in fluid communication with a pressure control system, such as a fluid supply system 70.
  • Fluid supply system 70 may include one or more pumps to provide both positive and negative pressure, as well as a supply of fluid to facilitate reducing, if not preventing, trapping of gases, such as air, in imprinting layer 34, shown in Fig. 5.
  • chuck body 42 is designed to facilitate the passage of fluids proximate to mold 28 and imprint head 18 includes a baffle 100 surrounding template 26.
  • baffle 100 extends from imprint head 18, terminating in a nadir 102 that lies in a plane in which a surface 26a lies. In this fashion, mold 28 extends beyond nadir 102 to facilitate contact with region 77.
  • Chuck body 42 includes one or more throughways, two of which are shown as 104 and 106. Apertures 104a and 106a of throughways 104 and 106, respectively, are disposed in a surface of chuck body 42 disposed between template 26 and baffle 100, referred to as a peripheral surface 100a.
  • baffle 100 functions to slow the movement of fluid exiting apertures 104a and 106a away from mold 28.
  • baffle 100 includes first and second opposed surfaces 102a and 102b.
  • First opposed surface 102a extends from nadir 102 away from substrate 30 and faces template 26.
  • Second opposed surface 102b extends from nadir 102 away from substrate 30 and faces away from mold 28.
  • first opposed surface 102a is shown extending obliquely with respect to second opposing surface 102b.
  • atmosphere 78 may be controlled by introduction or evacuation of fluid through apertures 104a and 106a.
  • first and second opposed surfaces 102a and 102b may extend parallel to one another from nadir 102.
  • atmosphere 78 is established so that the transport of the gases present therein through imprinting material 36a in region 77 is increased relative to transport associated with air.
  • transport is defined to mean any mechanism by which the propagation of gases through imprinting material 36a is increased, e.g., increased solubility, increased diffusion, increased permeability and the like.
  • fluid supply system 70 may include a supply of imprinting material 36a or components thereof in vapor form.
  • imprinting material 36a may be introduced through apertures 104a and 106a to saturate atmosphere 78 with imprinting material 36a. This was found to reduce, if not completely eliminate, the quantity of gases, such as air, trapped in the imprinting layer 34 during imprint processes. This is beneficial as it was found that the presence of air in imprinting layer 34 creates undesirable voids. Alternatively, it was found that by saturating atmosphere 78 with carbon dioxide and/or helium, the quantity of air trapped in imprinting layer 34, shown in Fig. 5, was substantially reduced if not avoided, thereby reducing, if not eliminating, formation of undesirable voids therein.
  • a difficulty encountered with respect to introducing fluids was to ensure that the molecules in the fluid streams 104b and 106b exiting apertures 104a and 106a, respectively, traveled to a region of the atmosphere positioned between mold 28 and droplets 36, and before contact of droplets 36 with mold 28.
  • This region of atmosphere 78 is referred to as a processing region 78a.
  • apertures 104a and 106a are disposed about peripheral surface 100a, which is spaced-apart from processing region 78a. Given that the separation of mold 28 from region 77 is on the order of microns, the ingression of the aforementioned molecules into processing region 78a is difficult to achieve.
  • fluid supply system 70 under control of processor 25 programmed with suitable control software (not shown) to pulse fluid streams 104b and 106b into atmosphere 78 having a desired mixture of molecules, discussed above.
  • suitable control software not shown
  • laminar flow of fluid streams 104b and 106b may be avoided. It is believed that by providing fluid streams 104b and 106b with turbulent flow, the probability will be increased that a sufficient quantity of the molecules contained therein will reach processing region 78a to reduce, if not avoid, the presence of gases being trapped in imprinting layer 34 (not shown) .
  • fluid may be pulsed through both apertures 104a and 106a, concurrently, or sequentially pulsed through the same, i.e., first fluid is introduced through aperture 104a and subsequently through aperture 106a and then again through 104a, with the process being repeated for a desired time or during the entire imprinting process.
  • the timing of the flow of gas into processing region 78a is important because it is desired that a sufficient quantity of molecules contained therein reach processing region 78a before contact is made between mold 28 and droplets 36.
  • fluid may be pulsed through one of the apertures, e.g., aperture 104a, and then evacuated through the remaining aperture, e.g., aperture 106a. In this manner, fluid would be drawn across processing region 78a. It may also be advantageous to pulse the fluid through both apertures 104a and 106a, concurrently, then evacuate through both apertures 104a and 106a concurrently. It is desired, however, that the flow rate of fluid be established to minimize, if not avoid, movement of droplets 36.
  • a first step would include introducing fluid into atmosphere 78 through both apertures 104a and 106a.
  • a second step would include evacuating the fluid through one of apertures 104a and 106a, e.g., aperture 104a. Thereafter, at a third step, fluid would be introduced into atmosphere 78 through both apertures 104a and 106a, concurrently. At a fourth step, fluid would be evacuated through one of apertures 104a and 106a that was not employed in the previous step to remove fluid, e.g., aperture 106a. It should be understood that evacuation may occur through one of apertures 104a and 106a, while fluid is being introduced through the remaining aperture of apertures 104a and 106a. Alternatively, evacuation may occur in the absence of a fluid flow into atmosphere 78.
  • a plurality of apertures may be disposed about peripheral surface 100a so that each of the apertures of a pair is disposed opposite one another on opposite sides of template 26. This is shown by aperture pair 104a and 106a being disposed opposite one another on opposite sides of template 26. A second aperture pair is shown as 108a and 110a. Apertures 108a and 110a are disposed opposite one another on opposite sides of template 26. [0035] As shown, each of apertures 104a, 106a, 108a and 110a, are arranged to lie on a common circle with adjacent apertures being spaced-apart therefrom by 90°.
  • each of apertures 104a, 106a, 108a and 110a are arranged to facilitate fluid flow in/out of a different quadrant of chuck body 42.
  • aperture 104a facilitates fluid flow in/out of quadrant I
  • aperture 106a facilitates fluid flow in/out of quadrant II
  • aperture 108a facilitates fluid flow in/out of quadrant III
  • aperture 110a facilitates fluid flow in/out of quadrant IV.
  • any number of apertures may be employed, e.g., more than one per quadrant with differing quadrants having differing numbers of apertures and arranged in any spatial arrangement desired.
  • Each of these arrangements should facilitate introduction and/or evacuation of a plurality of flows of fluid streams into atmosphere 78, with a subset of the plurality of flows being introduced to differing regions about template 26. It is believed that introduction of the multiple flows of fluid streams provides a turbulent flow of fluid in atmosphere 78. This, it is believed, increases the probability that molecules in the fluid streams would reach processing region 78a, shown in Fig. 9. However, fluid flow into atmosphere 78 through each of the apertures 104a, 106a, 108a and 110a and evacuation of fluid from atmosphere 78 therethrough may occur in any manner discussed above. [0036] Referring to Figs.
  • a fluid stream may be introduced through each of apertures 104a, 106a, 108a and 110a sequentially so that a flow cell 112 may be created between template 26 and region 77.
  • Flow cell 112 would facilitate ingression of molecules in the fluid streams into processing region 78a to provide the benefits mentioned above.
  • a fluid flow may be introduced through aperture 104a and then terminated. After termination of fluid flow through aperture 104a, fluid flow through aperture 106a is commenced to introduce fluid into atmosphere 78. Subsequently, fluid flow through aperture 106a is terminated. After termination of fluid flow through aperture 106a, fluid flow through aperture 108a is commenced to introduce fluid into atmosphere 78.
  • Fluid flow in through aperture 108a is subsequently terminated.
  • fluid flow through aperture 110a is commenced to introduce fluid into atmosphere 78.
  • fluid is introduced into atmosphere 78 through a single quadrant at any given time.
  • it may be desirable to introduce fluid into more than one quadrant . Although this may frustrate creation of flow cell 112, it is within confines of the present invention.
  • sequential introduction and evacuation through apertures 104a, 106a, 108a and 110a may be undertaken to create flow cell 112. This would include introducing fluid through one or more of apertures 104a, 106a, 108a and 110a, concurrently.
  • each of apertures 104a, 106a, 108a and 110a may be sequential evacuation through each of apertures 104a, 106a, 108a and 110a to create flow cell 112.
  • fluid may be introduced through all apertures in chuck body 42, concurrently. Thereafter, fluid may be evacuated from each of apertures 104a, 106a, 108a and 110a, one at a time. Before, the concentration in atmosphere 78 of fluid introduced through apertures 104a, 106a, 108a and 110a went below a desired level due to evacuation. The fluid may then be reintroduced through one or all of apertures 104a, 106a, 108a and 110a again and the process repeated to create and/or maintain flow cell 112.
  • the embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. Therefore, the scope of the invention should not be limited by the above description, but instead should be determined with reference to the appended claims along with

Abstract

The present invention is directed toward a method for reducing pattern distortions in imprinting layers by reducing gas pockets present in a layer of viscous liquid deposited on a substrate. To that end, the method includes varying the transport of gases disposed proximate to the viscous liquid. Specifically, the atmosphere proximate to the substrate wherein a pattern is to be recorded is saturated with gases that are either highly soluble, highly diffusive, or both with respect to the viscous liquid being deposited. Additionally, or in lieu of saturating the atmosphere, the pressure of the atmosphere may be reduced.

Description

/ SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD BACKGROUND OF THE INVENTION [0001] The field of invention relates generally to imprint lithography. More particularly, the present invention is directed to reducing pattern distortions during imprint lithography processes by reducing, if not eliminating, the presence of gases in imprinting layers.
[0002] Micro- fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro- fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro- fabrication becomes increasingly important. Micro-fabrication provides greater process control while reducing the minimum feature dimension of the structures formed. Other areas of development in which micro- fabrication has been employed include biotechnology, optical technology, mechanical systems and the like. [0003] An exemplary micro-fabrication technique is shown in United States patent number 6,334,960 to Willson et al . Willson et al . disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold. The mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. The time required and the minimum feature dimension provided by this technique is dependent upon, inter alia, the composition of the polymerizable material .
[0004] United States patent number 5,772,905 to Chou discloses a lithographic method and apparatus for creating ultra-fine (sub-25 nm) patterns in a thin film coated on a substrate in which a mold having at least one protruding feature is pressed into a thin film carried on a substrate. The protruding feature in the mold creates a recess of the thin film. The mold is removed from the film. The thin film then is processed such that the thin film in the recess is removed, exposing the underlying substrate. Thus, patterns in the mold are replicated in the thin film, completing the lithography. The patterns in the thin film will be, in subsequent processes, reproduced in the substrate or in another material which is added onto the substrate.
[0005] Yet another imprint lithography technique is disclosed by Chou et al . in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835- 837, June 2002, which is referred to as a laser assisted direct imprinting (LADI) process. In this process, a region of a substrate is made flowable, e.g., liquefied, by heating the region with the laser. After the region has reached a desired viscosity, a mold, having a pattern thereon, is placed in contact with the region. The flowable region conforms to the profile of the pattern and is then cooled, solidifying the pattern into the substrate. A concern with the above techniques involves pattern distortions attributable to the presence of atmosphere proximate to the flowable region. [0006] It is desired, therefore, to provide a system to reduce distortions in patterns formed using imprint lithographic techniques.
SUMMARY OF THE INVENTION [0007] The present invention is directed to a method to reduce pattern distortions by substantially reducing gas pockets present in a layer of viscous liquid deposited on a substrate. To that end, the method includes dispensing gases, proximate to the mold, with varied transport properties in the viscous liquid. Specifically, the atmosphere proximate to the substrate is saturated with gases that are either highly soluble, highly diffusive, or both with respect to the viscous liquid being deposited. Additionally, or in lieu of providing the atmosphere described above, the pressure of the atmosphere may be reduced. Use of these methods facilitates the rapid fabrication of distortion-free imprints. These and other embodiments are described more fully below. BRIEF DESCRIPTION OF THE DRAWINGS
[0008] Fig. 1 is a perspective view of a lithographic system in accordance with the present invention;
[0009] Fig. 2 is a simplified elevation view of a lithographic system shown in Fig. 1 ;
[0010] Fig. 3 is a simplified representation of material from which an imprinting layer, shown in Fig. 2, is comprised before being polymerized and cross-linked;
[0011] Fig. 4 is a simplified representation of cross- linked polymer material into which the material shown in Fig. 3 is transformed after being subjected to radiation;
[0012] Fig. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in Fig. 1, after patterning of the imprinting layer;
[0013] Fig. 6 is a simplified elevation view of an additional imprinting layer positioned atop the substrate shown in Fig. 5 after the pattern in the first imprinting layer is transferred therein; [0014] Fig. 7 is a detailed perspective view of a print head shown in Fig. 1 ; [0015] Fig. 8 is a cross-sectional view of a chucking system in accordance with the present invention; [0016] Fig. 9 is detailed cross-sectional view of an imprint head shown in Fig. 7; and [0017] Fig. 10 is a bottom-up perspective view of the imprint head shown in Fig . 9.
DETAILED DESCRIPTION OF THE INVENTION [0018] Fig. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced- apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16 and provides movement along the Z-axis. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X- and Y-axes. It should be understood that imprint head 18 may provide movement along the X- and Y-axes, as well as in the Z-axis, and motion stage 20 may provide movement in the Z-axis, as well as in the X and Y axes. An exemplary motion stage device is disclosed in United States patent application number 10/194,414, filed July 11, 2002, entitled "Step and Repeat Imprint Lithography Systems," assigned to the assignee of the present invention, and which is incorporated by reference herein in its entirety. A radiation source 22 is coupled to lithographic system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22. Operation of lithographic system 10 is typically controlled by a processor 25 that is in data communication therewith. [0019] Referring to both Figs. 1 and 2, connected to imprint head 18 is a template 26 having a mold 28 thereon. Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b. The plurality of features defines an original pattern that is to be transferred into a substrate 30 positioned on motion stage 20. To that end, imprint head 18 and/or motion stage 20 may vary a distance "d" between mold 28 and substrate 30. In this manner, the features on mold 28 may be imprinted into a flowable region of substrate 30, discussed more fully below. Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and substrate 30. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22. [0020] Referring to both Figs. 2 and 3, a flowable region, such as an imprinting layer 34, is disposed on a portion of a surface 32 that presents a substantially planar profile. A flowable region may be formed using any known technique, such as a hot embossing process disclosed in United States patent number 5,772,905, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al . in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002. Further, the flowable region may also comprise a spin-coated film of viscous fluid that may be molded and cured to form a rigid replica. In the present embodiment, however, a flowable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete droplets 36 of a material 36a on substrate 30, discussed more fully below. An exemplary system for depositing droplets 36 is disclosed in United States patent application number 10/191,749, filed July 9, 2002, entitled "System and Method for Dispensing Liquids," assigned to the assignee of the present invention, and which is incorporated by reference herein in its entirety. Imprinting layer 34 is formed from material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. An exemplary composition for material 36a is disclosed in Untied States patent application number 10/463,396, filed June 16, 2003, and entitled "Method to Reduce Adhesion Between a Conformable Region and a Pattern of a Mold," which is incorporated by reference in its entirety herein. Material 36a is shown in Fig. 4 as being cross-linked at points 36b, forming a cross-linked polymer material 36c. [0021] Referring to Figs. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, distance "d" is reduced to allow droplets 36 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32. In one embodiment, distance "d" is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a.
[0022] To facilitate filling of recessions 28a, material 36a is provided with the requisite properties to completely fill recessions 28a, while covering surface 32 with a contiguous formation of material 36a. In the present embodiment, sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum, distance "d" has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness, t2. Thicknesses "ti" and "t2" may be any thickness desired, dependent upon the application. Typically, ti is selected so as to be no greater than twice the width u of sub-portions 34a, i.e., ti < 2u, shown more clearly in Fig. 5.
[0023] Referring to Figs. 2, 3 and 4, after a desired distance "d" has been reached, radiation source 22 produces actinic radiation that polymerizes and crosslinks material 36a, forming cross-linked polymer material 36c. As a result, the composition of imprinting layer 34 transforms from material 36a to cross-linked polymer material 36c, which is a solid. Specifically, cross- linked polymer material 36c is solidified to provide side 34c of imprinting layer 34 with a shape conforming to a shape of a surface 28c of mold 28, shown more clearly in Fig. 5. After imprinting layer 34 is transformed to consist of cross-linked polymer material 36c, shown in Fig. 4, imprint head 18, shown in Fig. 2, is moved to increase distance "d" so that mold 28 and imprinting layer 34 are spaced-apart.
[0024] Referring to Fig. 5, additional processing may be employed to complete the patterning of substrate 30. For example, imprinting layer 34 and substrate 30 may be etched to transfer the pattern of imprinting layer 34 into substrate 30, providing a patterned surface 32a, shown in Fig. 6. To facilitate etching, the material from which imprinting layer 34 is formed may be varied to define a relative etch rate with respect to substrate 30, as desired. The relative etch rate of imprinting layer 34 and substrate 30 may be between about 1.5:1 to about 100:1. [0025] Alternatively, or additionally, imprinting layer 34 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 34, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 30 and imprinting layer 34. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
[0026] Referring to Figs. 7 and 8, template 26, upon which mold 28 is present, is coupled to an imprint head housing 18a via a chucking system 40 that includes a chuck body 42. Chuck body 42 is adapted to retain template 26 upon which mold 28 is attached employing vacuum techniques. To that end, chuck body 42 includes one or more recesses 42a that are in fluid communication with a pressure control system, such as a fluid supply system 70. Fluid supply system 70 may include one or more pumps to provide both positive and negative pressure, as well as a supply of fluid to facilitate reducing, if not preventing, trapping of gases, such as air, in imprinting layer 34, shown in Fig. 5. An exemplary chucking system is disclosed in United States patent application number 10/293,224, entitled "Chucking System For Modulating Shapes of Substrates," assigned to the assignee of the present invention, and which is incorporated by reference in its entirety herein. [0027] As discussed above, during imprinting template 26 and, therefore, mold 28 are brought into proximity with substrate 30 before patterning imprinting material 36a, disposed on a region 77. Specifically, template 26 is brought within tens of microns of substrate 30, e.g., 15 microns more or less. It has been found desirable to perform localized control of the atmosphere 78 that is proximate to both template 26 and region 77. For example, to avoid the deleterious effects of gases and/or gas pockets present in imprinting material 36a and/or subsequently trapped in the patterned imprinting layer 34, it has been found beneficial to control the composition of fluid in atmosphere 78 and/or the pressure of atmosphere 78.
[0028] Referring to Fig. 9, to facilitate control of atmosphere 78, chuck body 42 is designed to facilitate the passage of fluids proximate to mold 28 and imprint head 18 includes a baffle 100 surrounding template 26. Specifically, baffle 100 extends from imprint head 18, terminating in a nadir 102 that lies in a plane in which a surface 26a lies. In this fashion, mold 28 extends beyond nadir 102 to facilitate contact with region 77. Chuck body 42 includes one or more throughways, two of which are shown as 104 and 106. Apertures 104a and 106a of throughways 104 and 106, respectively, are disposed in a surface of chuck body 42 disposed between template 26 and baffle 100, referred to as a peripheral surface 100a. Throughways 104 and 106 place apertures 104a and 106a in fluid communication with fluid supply system 70. Baffle 100 functions to slow the movement of fluid exiting apertures 104a and 106a away from mold 28. To that end, baffle 100 includes first and second opposed surfaces 102a and 102b. First opposed surface 102a extends from nadir 102 away from substrate 30 and faces template 26. Second opposed surface 102b extends from nadir 102 away from substrate 30 and faces away from mold 28. Although it is not necessary, first opposed surface 102a is shown extending obliquely with respect to second opposing surface 102b. With this configuration, atmosphere 78 may be controlled by introduction or evacuation of fluid through apertures 104a and 106a. However, first and second opposed surfaces 102a and 102b may extend parallel to one another from nadir 102. [0029] Referring to Figs. 3 and 9, in one embodiment, atmosphere 78 is established so that the transport of the gases present therein through imprinting material 36a in region 77 is increased relative to transport associated with air. The term transport is defined to mean any mechanism by which the propagation of gases through imprinting material 36a is increased, e.g., increased solubility, increased diffusion, increased permeability and the like. To that end, fluid supply system 70 may include a supply of imprinting material 36a or components thereof in vapor form. Under control of processor 25, which is in data communication with fluid supply system 70, imprinting material 36a may be introduced through apertures 104a and 106a to saturate atmosphere 78 with imprinting material 36a. This was found to reduce, if not completely eliminate, the quantity of gases, such as air, trapped in the imprinting layer 34 during imprint processes. This is beneficial as it was found that the presence of air in imprinting layer 34 creates undesirable voids. Alternatively, it was found that by saturating atmosphere 78 with carbon dioxide and/or helium, the quantity of air trapped in imprinting layer 34, shown in Fig. 5, was substantially reduced if not avoided, thereby reducing, if not eliminating, formation of undesirable voids therein. Further, it was found that by employing the atmosphere 78 described above, not only were the number of undesirable voids reduced or eliminated, but the time required to achieve an acceptable minimum level of pattern defects was found to be substantially shorter. It should be understood that a mixture of imprinting material 36a, shown in Fig. 3, carbon dioxide and/or helium may be introduced into atmosphere 78, shown in Fig. 9, to reduce the quantity of air trapped in imprinting layer 34, shown in Fig. 5. [0030] Referring to Figs. 9 and 10, a difficulty encountered with respect to introducing fluids was to ensure that the molecules in the fluid streams 104b and 106b exiting apertures 104a and 106a, respectively, traveled to a region of the atmosphere positioned between mold 28 and droplets 36, and before contact of droplets 36 with mold 28. This region of atmosphere 78 is referred to as a processing region 78a. As shown, apertures 104a and 106a are disposed about peripheral surface 100a, which is spaced-apart from processing region 78a. Given that the separation of mold 28 from region 77 is on the order of microns, the ingression of the aforementioned molecules into processing region 78a is difficult to achieve.
[0031] One manner in which to overcome the aforementioned difficulty is to have fluid supply system 70 under control of processor 25 programmed with suitable control software (not shown) to pulse fluid streams 104b and 106b into atmosphere 78 having a desired mixture of molecules, discussed above. In this manner, laminar flow of fluid streams 104b and 106b may be avoided. It is believed that by providing fluid streams 104b and 106b with turbulent flow, the probability will be increased that a sufficient quantity of the molecules contained therein will reach processing region 78a to reduce, if not avoid, the presence of gases being trapped in imprinting layer 34 (not shown) . To that end, fluid may be pulsed through both apertures 104a and 106a, concurrently, or sequentially pulsed through the same, i.e., first fluid is introduced through aperture 104a and subsequently through aperture 106a and then again through 104a, with the process being repeated for a desired time or during the entire imprinting process. Furthermore, the timing of the flow of gas into processing region 78a is important because it is desired that a sufficient quantity of molecules contained therein reach processing region 78a before contact is made between mold 28 and droplets 36.
[0032] Alternatively, fluid may be pulsed through one of the apertures, e.g., aperture 104a, and then evacuated through the remaining aperture, e.g., aperture 106a. In this manner, fluid would be drawn across processing region 78a. It may also be advantageous to pulse the fluid through both apertures 104a and 106a, concurrently, then evacuate through both apertures 104a and 106a concurrently. It is desired, however, that the flow rate of fluid be established to minimize, if not avoid, movement of droplets 36. [0033] To ensure that the fluids exiting apertures 104a and 106a crosses through processing region 78a, it may be advantageous to concurrently pulse fluid through both apertures 104a and 106a concurrently and then alternatingly evacuate through one of apertures 104a or 106a. Concurrently introducing the fluid through both apertures 104a and 106a minimizes the time required to saturate atmosphere 78. Alternatingly evacuating the fluid through one of apertures 104a and 106a ensures that the fluid travels through processing region 78a. For example, a first step would include introducing fluid into atmosphere 78 through both apertures 104a and 106a. A second step would include evacuating the fluid through one of apertures 104a and 106a, e.g., aperture 104a. Thereafter, at a third step, fluid would be introduced into atmosphere 78 through both apertures 104a and 106a, concurrently. At a fourth step, fluid would be evacuated through one of apertures 104a and 106a that was not employed in the previous step to remove fluid, e.g., aperture 106a. It should be understood that evacuation may occur through one of apertures 104a and 106a, while fluid is being introduced through the remaining aperture of apertures 104a and 106a. Alternatively, evacuation may occur in the absence of a fluid flow into atmosphere 78. The desired result is that fluid ingression into atmosphere 78 and fluid evacuation therefrom occurs so that the desired concentration of fluid is present. [0034] In another embodiment, a plurality of apertures may be disposed about peripheral surface 100a so that each of the apertures of a pair is disposed opposite one another on opposite sides of template 26. This is shown by aperture pair 104a and 106a being disposed opposite one another on opposite sides of template 26. A second aperture pair is shown as 108a and 110a. Apertures 108a and 110a are disposed opposite one another on opposite sides of template 26. [0035] As shown, each of apertures 104a, 106a, 108a and 110a, are arranged to lie on a common circle with adjacent apertures being spaced-apart therefrom by 90°. In this manner, each of apertures 104a, 106a, 108a and 110a are arranged to facilitate fluid flow in/out of a different quadrant of chuck body 42. Specifically, aperture 104a facilitates fluid flow in/out of quadrant I; aperture 106a facilitates fluid flow in/out of quadrant II; aperture 108a facilitates fluid flow in/out of quadrant III; and aperture 110a facilitates fluid flow in/out of quadrant IV. However, any number of apertures may be employed, e.g., more than one per quadrant with differing quadrants having differing numbers of apertures and arranged in any spatial arrangement desired. Each of these arrangements should facilitate introduction and/or evacuation of a plurality of flows of fluid streams into atmosphere 78, with a subset of the plurality of flows being introduced to differing regions about template 26. It is believed that introduction of the multiple flows of fluid streams provides a turbulent flow of fluid in atmosphere 78. This, it is believed, increases the probability that molecules in the fluid streams would reach processing region 78a, shown in Fig. 9. However, fluid flow into atmosphere 78 through each of the apertures 104a, 106a, 108a and 110a and evacuation of fluid from atmosphere 78 therethrough may occur in any manner discussed above. [0036] Referring to Figs. 9, 10 and 11, in another embodiment, a fluid stream may be introduced through each of apertures 104a, 106a, 108a and 110a sequentially so that a flow cell 112 may be created between template 26 and region 77. Flow cell 112 would facilitate ingression of molecules in the fluid streams into processing region 78a to provide the benefits mentioned above. For example, first a fluid flow may be introduced through aperture 104a and then terminated. After termination of fluid flow through aperture 104a, fluid flow through aperture 106a is commenced to introduce fluid into atmosphere 78. Subsequently, fluid flow through aperture 106a is terminated. After termination of fluid flow through aperture 106a, fluid flow through aperture 108a is commenced to introduce fluid into atmosphere 78. Fluid flow in through aperture 108a is subsequently terminated. After termination of fluid flow through aperture 108a, fluid flow through aperture 110a is commenced to introduce fluid into atmosphere 78. In this manner, fluid is introduced into atmosphere 78 through a single quadrant at any given time. However, it may be desirable to introduce fluid into more than one quadrant . Although this may frustrate creation of flow cell 112, it is within confines of the present invention. [0037] Alternatively, sequential introduction and evacuation through apertures 104a, 106a, 108a and 110a may be undertaken to create flow cell 112. This would include introducing fluid through one or more of apertures 104a, 106a, 108a and 110a, concurrently. Subsequently, sequential evacuation may occur through each of apertures 104a, 106a, 108a and 110a to create flow cell 112. For example, fluid may be introduced through all apertures in chuck body 42, concurrently. Thereafter, fluid may be evacuated from each of apertures 104a, 106a, 108a and 110a, one at a time. Before, the concentration in atmosphere 78 of fluid introduced through apertures 104a, 106a, 108a and 110a went below a desired level due to evacuation. The fluid may then be reintroduced through one or all of apertures 104a, 106a, 108a and 110a again and the process repeated to create and/or maintain flow cell 112. [0038] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. Therefore, the scope of the invention should not be limited by the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims

WHAT IS CLAIMED IS: 1. A method for reducing gases present in a layer of viscous liquid deposited on a substrate, said method comprising: varying a composition of gases proximate to said viscous liquid to increase transport of said gases in said viscous liquid.
2. The method as recited in claim 1 wherein varying further includes increasing a solubility of said gases in said viscous liquid.
3. The method as recited in claim 1 wherein varying further includes increasing diffusion of said gases in said viscous liquid.
4. The method as recited in claim 1, wherein varying further includes increasing permeability of said gases in said viscous liquid.
5. The method as recited in claim 1 wherein varying further includes controlling an atmosphere proximate to said substrate by introducing a fluid, therein, saturated with said viscous liquid.
6. The method as recited in claim 1 further including reducing a pressure of an atmosphere proximate to said substrate.
7. The method as recited in claim 1 wherein varying further includes controlling an atmosphere proximate to said substrate by introducing a fluid. therein, to increase said transport of said gases in said viscous liquid.
8. The method as recited in claim 6 wherein introducing further includes introducing said fluid selected from a set of fluids consisting of carbon dioxide and helium.
9. A method of introducing a fluid between a mold, disposed on a template, and a substrate, said method comprising: introducing a flow of said fluid proximate said to template to produce a turbulent flow of said fluid between said substrate and said template to move a portion of said fluid in said flow between said mold and said substrate.
10. The method as recited in claim 9 wherein introducing further includes pulsing said flow of said fluid into a region adjacent to said template and in superimposition with said substrate.
11. The method as recited in claim 9 wherein introducing further includes pulsing said flow of said fluid, with pulsing further including injecting said fluid sequentially through multiple regions at differing positions about a periphery of said template to create a flow cell in an atmosphere located between said mold and said substrate.
12. A system for introducing a flow of a fluid between a mold, disposed on a template, and a substrate, said system comprising: a fluid supply system; and a chuck body having a baffle and first and second apertures, said first and second apertures disposed on a surface of said chuck body between said baffle and said template, with said first and second apertures in fluid communication with said fluid supply system to produce a turbulent flow of said fluid between said mold and said substrate.
13. The system as recited in claim 12 wherein said chuck body further includes a plurality of apertures disposed on said surface of said chuck body between said baffle and said template.
14. The system as recited in claim 12 wherein said chuck body further includes a plurality of apertures disposed on said surface of said chuck body between said baffle and said template, with said plurality of apertures including a pair of apertures disposed opposite one another.
15. The system as recited in claim 12 wherein said chuck body further includes a plurality of apertures disposed on said surface of said chuck body between said baffle and said template, with said plurality of apertures lying in a common circle having a plurality of quadrants, with a quadrant of said plurality of quadrants comprising a set of apertures of said plurality of apertures, with differing quadrants of said plurality of quadrants comprising differing sets of apertures of said plurality of apertures.
PCT/US2004/031408 2003-10-02 2004-09-24 Single phase fluid imprint lithography method WO2005033797A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2006533980A JP2007509769A (en) 2003-10-02 2004-09-24 Single phase fluid imprint lithography
KR1020127011488A KR101241076B1 (en) 2003-10-02 2004-09-24 Single phase fluid imprint lithography method
EP04817123A EP1667778B1 (en) 2003-10-02 2004-09-24 Single phase fluid imprint lithography method
KR1020117022529A KR101178432B1 (en) 2003-10-02 2004-09-24 Single phase fluid imprint lithography method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/677,639 US7090716B2 (en) 2003-10-02 2003-10-02 Single phase fluid imprint lithography method
US10/677,639 2003-10-02

Publications (2)

Publication Number Publication Date
WO2005033797A2 true WO2005033797A2 (en) 2005-04-14
WO2005033797A3 WO2005033797A3 (en) 2005-10-06

Family

ID=34393769

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/031408 WO2005033797A2 (en) 2003-10-02 2004-09-24 Single phase fluid imprint lithography method

Country Status (9)

Country Link
US (3) US7090716B2 (en)
EP (1) EP1667778B1 (en)
JP (4) JP2007509769A (en)
KR (3) KR101241076B1 (en)
CN (1) CN100482307C (en)
MY (1) MY135469A (en)
SG (1) SG128681A1 (en)
TW (1) TWI250560B (en)
WO (1) WO2005033797A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006352121A (en) * 2005-06-13 2006-12-28 Asml Netherlands Bv Imprint lithography
JP2007173806A (en) * 2005-12-21 2007-07-05 Asml Netherlands Bv Imprint lithography
JP2009081421A (en) * 2007-09-05 2009-04-16 Asml Netherlands Bv Imprint lithography
JP2010179655A (en) * 2006-04-03 2010-08-19 Molecular Imprints Inc Lithography imprinting system
EP2553713A1 (en) * 2010-03-30 2013-02-06 FUJIFILM Corporation Nanoimprinting method and method for producing a mold
JP2014212206A (en) * 2013-04-18 2014-11-13 キヤノン株式会社 Imprint device and manufacturing method of goods using the same
US10197911B2 (en) 2014-01-21 2019-02-05 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
US10423064B2 (en) 2014-12-02 2019-09-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010030511A1 (en) 2000-04-18 2001-10-18 Shunpei Yamazaki Display device
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7670534B2 (en) * 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE510241T1 (en) * 2005-12-08 2011-06-15 Molecular Imprints Inc METHOD FOR EXPECTING GAS BETWEEN A SUBSTRATE AND A MOLD
MY144847A (en) 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (en) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Transparent multi-layer composite system capable of reflecting infrared radiation for hardening and/or shaping of substrates and temperature process, comprises layers, anti-reflection coating, blocking layer and dielectric interface layer
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
TW200932502A (en) * 2008-01-18 2009-08-01 Univ Nat Taiwan An improved embossing apparatus
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
WO2010063504A2 (en) * 2008-12-04 2010-06-10 Asml Netherlands B.V. Imprint lithography apparatus and method
JP5175771B2 (en) * 2009-02-27 2013-04-03 株式会社日立ハイテクノロジーズ Fine structure transfer apparatus and fine structure transfer method
CN102438841A (en) * 2009-03-23 2012-05-02 因特瓦克公司 A process for optimization of island to trench ratio in patterned media
JP2011023660A (en) * 2009-07-17 2011-02-03 Toshiba Corp Pattern transfer method
EP2496989B1 (en) * 2009-11-02 2013-10-02 Danmarks Tekniske Universitet Method and device for nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
EP2534536A2 (en) * 2010-02-09 2012-12-19 Molecular Imprints, Inc. Process gas confinement for nanoimprint lithography
JP5597031B2 (en) 2010-05-31 2014-10-01 キヤノン株式会社 Lithographic apparatus and article manufacturing method
JP5828626B2 (en) * 2010-10-04 2015-12-09 キヤノン株式会社 Imprint method
JP5679850B2 (en) 2011-02-07 2015-03-04 キヤノン株式会社 Imprint apparatus and article manufacturing method
JP5787691B2 (en) * 2011-09-21 2015-09-30 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same
JP5882922B2 (en) * 2012-01-19 2016-03-09 キヤノン株式会社 Imprint method and imprint apparatus
US9278857B2 (en) 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP6304921B2 (en) * 2012-06-05 2018-04-04 キヤノン株式会社 Imprint method, imprint apparatus, and article manufacturing method using the same
KR101911588B1 (en) 2012-10-04 2018-10-24 다이니폰 인사츠 가부시키가이샤 Imprinting method and imprinting apparatus
JP6748399B2 (en) * 2012-11-30 2020-09-02 キヤノン株式会社 Imprint method and curable composition for imprint
KR102056902B1 (en) 2013-05-29 2019-12-18 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
KR102089661B1 (en) 2013-08-27 2020-03-17 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
JP5865332B2 (en) 2013-11-01 2016-02-17 キヤノン株式会社 Imprint apparatus, article manufacturing method, and imprint method
CN105706214B (en) * 2013-11-06 2018-10-02 佳能株式会社 Method, method for stamping and the device of pattern for determining imprint mold
JP6445772B2 (en) * 2014-03-17 2018-12-26 キヤノン株式会社 Imprint apparatus and article manufacturing method
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
JP2015005760A (en) * 2014-07-31 2015-01-08 キヤノン株式会社 Imprint device, and article manufacturing method
JP6628491B2 (en) 2015-04-13 2020-01-08 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
JP5989177B2 (en) * 2015-04-20 2016-09-07 キヤノン株式会社 Imprint apparatus and article manufacturing method
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
KR101968471B1 (en) 2017-01-30 2019-04-11 배용주 Equipment for generation and saving the electricity
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7210155B2 (en) * 2018-04-16 2023-01-23 キヤノン株式会社 Apparatus, methods, and methods of making articles
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6428852B1 (en) 1998-07-02 2002-08-06 Mykrolis Corporation Process for coating a solid surface with a liquid composition
US20030127007A1 (en) 2001-11-22 2003-07-10 Kabushiki Kaisha Toshiba Nano-imprinting method, magnetic printing method and recording medium

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4279628A (en) 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (en) * 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden DEVICE FOR MOLDING CONTAINERS FROM A FILM
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) * 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) * 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (en) * 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Device for the mutual bonding of thermally softenable particles to a plastic body
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
GB8610671D0 (en) * 1986-05-01 1986-06-04 Atomic Energy Authority Uk Flow monitoring
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (en) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 Fine pattern forming method
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (en) 1988-01-30 1989-08-08 Hoya Corp Manufacture of substrate for optical information recording medium
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (en) 1988-07-14 1990-01-26 Canon Inc Production of substrate for optical recording medium
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
JPH0292603A (en) 1988-09-30 1990-04-03 Hoya Corp Manufacture of data recording board with guide groove
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02192045A (en) 1989-01-20 1990-07-27 Fujitsu Ltd Production of optical disk substrate
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (en) 1989-04-24 1997-09-16 Siemens Ag PROCEDURE FOR THE GENERATION OF CORROSION RESISTANT STRUCTURES.
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (en) * 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) * 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
JP2977091B2 (en) * 1990-09-28 1999-11-10 安藤電気株式会社 Optical pulse tester using heterodyne light reception
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JPH05206095A (en) * 1992-01-28 1993-08-13 Fujitsu Ltd Ultrasonic treating tub and single wafer type substrate treating device
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (en) * 1992-04-22 1994-07-08 Aerospatiale HOT COMPACTION DEVICE FOR THE MANUFACTURE OF PARTS REQUIRING SIMULTANEOUS PRESSURE AND TEMPERATURE INCREASES.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
JPH07178762A (en) * 1993-12-24 1995-07-18 Sanyo Silicon Denshi Kk Resin molding method and metal mold
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512335A (en) * 1994-06-27 1996-04-30 International Business Machines Corporation Fluid treatment device with vibrational energy means
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5997273A (en) 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6257866B1 (en) * 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP3783170B2 (en) * 1996-11-19 2006-06-07 同和鉱業株式会社 Resin molding equipment
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5912049A (en) * 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
DE19819761C2 (en) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Device for separating a shaped substrate from an embossing tool
JP3780700B2 (en) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6099771A (en) * 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3291488B2 (en) * 1999-05-27 2002-06-10 三洋電機株式会社 Fluid removal method
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (en) * 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (en) * 2000-02-07 2006-11-22 株式会社日立メディコ Magnetic resonance imaging system
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (en) * 2000-06-15 2001-12-26 Canon Inc Exposure apparatus
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
JP2004523906A (en) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Templates for room-temperature and low-pressure micro and nano-transfer lithography
JP3721320B2 (en) 2000-11-01 2005-11-30 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP3978706B2 (en) * 2001-09-20 2007-09-19 セイコーエプソン株式会社 Manufacturing method of fine structure
CN100347608C (en) * 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
AU2003230676A1 (en) 2002-03-15 2003-09-29 Princeton University Laser assisted direct imprint lithography
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003238947A1 (en) 2002-05-24 2003-12-12 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
MY144124A (en) 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2004071934A (en) * 2002-08-08 2004-03-04 Kanegafuchi Chem Ind Co Ltd Method for manufacturing fine pattern and transfer material
JP3700001B2 (en) * 2002-09-10 2005-09-28 独立行政法人産業技術総合研究所 Imprint method and apparatus
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7441008B2 (en) 2002-12-18 2008-10-21 International Business Machines Corporation Method for correlating transactions and messages
US6986815B2 (en) * 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7104268B2 (en) * 2003-01-10 2006-09-12 Akrion Technologies, Inc. Megasonic cleaning system with buffered cavitation method
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
CN100526052C (en) 2003-06-09 2009-08-12 普林斯顿大学知识产权和技术许可办公室 Imprint lithography with improved monitoring and control and apparatus therefor
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6428852B1 (en) 1998-07-02 2002-08-06 Mykrolis Corporation Process for coating a solid surface with a liquid composition
US20030127007A1 (en) 2001-11-22 2003-07-10 Kabushiki Kaisha Toshiba Nano-imprinting method, magnetic printing method and recording medium

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JAPAN. SOC. APPL. PHYS. JAPAN., vol. 42, no. 6B, June 2003 (2003-06-01), pages 3849 - 3853
See also references of EP1667778A4

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7377764B2 (en) 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
JP2006352121A (en) * 2005-06-13 2006-12-28 Asml Netherlands Bv Imprint lithography
CN102566263B (en) * 2005-12-21 2013-11-20 Asml荷兰有限公司 Imprint lithography
CN102566263A (en) * 2005-12-21 2012-07-11 Asml荷兰有限公司 Imprint lithography
JP2007173806A (en) * 2005-12-21 2007-07-05 Asml Netherlands Bv Imprint lithography
JP2010179655A (en) * 2006-04-03 2010-08-19 Molecular Imprints Inc Lithography imprinting system
JP2009081421A (en) * 2007-09-05 2009-04-16 Asml Netherlands Bv Imprint lithography
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8323541B2 (en) 2007-09-05 2012-12-04 Asml Netherlands B.V. Imprint lithography
EP2553713A1 (en) * 2010-03-30 2013-02-06 FUJIFILM Corporation Nanoimprinting method and method for producing a mold
EP2553713A4 (en) * 2010-03-30 2014-07-09 Fujifilm Corp Nanoimprinting method and method for producing a mold
JP2014212206A (en) * 2013-04-18 2014-11-13 キヤノン株式会社 Imprint device and manufacturing method of goods using the same
US10197911B2 (en) 2014-01-21 2019-02-05 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
US10423064B2 (en) 2014-12-02 2019-09-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article

Also Published As

Publication number Publication date
KR20110120972A (en) 2011-11-04
JP2011193005A (en) 2011-09-29
EP1667778B1 (en) 2012-12-26
US20050072757A1 (en) 2005-04-07
SG128681A1 (en) 2007-01-30
KR101241076B1 (en) 2013-03-11
US20050074512A1 (en) 2005-04-07
US7090716B2 (en) 2006-08-15
US20050072755A1 (en) 2005-04-07
JP4536157B1 (en) 2010-09-01
US7531025B2 (en) 2009-05-12
CN100482307C (en) 2009-04-29
JP2007509769A (en) 2007-04-19
KR20060096424A (en) 2006-09-11
TWI250560B (en) 2006-03-01
MY135469A (en) 2008-04-30
WO2005033797A3 (en) 2005-10-06
CN1859959A (en) 2006-11-08
KR101178432B1 (en) 2012-08-31
JP5275399B2 (en) 2013-08-28
KR20120052426A (en) 2012-05-23
JP4658227B2 (en) 2011-03-23
US7270533B2 (en) 2007-09-18
JP2010192911A (en) 2010-09-02
EP1667778A4 (en) 2009-04-22
TW200518188A (en) 2005-06-01
JP2010192912A (en) 2010-09-02
EP1667778A2 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
EP1667778B1 (en) Single phase fluid imprint lithography method
US8211214B2 (en) Single phase fluid imprint lithography method
US7708926B2 (en) Capillary imprinting technique
US7281919B2 (en) System for controlling a volume of material on a mold
EP1633545B1 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
US7931846B2 (en) Method to control an atmosphere between a body and a substrate
US7462028B2 (en) Partial vacuum environment imprinting
Stacey et al. System for creating a turbulent flow of fluid between a mold and a substrate
Watts et al. Single phase fluid imprint lithography method
Schumaker et al. Applying imprinting material to substrates employing electromagnetic fields

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480028560.2

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004817123

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020067006082

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006533980

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2004817123

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067006082

Country of ref document: KR