WO2005031397A3 - Catoptric and catadioptric imaging systems with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces - Google Patents

Catoptric and catadioptric imaging systems with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces Download PDF

Info

Publication number
WO2005031397A3
WO2005031397A3 PCT/US2004/031329 US2004031329W WO2005031397A3 WO 2005031397 A3 WO2005031397 A3 WO 2005031397A3 US 2004031329 W US2004031329 W US 2004031329W WO 2005031397 A3 WO2005031397 A3 WO 2005031397A3
Authority
WO
WIPO (PCT)
Prior art keywords
adaptive
catoptric
pellicle
splitters
aperture
Prior art date
Application number
PCT/US2004/031329
Other languages
French (fr)
Other versions
WO2005031397A2 (en
Inventor
Henry Allen Hill
Steven Hamann
David A Fischer
Original Assignee
Zetetic Inst
Henry Allen Hill
Steven Hamann
David A Fischer
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zetetic Inst, Henry Allen Hill, Steven Hamann, David A Fischer filed Critical Zetetic Inst
Publication of WO2005031397A2 publication Critical patent/WO2005031397A2/en
Publication of WO2005031397A3 publication Critical patent/WO2005031397A3/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B17/00Systems with reflecting surfaces, with or without refracting elements
    • G02B17/08Catadioptric systems
    • G02B17/0856Catadioptric systems comprising a refractive element with a reflective surface, the reflection taking place inside the element, e.g. Mangin mirrors
    • G02B17/086Catadioptric systems comprising a refractive element with a reflective surface, the reflection taking place inside the element, e.g. Mangin mirrors wherein the system is made of a single block of optical material, e.g. solid catadioptric systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02007Two or more frequencies or sources used for interferometric measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02012Interferometers characterised by controlling or generating intrinsic radiation properties using temporal intensity variation
    • G01B9/02014Interferometers characterised by controlling or generating intrinsic radiation properties using temporal intensity variation by using pulsed light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02022Interferometers characterised by the beam path configuration contacting one object by grazing incidence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02062Active error reduction, i.e. varying with time
    • G01B9/02067Active error reduction, i.e. varying with time by electronic control systems, i.e. using feedback acting on optics or light
    • G01B9/02068Auto-alignment of optical elements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02075Reduction or prevention of errors; Testing; Calibration of particular errors
    • G01B9/02078Caused by ambiguity
    • G01B9/02079Quadrature detection, i.e. detecting relatively phase-shifted signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/58Photometry, e.g. photographic exposure meter using luminescence generated by light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B17/00Systems with reflecting surfaces, with or without refracting elements
    • G02B17/08Catadioptric systems
    • G02B17/0804Catadioptric systems using two curved mirrors
    • G02B17/0808Catadioptric systems using two curved mirrors on-axis systems with at least one of the mirrors having a central aperture
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/06Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the phase of light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features

Abstract

An interferometric system (10) including: an interferometer that directs a measurement beam at an object (60) point to produce a return measurement beam, focuses the return measurement beam to an image point in an image plane, and mixes the return measurement beam with a reference beam at the image point to form a mixed beam; a beam combining layer (12) located at the image plane which is responsive to the mixed beam and produces an optical beam therefrom, wherein the layer comprises a thin film with an array of transmissive openings formed therein and further comprises a fluorescent material associated with each of the openings of the array of openings; a detector that is responsive to the optical beam from the beam combining layer; and an imaging system that directs the optical beam from the beam combining layer onto the detector (70).
PCT/US2004/031329 2003-09-26 2004-09-24 Catoptric and catadioptric imaging systems with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces WO2005031397A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50671503P 2003-09-26 2003-09-26
US60/506,715 2003-09-26

Publications (2)

Publication Number Publication Date
WO2005031397A2 WO2005031397A2 (en) 2005-04-07
WO2005031397A3 true WO2005031397A3 (en) 2006-03-09

Family

ID=34393190

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/031329 WO2005031397A2 (en) 2003-09-26 2004-09-24 Catoptric and catadioptric imaging systems with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces

Country Status (3)

Country Link
US (3) US20050111007A1 (en)
TW (1) TW200528687A (en)
WO (1) WO2005031397A2 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7084983B2 (en) * 2003-01-27 2006-08-01 Zetetic Institute Interferometric confocal microscopy incorporating a pinhole array beam-splitter
WO2005026810A2 (en) * 2003-09-10 2005-03-24 Zetetic Institute Catoptric and catadioptric imaging systems with adaptive catoptric surfaces
US20050111007A1 (en) * 2003-09-26 2005-05-26 Zetetic Institute Catoptric and catadioptric imaging system with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces
TW200538704A (en) * 2004-05-21 2005-12-01 Zetetic Inst Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
TW200607991A (en) * 2004-08-16 2006-03-01 Zetetic Inst Apparatus and method for joint and time delayed measurements of components of conjugated quadratures of fields of reflected/scattered and transmitted/scattered beams by an object in interferometry
WO2006023612A2 (en) * 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
US7145663B2 (en) * 2004-09-20 2006-12-05 Zetetic Institute Catoptric imaging systems comprising pellicle and/or aperture-array beam-splitters and non-adaptive and/or adaptive catoptric surfaces
EP1869399A2 (en) * 2005-04-11 2007-12-26 Zetetic Institute Apparatus and method for in situ and ex situ measurement of spatial impulse response of an optical system using phase-shifting point-diffraction interferometry
EP1883783A2 (en) * 2005-05-18 2008-02-06 Zetetic Institute Apparatus and method for in situ and ex situ measurements of optical system flare
US7916304B2 (en) * 2006-12-21 2011-03-29 Howard Hughes Medical Institute Systems and methods for 3-dimensional interferometric microscopy
JP5022959B2 (en) * 2008-03-24 2012-09-12 株式会社日立製作所 Defect inspection system using catadioptric objective lens
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
DE102011083888A1 (en) 2011-09-30 2013-04-04 Carl Zeiss Smt Gmbh Imaging catoptric EUV projection optics
CN104006883B (en) * 2014-03-10 2016-12-07 中国科学院长春光学精密机械与物理研究所 Imaging spectrometer based on multilevel micro-reflector and manufacture method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5760901A (en) * 1997-01-28 1998-06-02 Zetetic Institute Method and apparatus for confocal interference microscopy with background amplitude reduction and compensation
US6552852B2 (en) * 2000-12-21 2003-04-22 Zetetic Institute Catoptric and catadioptric imaging systems
US6717736B1 (en) * 2003-02-13 2004-04-06 Zetetic Institute Catoptric and catadioptric imaging systems
US6753968B2 (en) * 1999-08-02 2004-06-22 Zetetic Institute Optical storage system based on scanning interferometric near-field confocal microscopy

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3628027A (en) * 1969-12-17 1971-12-14 Sulzer Ag Beam deflecting and focusing means for photoelectric monitoring, counting or control apparatus
US3748015A (en) * 1971-06-21 1973-07-24 Perkin Elmer Corp Unit power imaging catoptric anastigmat
US4011011A (en) * 1973-03-09 1977-03-08 The Perkin-Elmer Corporation Optical projection apparatus
US4272684A (en) * 1978-10-06 1981-06-09 Xerox Corporation Optical beam-splitting arrangements on object side of a lens
US4226501A (en) * 1978-10-12 1980-10-07 The Perkin-Elmer Corporation Four mirror unobscurred anastigmatic telescope with all spherical surfaces
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
US4685803A (en) * 1986-01-23 1987-08-11 Zygo Corporation Method and apparatus for the measurement of the refractive index of a gas
US4733967A (en) * 1987-03-19 1988-03-29 Zygo Corporation Apparatus for the measurement of the refractive index of a gas
US5241423A (en) * 1990-07-11 1993-08-31 International Business Machines Corporation High resolution reduction catadioptric relay lens
US5220403A (en) * 1991-03-11 1993-06-15 International Business Machines Corporation Apparatus and a method for high numerical aperture microscopic examination of materials
DE69121201D1 (en) * 1991-08-27 1996-09-05 Ibm Method and device for generating high-resolution optical images
JPH0797018B2 (en) * 1992-05-13 1995-10-18 インターナショナル・ビジネス・マシーンズ・コーポレイション Depth measuring method and device
US5392118A (en) * 1992-05-13 1995-02-21 International Business Machines Corporation Method for measuring a trench depth parameter of a material
IT1265106B1 (en) * 1993-07-23 1996-10-30 Solari Udine Spa OPTICAL SYSTEM FOR LIGHT-EMITTING DIODES
KR950704670A (en) * 1993-09-30 1995-11-20 가따다 데쯔야 Confocal Optics
US5614763A (en) * 1995-03-13 1997-03-25 Zetetic Institute Methods for improving performance and temperature robustness of optical coupling between solid state light sensors and optical systems
US5699201A (en) * 1995-03-27 1997-12-16 Hewlett-Packard Co. Low-profile, high-gain, wide-field-of-view, non-imaging optics
US5633972A (en) * 1995-11-29 1997-05-27 Trustees Of Tufts College Superresolution imaging fiber for subwavelength light energy generation and near-field optical microscopy
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5894195A (en) * 1996-05-03 1999-04-13 Mcdermott; Kevin Elliptical axial lighting device
US5915048A (en) * 1996-06-05 1999-06-22 Zetetic Institute Method and apparatus for discriminating in-focus images from out-of-focus light signals from background and foreground light sources
DE19734983A1 (en) * 1996-09-04 1998-03-05 Zeiss Carl Fa Optical arrangement of mirrors
US5923423A (en) * 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5757493A (en) * 1996-10-16 1998-05-26 Tropel Corporation Interferometer with catadioptric imaging system having expanded range of numerical aperture
US6018391A (en) * 1997-01-28 2000-01-25 Advantest Corporation Method and apparatus for inspecting foreign matter by examining frequency differences between probing light beam and reference light beam
US6480285B1 (en) * 1997-01-28 2002-11-12 Zetetic Institute Multiple layer confocal interference microscopy using wavenumber domain reflectometry and background amplitude reduction and compensation
US5828455A (en) * 1997-03-07 1998-10-27 Litel Instruments Apparatus, method of measurement, and method of data analysis for correction of optical system
US6330065B1 (en) * 1997-10-02 2001-12-11 Zygo Corporation Gas insensitive interferometric apparatus and methods
US6124931A (en) * 1997-10-02 2000-09-26 Zygo Corporation Apparatus and methods for measuring intrinsic optical properties of a gas
US6052231A (en) * 1998-01-21 2000-04-18 International Business Machines Corporation Beam dividing elements permitting projection of an image with high contrast
SE9802974D0 (en) * 1998-09-03 1998-09-03 Astra Ab New crystalline forms
JP3697919B2 (en) * 1998-12-18 2005-09-21 コニカミノルタホールディングス株式会社 Video display device using reflective display element
US6271923B1 (en) * 1999-05-05 2001-08-07 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
EP1192448B1 (en) * 1999-07-05 2006-09-27 Novartis AG Process of using a sensor platform
US6469788B2 (en) * 2000-03-27 2002-10-22 California Institute Of Technology Coherent gradient sensing ellipsometer
US6917726B2 (en) * 2001-09-27 2005-07-12 Cornell Research Foundation, Inc. Zero-mode clad waveguides for performing spectroscopy with confined effective observation volumes
WO2002010832A2 (en) * 2000-07-27 2002-02-07 Zetetic Institute Scanning interferometric near-field confocal microscopy with background amplitude reduction and compensation
JP2004505313A (en) * 2000-07-27 2004-02-19 ゼテティック・インスティチュート Differential interference scanning near-field confocal microscopy
WO2002010830A2 (en) * 2000-07-27 2002-02-07 Zetetic Institute Multiple-source arrays for confocal and near-field microscopy
AU2001279047A1 (en) * 2000-07-27 2002-02-13 Zetetic Institute Control of position and orientation of sub-wavelength aperture array in near-field microscopy
US6847029B2 (en) * 2000-07-27 2005-01-25 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
US6597721B1 (en) * 2000-09-21 2003-07-22 Ut-Battelle, Llc Micro-laser
DE60123996T2 (en) * 2000-11-22 2007-06-14 Koninklijke Philips Electronics N.V. MEASURE SURFACE DEFECTS
KR100649555B1 (en) * 2001-03-27 2006-11-24 삼성에스디아이 주식회사 Projection screen and projection system using it
US6847452B2 (en) * 2001-08-02 2005-01-25 Zygo Corporation Passive zero shear interferometers
US6771374B1 (en) * 2002-01-16 2004-08-03 Advanced Micro Devices, Inc. Scatterometry based measurements of a rotating substrate
US7084983B2 (en) * 2003-01-27 2006-08-01 Zetetic Institute Interferometric confocal microscopy incorporating a pinhole array beam-splitter
KR20050114611A (en) * 2003-01-27 2005-12-06 제테틱 인스티튜트 Apparatus and method for joint measurements of conjugated quadratures of fields of reflected/scattered and transmitted beams by an object in interferometry
US7164480B2 (en) * 2003-02-04 2007-01-16 Zetetic Institute Compensation for effects of mismatch in indices of refraction at a substrate-medium interface in non-confocal, confocal, and interferometric confocal microscopy
US7263259B2 (en) * 2003-02-07 2007-08-28 Zetetic Institute Multiple-source arrays fed by guided-wave structures and resonant guided-wave structure cavities
WO2004072695A2 (en) * 2003-02-13 2004-08-26 Zetetic Institute Transverse differential interferometric confocal microscopy
KR20050098952A (en) * 2003-02-19 2005-10-12 제테틱 인스티튜트 Longitudinal differential interferometric confocal microscopy
WO2004074881A2 (en) * 2003-02-19 2004-09-02 Zetetic Institute Method and apparatus for dark field interferometric confocal microscopy
KR20050108422A (en) * 2003-04-01 2005-11-16 제테틱 인스티튜트 Method for constructing a catadioptric lens system
US7099014B2 (en) * 2003-04-01 2006-08-29 Zetetic Institute Apparatus and method for joint measurement of fields of scattered/reflected or transmitted orthogonally polarized beams by an object in interferometry
JP2006522338A (en) * 2003-04-03 2006-09-28 ゼテテック インスティテュート Apparatus and method for field measurement of backscattered and forward scattered / reflected beams by interferometric objects
TWI282487B (en) * 2003-05-23 2007-06-11 Canon Kk Projection optical system, exposure apparatus, and device manufacturing method
WO2005008334A2 (en) * 2003-07-07 2005-01-27 Zetetic Institute Apparatus and method for high speed scan for detection and measurement of properties of sub-wavelength defects and artifacts in semiconductor and mask metrology
WO2005008214A2 (en) * 2003-07-07 2005-01-27 Zetetic Institute Apparatus and method for ellipsometric measurements with high spatial resolution
WO2005026810A2 (en) * 2003-09-10 2005-03-24 Zetetic Institute Catoptric and catadioptric imaging systems with adaptive catoptric surfaces
US20050111007A1 (en) * 2003-09-26 2005-05-26 Zetetic Institute Catoptric and catadioptric imaging system with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces
JP2006049527A (en) * 2004-08-03 2006-02-16 Canon Inc Catadioptric projection optical system and aligner having it, and manufacturing method thereof
TW200607991A (en) * 2004-08-16 2006-03-01 Zetetic Inst Apparatus and method for joint and time delayed measurements of components of conjugated quadratures of fields of reflected/scattered and transmitted/scattered beams by an object in interferometry
JP2006119490A (en) * 2004-10-25 2006-05-11 Canon Inc Catadioptric type projection optical system, and exposing device having the catadioptric type projection optical system and device manufacturing method
US20060198018A1 (en) * 2005-02-04 2006-09-07 Carl Zeiss Smt Ag Imaging system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5760901A (en) * 1997-01-28 1998-06-02 Zetetic Institute Method and apparatus for confocal interference microscopy with background amplitude reduction and compensation
US6753968B2 (en) * 1999-08-02 2004-06-22 Zetetic Institute Optical storage system based on scanning interferometric near-field confocal microscopy
US6552852B2 (en) * 2000-12-21 2003-04-22 Zetetic Institute Catoptric and catadioptric imaging systems
US6717736B1 (en) * 2003-02-13 2004-04-06 Zetetic Institute Catoptric and catadioptric imaging systems

Also Published As

Publication number Publication date
US20060092429A1 (en) 2006-05-04
US7180604B2 (en) 2007-02-20
US20060072204A1 (en) 2006-04-06
WO2005031397A2 (en) 2005-04-07
TW200528687A (en) 2005-09-01
US7095508B2 (en) 2006-08-22
US20050111007A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
WO2005031397A3 (en) Catoptric and catadioptric imaging systems with pellicle and aperture-array beam-splitters and non-adaptive and adaptive catoptric surfaces
WO2002056062A3 (en) Catoptric and catadioptric imaging systems
EP1318425A3 (en) Catadioptric optical system and exposure apparatus equipped with the same
WO2003053226A3 (en) Apparatus, method and system for intravascular photographic imaging
AU4603296A (en) Compound magnified virtual image electronic display
ES2183933T3 (en) OPTICAL LENTICULAR SYSTEM.
EP1598648A3 (en) Shearing interferometer with dynamic pupil fill
JP2001510577A (en) Interferometer system and lithographic apparatus including such a system
ATE329285T1 (en) DECENTERED LENS GROUP FOR USE IN AN OFF-AXIAL PROJECTOR
CA2177424A1 (en) Solid Catadioptric Lens
JP2001027727A5 (en)
EP0859258A3 (en) Optical system and image taking apparatus
TW200615577A (en) Apochromatic unit-magnification projection optical system
CA2412319A1 (en) Method and apparatus for seamless integration of images using a transmissive/reflective mirror
US6424471B1 (en) Catadioptric objective with physical beam splitter
TW200736662A (en) Reflection/refraction projection optical system, reflection/refraction optical device, scanning exposure device and production method of micro-device
EP1126510A4 (en) Method of adjusting optical projection system
EP1048967A3 (en) Compact, light-weight optical imaging system and method of making same
EP1983362A4 (en) Catadioptric imaging system, exposure device, and device manufacturing method
CN111427229A (en) Projection screen and projection system
TW200610939A (en) Catoptric imaging systems comprising pellicle and /or aperture-array beam-splitters and non-adaptive and /or adaptive catoptric surfaces
WO2005026810A3 (en) Catoptric and catadioptric imaging systems with adaptive catoptric surfaces
US7636164B2 (en) Device having a field mirror for optically testing a surface
Guyon et al. Validating advanced wavefront control techniques on the SCExAO testbed/instrument
ATE253722T1 (en) HIGHLY PRECISE INTERFEROMETER WITH LIGHT GUIDES

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006528192

Country of ref document: JP

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP