WO2005024094A2 - In-situ-etch-assisted hdp deposition using sif4 and hydrogen - Google Patents

In-situ-etch-assisted hdp deposition using sif4 and hydrogen Download PDF

Info

Publication number
WO2005024094A2
WO2005024094A2 PCT/US2004/026610 US2004026610W WO2005024094A2 WO 2005024094 A2 WO2005024094 A2 WO 2005024094A2 US 2004026610 W US2004026610 W US 2004026610W WO 2005024094 A2 WO2005024094 A2 WO 2005024094A2
Authority
WO
WIPO (PCT)
Prior art keywords
depositing
silicon oxide
undoped silicon
flow rate
gas
Prior art date
Application number
PCT/US2004/026610
Other languages
French (fr)
Other versions
WO2005024094A3 (en
Inventor
Ziaul M. Karim
Dongquin Li
Jeong Soo Byun
Thanh N. Pham
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2005024094A2 publication Critical patent/WO2005024094A2/en
Publication of WO2005024094A3 publication Critical patent/WO2005024094A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Definitions

  • CVD chemical vapor deposition
  • RF radio-frequency
  • HDP high-density-plasma
  • any of these CVD techniques may be used to deposit conductive or insulative films during the fabrication of integrated circuits.
  • one important physical property of the CVD film is its ability to fill gaps completely between adjacent structures without leaving voids; this property is referred to as the film's gapfill capability. Gaps that may require filling include spaces between adjacent raised structures such as transistor gates, conductive lines, etched trenches, or the like.
  • Gaps having a combination of high aspect ratio and a small width present a particular challenge for semiconductor manufacturers to fill completely.
  • the challenge usually is to prevent the deposited film from growing in a manner that closes off the gap before it is filled. Failure to fill the gap completely results in the formation of voids in the deposited layer, which may adversely affect device operation such as by trapping undesirable impurities.
  • the semiconductor industry has accordingly been searching aggressively for techniques that may improve gapfill capabilities, particularly with high- aspect-ratio small-width gaps.
  • Embodiments of the invention thus provide a process for depositing an undoped silicon oxide film on a substrate that provides good gapfill characteristics.
  • the process comprises an in situ etch-assisted deposition process in which the etchant is provided by SiF , together with H 2 to control the deposition to etch ratio, to reduce fluorine concentration in the deposited film, and to provide other benefits.
  • An HDP CVD process is used in which the temperature of the substrate is allowed to be sufficiently high to prevent formation of a fluorinated silicon oxide layer.
  • a method for depositing an undoped silicon oxide film on a substrate disposed in a process chamber.
  • a process gas comprising SiF 4 , H 2 , a silicon source, and an oxidizing gas reactant is flowed into the process chamber.
  • a plasma having an ion density of at least 10 11 ions/cm 3 is formed from the process gas.
  • the undoped silicon oxide film is deposited over the substrate with the plasma using a process that has simultaneous deposition and sputtering components.
  • a temperature of the substrate during such depositing is greater than 450 °C.
  • the temperature of the substrate may be substantially between 500 and 800 °C, while in other such embodiments, the temperature of the substrate may be substantially between 700 and 800 °C.
  • the silicon source may comprise SiH , in which case a ratio of a flow rate of SiF 4 to a flow rate of SiH 4 may be substantially between 0.5 and 3.0.
  • the oxidizing gas reactant may comprise O 2 .
  • the flow rate of H 2 may be less than 1500 seem. More generally, the flow rate of O may be greater than a factor times a sum of the flow rate of SiF 4 and the flow rate of SiH ⁇ .
  • the process gas may also comprise an inert gas, such as He or Ar.
  • Deposition of the silicon oxide film may form part of a multideposition process, such as a dep/etch/dep process.
  • the undoped silicon oxide film may be a first portion of an undoped silicon oxide layer, with the method further comprising depositing a second portion of the undoped silicon oxide layer over the substrate.
  • One of the first and second portions of the undoped silicon oxide layer is etched between depositing the undoped silicon oxide film and depositing the second portion of the undoped silicon oxide layer.
  • depositing the second portion of the undoped silicon oxide layer is performed before the etching and depositing the undoped silicon oxide film is performed after the etching.
  • Depositing the second portion of the undoped silicon oxide layer may be performed similarly to depositing the undoped silicon oxide film, i.e. by flowing a second process gas comprising SiF , H 2 , the silicon source, and the oxidizing gas reactant, with a second plasma being formed from the second process gas to deposit the second portion at a temperature greater than 450 °C.
  • FIGs. 1 A and IB are schematic cross-sectional drawings illustrating the formation of a void during a gapfill process
  • FIGs. 2A and 2B are flow diagrams illustrating methods for depositing an undoped silicon oxide film or layer to fill a gap in embodiments of the invention
  • FIG. 3 provides schematic cross-sectional drawings illustrating how a high- aspect-ratio feature may be filled using a dep/etch/dep process according to an embodiment of the invention
  • FIG. 4A is a simplified diagram of one embodiment of a high-density-plasma chemical-vapor deposition system according to the present invention
  • Fig. 4B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of Fig. 4A;
  • Fig. 4C is a simplified diagram of a monitor and light pen that may be used in conjunction with the exemplary CVD processing chamber of Fig. 4A;
  • Fig. 4D is a flow chart of an exemplary process control computer program product used to control the exemplary CVD processing chamber of Fig. 4A;
  • FIGs. 5A and 5B present micrograph images from a first experiment illustrating the gapfill capabilities of embodiments of the invention.
  • FIGs. 6A and 6B present micrograph images from a second experiment illustrating the gapfill capabilities of embodiments of the invention.
  • Embodiments of the invention pertain to a high-density-plasma chemical- vapor-deposition ("HDP-CVD") process for depositing undoped silicon oxide films or layers in shallow trench isolation ("STI"), premetal dielectric (“PMD”), intermetal dielectric (“LMD”), and other applications.
  • HDP-CVD high-density-plasma chemical- vapor-deposition
  • STI shallow trench isolation
  • PMD premetal dielectric
  • LMD intermetal dielectric
  • USG unundoped silicate glass
  • Embodiments of the invention permit the dielectric material to be deposited with substantially 100% gapfill for integrated circuits having minimum feature sizes of 0.10 ⁇ m or less; bottom- up gapfill may be achieved inside very aggressive trenches having aspect ratios greater than 5.5:1.
  • film and “layer” are intended to refer interchangeably to a thickness of material, although in describing embodiments in which material is deposited with interleaved deposition and etching steps, the completed structure is sometimes referred to as a layer, with the material deposited in each deposition step referred to as a film comprised by that layer.
  • Processes that include interleaved deposition and etching steps are sometimes referred to as “deposition/etch/deposition” or “dep/etch/dep” processes.
  • Fig. 1 A shows a vertical cross section of a substrate 110, such as may be provided with a semiconductor wafer, having a layer of features 120. Adjacent features define gaps 114 that are to be filled with dielectric material 118, with the sidewalls 116 of the gaps being defined by the surfaces of the features 120. As the deposition proceeds, dielectric material 118 accumulates on the surfaces of the features 120, as well as on the substrate 110 and forms overhangs 122 at the corners 124 of the features 120.
  • the overhangs 122 typically grow faster than the gap 114 in a characteristic breadloafmg fashion. Eventually, the overhangs 122 grow together to form the dielectric layer 126 shown in Fig. IB, preventing deposition into an interior void 128.
  • CVD deposition of undoped silicon oxide films typically proceeds by flowing a process gas into a process chamber with the process gas comprising a silicon source and an oxidizing gas reactant.
  • the silicon source typically comprises a silane such as SiH and the oxidizing gas reactant typically comprises O 2 .
  • an inert gas such as Ar may also be included as a fluent gas.
  • Improved gapfill characteristics have generally been achieved using HDP-CVD because the high density of ionic species in the plasma during an HDP- CVD process causes there to be sputtering of a film even while it is being deposited. This combination of simultaneous sputtering and deposition tends to keep the gap open during deposition, although there remain limits to gapfill capability with such processes.
  • H 2 during the deposition combine synergistically to improve gapfill capability of HDP-CVD deposition of undoped silicon oxide.
  • the supply of fluorine ions in the plasma by including SiF 4 acts as an in situ etchant to complement the natural sputtering of the HDP-CVD process, but minimizes aggressive components of the etching.
  • aggressive components which are produced when nitrofiuorinated etching gases such as NF 3 or carbofluorinated etching gases such as C 2 F 6 , C F 8 , or CF 4 , are used as in situ etchants are undesirable because they may cause corner clipping of structural features defining the gap being filled.
  • Such corner clipping occurs when the aggressive etch acts at regions of the gap profile where deposition components are relatively low, e.g. at the top corners of the gap profile, and may cause significant damage to structural features that define the gap.
  • structural features may include a thin SiN or SiC liner that may be used in some processes to line a gap or trench.
  • the use of SiF 4 reduces such aggressive etch components and reduces the possibility of comer clipping even while providing good bottom-up gapfill capabilities for appropriate relative flow rates of the SiF 4 and silicon source as described below.
  • the use of H 2 also acts to reduce the possibility of corner clipping by controlling the relative deposition-to-etch ratio of the process gas.
  • the H 2 also reduces the concentration of fluorine atoms in the plasma to control aggressive etch components and also acts to reduce the incorporation of fluorine into the deposited film.
  • the H 2 acts to reduce redeposition of fluorine-rich material and eliminates the accumulation of excess fluorine at redeposition sites that is typical of in situ etch-assisted ("ISEA") processes. It also reduces the possibility of metal contamination in the film that may result from etching of material from the process chamber, such as Al contamination.
  • ISEA in situ etch-assisted
  • the process gas comprising SiF 4 , H 2 , the silicon source, and the oxidizing gas reactant are flowed into the process chamber.
  • Suitable flow rates for the different precursor gases may vary depending on specific applications and on the design of the chamber in which the deposition is performed.
  • the silicon source comprises SiH 4
  • the oxidizing gas reactant comprises O 2
  • the flow rate J r (O 2 ) may be between about 15 and 100 sccm; for O 2 , the flow rate J r (O 2 ) may be between about
  • the flow rate F(SiF 4 ) may be between about 15 and 100 sccm;
  • the flow rate J- " (H 2 ) may be between about 50 and 1000 sccm.
  • the flow rate for SiF 4 divided by the flow rate for SiH 4 , J ' (SiF 4 )/ . (SiH 4 ) is between about 0.5 and 3.0.
  • the flow rates for SiF 4 and for SiH 4 are substantially equal.
  • the flow rate for O 2 may be related both to the flow rate of H 2 and to the combined flow rate of SiF 4 and SiH 4 as follows:
  • ⁇ (O 2 ) ⁇ [ ⁇ (H 2 )][ ⁇ (SiF 4 ) + ⁇ (SiH 4 )],
  • the factor ⁇ varies depending on the flow rate of H 2 .
  • the factor ⁇ may be less than about 1.8, but when the flow rate of H 2 is high, i.e. greater than about 300 sccm, the factor ⁇ may be between 1.8 and 3.0.
  • the variation in the factor ⁇ reflects the fact that higher O 2 flow rates are appropriate relative to the total SiF 4 and SiH flow rates when the H 2 flow rate is increased.
  • an inert gas may also be supplied as a component of the process gas, such as a flow of He, Ne, or Ar.
  • the level of sputtering provided by the H 2 or the inert gas is inversely related to their molecular or atomic mass, with H 2 being used because it provides even less sputtering that He.
  • Inclusion of an inert gas with the H 2 may, however, provides better deposition uniformity than use of H 2 alone and may permit a significant cost saving. These benefits are realized even where the amount of H 2 used in the premixture is significantly greater than the amount of the inert gas.
  • the premixture comprises greater than 95 wt.% H 2 and in another embodiment comprises greater than 99 wt.% H 2 .
  • a high-density plasma is formed in the process chamber from the process gas.
  • the ion density of such a high-density plasma is generally greater than 10 n ions/cm .
  • the substrate temperature is allowed to reach a relatively high temperature, i.e. greater than 450 °C as indicated at block 216. Such a high temperature may be provided by the plasma with relatively little, if any, cooling of the substrate. In some embodiments, the temperature of the substrate is allowed to remain substantially between 500 and 800 °C or substantially between 700 and 800 °C during deposition.
  • Such a high temperature results in relatively little fluorine being incorporated into the film when the film is deposited with the plasma using the HDP-CVD process as indicated at block 216.
  • the fluorine concentration in the resulting film is generally less than 1.0 at.% and may be as low as 0.008 at.%. In this way, an undoped silicon oxide film may be deposited, even though the chemistry of the reaction is similar to a chemistry that maybe used in other HDP-CVD processes to deposit fluorinated silicon oxide.
  • Such fluorinated silicon oxide films are usually formed with a substrate temperature of about 350 °C, typically have a much higher fluorine concentration in the range of 4 - 8 at.%, and do not enjoy the benefits of improved bottom-up gapfill provided by the combination of limitations disclosed herein. It should thus be recognized that as used herein, an "undoped silicon oxide film" may comprise some impurities in addition to the silicon and oxygen that make up the film, but that the concentration of such impurities is small, hi particular, the fluorine concentration is less than 1.0 at.%. [0027] The specific order of the blocks shown in Fig. 2 A is not intended to be restrictive and in other embodiments, the corresponding steps may be performed in an alternative order.
  • allowing the temperature to reach a temperature greater than 450 °C as indicated at block 216 may be performed prior to flowing the gas reactants into the process chamber at block 204 and/or prior to forming the high-density plasma as indicated at block 208.
  • the inclusion of specific blocks in Fig. 2 A is not intended to be restrictive since additional steps may be performed in alternative embodiments.
  • the film deposition described in connection with Fig. 2A may correspond to one deposition step in a dep/etch/dep process.
  • a dep/etch/dep process is illustrated with the flow diagram of Fig. 2B, in which deposition of first and second portions of the undoped silicon oxide as indicated at blocks 240 and 248 are separated by an intermediate etching of the first portion at block 244.
  • Such etching may be performed in situ or remotely, hi some instances, the etching may be also be preceded by a cooling step to lower the temperature of the substrate below about 250 °C and thereby provide better etch control.
  • Such cooling may be performed, for example, by helium backside cooling of the substrate, among other methods.
  • a nitrofluorinated gas such as NF 3 or a carbofluorinated gas such as C 2 F 6 , C 3 F 8 , or CF 4 , is flowed into the process chamber.
  • a nitrofluorinated gas such as NF 3 or a carbofluorinated gas such as C 2 F 6 , C 3 F 8 , or CF 4 .
  • the process conditions for the first deposition may result in the formation of a significant cusp 308, as shown for intermediate structure 302, with dielectric material being deposited more thickly near the corners of the underlying structures than on the sidewalls.
  • Structure 302 may result from deposition of a first film using the method described in connection with Fig. 2A.
  • the cusp feature is protective during the subsequent etching step, which results in structure 303. Performing the etch anisotropically, such as by applying a bias during a reactive etch, helps to shape the deposited layer 310 so that the basic shape of the original features 300 are retained, but are less severe, with the comers of the underlying structures remaining unexposed.
  • the features 300 may then be filled completely with dielectric material 312, such as shown schematically with structure 304.
  • FIG. 4A schematically illustrates the structure of such an HDP-CVD system 410 in one embodiment.
  • the system 410 includes a chamber 413, a vacuum system 470, a source plasma system 480A, a bias plasma system 480B, a gas delivery system 433, and a remote plasma cleaning system 450.
  • the upper portion of chamber 413 includes a dome 414, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 414 defines an upper boundary of a plasma processing region 416. Plasma processing region 416 is bounded on the bottom by the upper surface of a substrate 417 and a substrate support member 418.
  • a heater plate 423 and a cold plate 424 surmount, and are thermally coupled to, dome 414.
  • Heater plate 423 and cold plate 424 allow control of the dome temperature to within about ⁇ 10 °C over a range of about 100 °C to 200 °C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • the lower portion of chamber 413 includes a body member 422, which joins the chamber to the vacuum system.
  • a base portion 421 of substrate support member 418 is mounted on, and forms a continuous inner surface with, body member 422.
  • Substrates are transferred into and out of chamber 413 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 413.
  • Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 457 to a lower processing position 456 in which the substrate is placed on a substrate receiving portion 419 of substrate support member 418.
  • Substrate receiving portion 419 includes an electrostatic chuck 420 that secures the substrate to substrate support member 418 during substrate processing.
  • substrate support member 418 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 470 includes throttle body 425, which houses twin-blade throttle valve 426 and is attached to gate valve 427 and turbo-molecular pump 428. It should be noted that throttle body ⁇ 25 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 427 can isolate pump 428 from throttle body 425, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 426 is fully open. The arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
  • the source plasma system 480A includes a top coil 429 and side coil 430, mounted on dome 414.
  • a symmetrical ground shield (not shown) reduces electrical coupling between the coils.
  • Top coil 429 is powered by top source RF (SRF) generator 431 A, whereas side coil 430 is powered by side SRF generator 43 IB, allowing independent power levels and frequencies of operation for each coil.
  • SRF source RF
  • This dual coil system allows control of the radial ion density in chamber 413, thereby improving plasma uniformity.
  • Side coil 430 and top coil 429 are typically inductively driven, which does not require a complimentary electrode.
  • the top source RF generator 431 A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 43 IB provides up to 5,000 watts of RF power at nominally 2 MHz.
  • the operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • a bias plasma system 480B includes a bias RF (“BRF") generator 431 C and a bias matching network 432C.
  • the bias plasma system 480B capacitively couples substrate portion 417 to body member 422, which act as complimentary electrodes.
  • the bias plasma system 480B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 480A to the surface of the substrate.
  • bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • RF generators 431 A and 431 B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art.
  • RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator.
  • the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 432A and 432B match the output impedance of generators
  • the RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes.
  • the RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit.
  • One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition. [0040] Other measures may also help stabilize a plasma.
  • a gas delivery system 433 provides gases from several sources, 434A - 434E chamber for processing the substrate via gas delivery lines 438 (only some of which are shown).
  • gas delivery lines 438 only some of which are shown.
  • the actual sources used for sources 434A - 434E and the actual connection of delivery lines 438 to chamber 413 varies depending on the deposition and cleaning processes executed within chamber 413. Gases are introduced into chamber 413 through a gas ring 437 and/or a top nozzle 445.
  • Fig. 4B is a simplified, partial cross-sectional view of chamber 413 showing additional details of gas ring 437.
  • first and second gas sources, 434A and 434B, and first and second gas flow controllers, 435 A' and 435B' provide gas to ring plenum 436 in gas ring 437 via gas delivery lines 438 (only some of which are shown).
  • Gas ring 437 has a plurality of source gas nozzles 439 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber.
  • gas ring 437 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 437 also has a plurality of oxidizer gas nozzles 440 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 439, and in one embodiment receive gas from body plenum 441. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 413. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 413 by providing apertures (not shown) between body plenum 441 and gas ring plenum 436.
  • third, fourth, and fifth gas sources, 434C, 434D, and 434D', and third and fourth gas flow controllers, 435C and 435D' provide gas to body plenum via gas delivery lines 438. Additional valves, such as 443B (other valves not shown), may shut off gas from the flow controllers to the chamber.
  • valve 443B to isolate chamber 413 from delivery line 438 A and to vent delivery line 438 A to vacuum foreline 444, for example.
  • valve 443A and 443C may be incorporated on other gas delivery lines.
  • Such three-way valves may be placed as close to chamber 413 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber).
  • two-way (on-off) valves may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • MFC mass flow controller
  • chamber 413 also has top nozzle 445 and top vent
  • Top nozzle 445 and top vent 446 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters.
  • Top vent 446 is an annular opening around top nozzle 445.
  • first gas source 434A supplies source gas nozzles 439 and top nozzle 445.
  • Source nozzle MFC 435 A' controls the amount of gas delivered to source gas nozzles 439 and top nozzle MFC 435 A controls the amount of gas delivered to top gas nozzle 445.
  • two MFCs 435B and 435B' may be used to control the flow of oxygen to both top vent 446 and oxidizer gas nozzles 440 from a single source of oxygen, such as source 434B.
  • top nozzle 445 and top vent 446 may be kept separate prior to flowing the gases into chamber 413, or the gases may be mixed in top plenum 448 before they flow into chamber 413. Separate sources of the same gas may be used to supply various portions of the chamber.
  • a remote microwave-generated plasma cleaning system 450 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave generator 451 that creates a plasma from a cleaning gas source 434E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 453.
  • a cleaning gas source 434E e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents
  • the reactive species resulting from this plasma are conveyed to chamber 413 through cleaning gas feed port 454 via applicator tube 455.
  • the materials used to contain the cleaning plasma e.g., cavity 453 and applicator tube 455) must be resistant to attack by the plasma.
  • the distance between reactor cavity 453 and feed port 454 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 453.
  • this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 413.
  • the etchant gas is provided directly to the process chamber 413.
  • multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
  • System controller 460 controls the operation of system 410.
  • controller 460 includes a memory 462, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 461.
  • the card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown).
  • SBC single-board computer
  • the system controller conforms to the Versa Modular European (“VME”) standard, which defines board, card cage, and connector dimensions and types.
  • the VME standard also defines the bus structure as having a 16-bit data bus and 24-bit address bus.
  • System controller 431 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk.
  • the computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process.
  • the interface between a user and the system controller is via a monitor, such as a cathode ray tube ("CRT") 465, and a light pen 466, as depicted in Fig. 4C.
  • CTR cathode ray tube
  • Fig. 4C is an illustration of a portion of an exemplary system user interface used in conjunction with the exemplary CVD processing chamber of Fig. 4 A.
  • System controller 460 includes a processor 461 coupled to a computer-readable memory 462.
  • memory 462 may be a hard disk drive, but memory 462 may be other kinds of memory, such as ROM, PROM, and others.
  • System controller 460 operates under the control of a computer program 463 stored in a computer-readable format within memory 462.
  • the computer program dictates the timing, temperatures, gas flows, RF power levels and other parameters of a particular process.
  • the interface between a user and the system controller is via a CRT monitor 465 and a light pen 466, as depicted in Fig. 4C.
  • two monitors, 465 and 465A, and two light pens, 466 and 466A are used, one mounted in the clean room wall (665) for the operators and the other behind the wall (665A) for the service technicians. Both monitors simultaneously display the same information, but only one light pen (e.g. 466) is enabled.
  • the operator touches an area of the display screen and pushes a button (not shown) on the pen. The touched area confirms being selected by the light pen by changing its color or displaying a new menu, for example.
  • the computer program code can be written in any conventional computer-readable programming language such as 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and is stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code causing the computer system to load the code in memory. The CPU reads the code from memory and executes the code to perform the tasks identified in the program.
  • a computer-usable medium such as a memory system of the computer.
  • Fig. 4D shows an illustrative block diagram of the hierarchical control structure of computer program 500.
  • a user enters a process set number and process chamber number into a process selector subroutine 510 in response to menus or screens displayed on the CRT monitor by using the light pen interface.
  • the process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • Process selector subroutine 510 identifies (i) the desired process chamber in a multichamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to conditions such as process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe.
  • the parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.
  • the signals for monitoring the process are provided by the analog and digital input boards of system controller 460, and the signals for controlling the process are output on the analog and digital output boards of system controller 460.
  • a process sequencer subroutine 520 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 510 and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a single user can enter multiple process set numbers and process chamber numbers; sequencer subroutine 520 schedules the selected processes in the desired sequence.
  • sequencer subroutine 520 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 520 can be designed to take into consideration the "age" of each particular user-entered request, or the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • sequencer subroutine 520 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 520 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 530A- 530C, which controls multiple processing tasks in chamber 413 and possibly other chambers (not shown) according to the process set sent by sequencer subroutine 520.
  • chamber component subroutines are substrate positioning subroutine 540, process gas control subroutine 550, pressure control subroutine 560, and plasma control subroutine 570.
  • chamber manager subroutine 530A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • Chamber manager subroutine 530A schedules process component subroutines in the same mamier that sequencer subroutine 520 schedules the process chamber and process set to execute.
  • chamber manager subroutine 530A includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Substrate positioning subroutine 540 comprises program code for controlling chamber components that are used to load a substrate onto substrate support number 418. Substrate positioning subroutine 540 may also control transfer of a substrate into chamber 413 from, e.g., a plasma-enhanced CVD ("PECVD") reactor or other reactor in the multi-chamber system, after other processing has been completed.
  • PECVD plasma-enhanced CVD
  • Process gas control subroutine 550 has program code for controlling process gas composition and flow rates. Subroutine 550 controls the open/close position of the safety shut-off valves and also ramps up/ramps down the mass flow controllers to obtain the desired gas flow rates. All chamber component subroutines, including process gas control subroutine 550, are invoked by chamber manager subroutine 530A. Subroutine 550 receives process parameters from chamber manager subroutine 530A related to the desired gas flow rates.
  • process gas control subroutine 550 opens the gas supply lines, and repeatedly (i) reads the necessary mass flow controllers, (ii) compares the readings to the desired flow rates received from chamber manager subroutine 530A, and (iii) adjusts the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 550 may include steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • an inert gas such as argon
  • the process gas control subroutine 550 is programmed to include steps for flowing the inert gas into chamber 413 for an amount of time necessary to stabilize the pressure in the chamber. The steps described above may then be carried out.
  • the process gas control subroutine 550 may include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly or for introducing the helium to a liquid injection valve.
  • a delivery gas such as helium
  • the process gas control subroutine 550 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature to obtain the desired process gas flow rates.
  • the desired process gas flow rates are transferred to process gas control subroutine 550 as process parameters.
  • the process gas control subroutine 550 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
  • the process gas control subroutine 550 may also control the flow of heat- transfer gas, such as helium (He), through the inner and outer passages in the wafer chuck with an independent helium control (IHC) subroutine (not shown).
  • the gas flow thermally couples the substrate to the chuck.
  • the wafer is heated by the plasma and the chemical reactions that form the layer, and the He cools the substrate through the chuck, which may be water-cooled. This keeps the substrate below a temperature that may damage preexisting features on the substrate.
  • Pressure control subroutine 460 includes program code for controlling the pressure in chamber 413 by regulating the size of the opening of throttle valve 426 in the exhaust portion of the chamber.
  • the first method relies on characterizing the chamber pressure as it relates to, among other things, the total process gas flow, the size of the process chamber, and the pumping capacity.
  • the first method sets throttle valve 426 to a fixed position. Setting throttle valve 426 to a fixed position may eventually result in a steady-state pressure.
  • the chamber pressure may be measured, with a manometer for example, and the position of throttle valve 426 maybe adjusted according to pressure control subroutine 560, assuming the control point is within the boundaries set by gas flows and exhaust capacity.
  • the former method may result in quicker chamber pressure changes, as the measurements, comparisons, and calculations associated with the latter method are not invoked.
  • the former method may be desirable where precise control of the chamber pressure is not required, whereas the latter method may be desirable where an accurate, repeatable, and stable pressure is desired, such as during the deposition of a layer.
  • pressure control subroutine 560 When pressure control subroutine 560 is invoked, the desired, or target, pressure level is received as a parameter from chamber manager subroutine 530A. Pressure control subroutine 560 measures the pressure in chamber 413 by reading one or more conventional pressure manometers connected to the chamber; compares the measured value(s) to the target pressure; obtains proportional, integral, and differential (PID) values from a stored pressure table corresponding to the target pressure, and adjusts throttle valve 426 according to the PID values obtained from the pressure table. Alternatively, pressure control subroutine 560 may open or close throttle valve 426 to a particular opening size to regulate the pressure in chamber 413 to a desired pressure or pressure range.
  • PID proportional, integral, and differential
  • Plasma control subroutine 570 comprises program code for controlling the frequency and power output setting of RF generators 431 A and 43 IB and for tuning matching networks 432A and 432B.
  • Plasma control subroutine 570 like the previously described chamber component subroutines, is invoked by chamber manager subroutine 530A.
  • FIG. 5 A provides a micrograph for a process using SiH 4 , NF 3 , and O 2 for the process gas, in which the gap was not adequately filled.
  • the micrograph in Fig. 5B shows the results using the SiH , SiF , O 2 , and H 2 process gas defined by the table, in which the gapfill capability is good.
  • the fluorine concentration in the film produced with the process using the table parameters was less than 1.0 at.%.
  • the methods of the invention may thus be used in a variety of undoped silicon oxide gapfill applications, including shallow-trench-isolation and premetal-dielectric gapfill applications.
  • Micrographs provided in Figs. 6 A and 6B show that substantially 100% gapfill is achieved for the 5.0:1 -aspect-ratio gap.
  • the micrographs in Figs. 6A and 6B show results using the SiH , SiF , O 2 , and H 2 process gas defined by the table, in which the gapfill capability is good with no comer clipping.
  • the fluorine concentration in the film produced with the process using the table parameters was less than 1.0 at.%.
  • the methods of the invention may thus be used in a variety of undoped silicon oxide gapfill applications, including shallow-trench-isolation and premetal-dielectric gapfill applications.

Abstract

A process is provided for depositing an undoped silicon oxide film on a substrate disposed in a process chamber. A process gas that includes SiF4, H2, a silicon source, and an oxidizing gas reactant is flowed into the process chamber. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas. The undoped silicon oxide film is deposited over the substrate with the plasma using a process that has simultaneous deposition and sputtering components. A temperature of the substrate during such depositing is greater than 450 °C.

Description

IN-SITU-ETCH-ASSISTED HDP DEPOSITION USING SIF4 AND HYDROGEN
BACKGROUND OF THE INVENTION
[0001] One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin film on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to generally as chemical vapor deposition ("CVD"). Conventional thermal CVD processes supply reactive gases to the substrate surface, where heat-induced chemical reactions take place to produce a desired film. Plasma-enhanced CVD ("PECVD") techniques, on the other hand, promote excitation and/or dissociation of the reactant gases by the application of radio-frequency ("RF") energy to a reaction zone near the substrate surface, thereby creating a plasma. The high reactivity of the species in the plasma reduces the energy required for a chemical reaction to take place, and thus lowers the temperature required for such CVD processes as compared with conventional thermal CVD processes. These advantages are further exploited by high-density-plasma ("HDP") CVD techniques, in which a dense plasma is formed at low vacuum pressures so that the plasma species are even more reactive.
[0002] Any of these CVD techniques may be used to deposit conductive or insulative films during the fabrication of integrated circuits. For applications such as the deposition of insulating films as pre etal or intermetal dielectric layers in an integrated circuit or for shallow trench isolation, one important physical property of the CVD film is its ability to fill gaps completely between adjacent structures without leaving voids; this property is referred to as the film's gapfill capability. Gaps that may require filling include spaces between adjacent raised structures such as transistor gates, conductive lines, etched trenches, or the like.
[0003] As semiconductor device geometries have decreased in size over the years, the ratio of the height of such gaps to their width, the so-called "aspect ratio," has increased dramatically. Gaps having a combination of high aspect ratio and a small width present a particular challenge for semiconductor manufacturers to fill completely. In short, the challenge usually is to prevent the deposited film from growing in a manner that closes off the gap before it is filled. Failure to fill the gap completely results in the formation of voids in the deposited layer, which may adversely affect device operation such as by trapping undesirable impurities. The semiconductor industry has accordingly been searching aggressively for techniques that may improve gapfill capabilities, particularly with high- aspect-ratio small-width gaps.
BRIEF SUMMARY OF THE INVENTION
[0004] Embodiments of the invention thus provide a process for depositing an undoped silicon oxide film on a substrate that provides good gapfill characteristics. The process comprises an in situ etch-assisted deposition process in which the etchant is provided by SiF , together with H2 to control the deposition to etch ratio, to reduce fluorine concentration in the deposited film, and to provide other benefits. An HDP CVD process is used in which the temperature of the substrate is allowed to be sufficiently high to prevent formation of a fluorinated silicon oxide layer.
[0005] Thus, in embodiments of the invention, a method is provided for depositing an undoped silicon oxide film on a substrate disposed in a process chamber. A process gas comprising SiF4, H2, a silicon source, and an oxidizing gas reactant is flowed into the process chamber. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas. The undoped silicon oxide film is deposited over the substrate with the plasma using a process that has simultaneous deposition and sputtering components. A temperature of the substrate during such depositing is greater than 450 °C.
[0006] In some such embodiments, the temperature of the substrate may be substantially between 500 and 800 °C, while in other such embodiments, the temperature of the substrate may be substantially between 700 and 800 °C. The silicon source may comprise SiH , in which case a ratio of a flow rate of SiF4 to a flow rate of SiH4 may be substantially between 0.5 and 3.0. The oxidizing gas reactant may comprise O2. In some such instances, the flow rate of H2 may be less than 1500 seem. More generally, the flow rate of O may be greater than a factor times a sum of the flow rate of SiF4 and the flow rate of SiHψ. This factor is less than about 1.8 for a flow rate of H2 to the process chamber less than about 300 sccm and is between about 1.8 and 3.0 for a flow rate of H2 to the process chamber greater than about 300 sccm. In some embodiments, the process gas may also comprise an inert gas, such as He or Ar.
[0007] Deposition of the silicon oxide film may form part of a multideposition process, such as a dep/etch/dep process. In such an instance, the undoped silicon oxide film may be a first portion of an undoped silicon oxide layer, with the method further comprising depositing a second portion of the undoped silicon oxide layer over the substrate. One of the first and second portions of the undoped silicon oxide layer is etched between depositing the undoped silicon oxide film and depositing the second portion of the undoped silicon oxide layer. In one embodiment, depositing the second portion of the undoped silicon oxide layer is performed before the etching and depositing the undoped silicon oxide film is performed after the etching. Depositing the second portion of the undoped silicon oxide layer may be performed similarly to depositing the undoped silicon oxide film, i.e. by flowing a second process gas comprising SiF , H2, the silicon source, and the oxidizing gas reactant, with a second plasma being formed from the second process gas to deposit the second portion at a temperature greater than 450 °C.
[0008] A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] Figs. 1 A and IB are schematic cross-sectional drawings illustrating the formation of a void during a gapfill process;
[0010] Figs. 2A and 2B are flow diagrams illustrating methods for depositing an undoped silicon oxide film or layer to fill a gap in embodiments of the invention;
[0011] Fig. 3 provides schematic cross-sectional drawings illustrating how a high- aspect-ratio feature may be filled using a dep/etch/dep process according to an embodiment of the invention;
[0012] Fig. 4A is a simplified diagram of one embodiment of a high-density-plasma chemical-vapor deposition system according to the present invention; [0013] Fig. 4B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of Fig. 4A;
[0014] Fig. 4C is a simplified diagram of a monitor and light pen that may be used in conjunction with the exemplary CVD processing chamber of Fig. 4A;
[0015] Fig. 4D is a flow chart of an exemplary process control computer program product used to control the exemplary CVD processing chamber of Fig. 4A;
[0016] Figs. 5A and 5B present micrograph images from a first experiment illustrating the gapfill capabilities of embodiments of the invention; and
[0017] Figs. 6A and 6B present micrograph images from a second experiment illustrating the gapfill capabilities of embodiments of the invention.
DETAILED DESCRIPTION OF THE INVENTION
[0018] Embodiments of the invention pertain to a high-density-plasma chemical- vapor-deposition ("HDP-CVD") process for depositing undoped silicon oxide films or layers in shallow trench isolation ("STI"), premetal dielectric ("PMD"), intermetal dielectric ("LMD"), and other applications. In the drawings, references to undoped silicon oxide are sometimes made with the acronym "USG," which refers to "undoped silicate glass." Embodiments of the invention permit the dielectric material to be deposited with substantially 100% gapfill for integrated circuits having minimum feature sizes of 0.10 μm or less; bottom- up gapfill may be achieved inside very aggressive trenches having aspect ratios greater than 5.5:1. As used herein, the terms "film" and "layer" are intended to refer interchangeably to a thickness of material, although in describing embodiments in which material is deposited with interleaved deposition and etching steps, the completed structure is sometimes referred to as a layer, with the material deposited in each deposition step referred to as a film comprised by that layer. Processes that include interleaved deposition and etching steps are sometimes referred to as "deposition/etch/deposition" or "dep/etch/dep" processes.
[0019] The gapfill problem addressed by embodiments of the invention is illustrated schematically with the cross-sectional views shown in Figs. 1 A and IB. Fig. 1 A shows a vertical cross section of a substrate 110, such as may be provided with a semiconductor wafer, having a layer of features 120. Adjacent features define gaps 114 that are to be filled with dielectric material 118, with the sidewalls 116 of the gaps being defined by the surfaces of the features 120. As the deposition proceeds, dielectric material 118 accumulates on the surfaces of the features 120, as well as on the substrate 110 and forms overhangs 122 at the corners 124 of the features 120. As deposition of the dielectric material 118 continues, the overhangs 122 typically grow faster than the gap 114 in a characteristic breadloafmg fashion. Eventually, the overhangs 122 grow together to form the dielectric layer 126 shown in Fig. IB, preventing deposition into an interior void 128.
[0020] CVD deposition of undoped silicon oxide films typically proceeds by flowing a process gas into a process chamber with the process gas comprising a silicon source and an oxidizing gas reactant. The silicon source typically comprises a silane such as SiH and the oxidizing gas reactant typically comprises O2. Sometimes an inert gas such as Ar may also be included as a fluent gas. Improved gapfill characteristics have generally been achieved using HDP-CVD because the high density of ionic species in the plasma during an HDP- CVD process causes there to be sputtering of a film even while it is being deposited. This combination of simultaneous sputtering and deposition tends to keep the gap open during deposition, although there remain limits to gapfill capability with such processes.
[0021] The inventors have discovered that the effects of including SiF4 and including
H2 during the deposition combine synergistically to improve gapfill capability of HDP-CVD deposition of undoped silicon oxide. The supply of fluorine ions in the plasma by including SiF4 acts as an in situ etchant to complement the natural sputtering of the HDP-CVD process, but minimizes aggressive components of the etching. Such aggressive components, which are produced when nitrofiuorinated etching gases such as NF3 or carbofluorinated etching gases such as C2F6, C F8, or CF4, are used as in situ etchants are undesirable because they may cause corner clipping of structural features defining the gap being filled. Such corner clipping occurs when the aggressive etch acts at regions of the gap profile where deposition components are relatively low, e.g. at the top corners of the gap profile, and may cause significant damage to structural features that define the gap. In some instances, such structural features may include a thin SiN or SiC liner that may be used in some processes to line a gap or trench. The use of SiF4 reduces such aggressive etch components and reduces the possibility of comer clipping even while providing good bottom-up gapfill capabilities for appropriate relative flow rates of the SiF4 and silicon source as described below. [0022] The use of H2 also acts to reduce the possibility of corner clipping by controlling the relative deposition-to-etch ratio of the process gas. In particular, the H2 also reduces the concentration of fluorine atoms in the plasma to control aggressive etch components and also acts to reduce the incorporation of fluorine into the deposited film. In addition, the H2 acts to reduce redeposition of fluorine-rich material and eliminates the accumulation of excess fluorine at redeposition sites that is typical of in situ etch-assisted ("ISEA") processes. It also reduces the possibility of metal contamination in the film that may result from etching of material from the process chamber, such as Al contamination.
[0023] The resulting process is summarized for one embodiment with the flow diagram shown in Fig. 2A. At block 204, the process gas comprising SiF4, H2, the silicon source, and the oxidizing gas reactant are flowed into the process chamber. Suitable flow rates for the different precursor gases may vary depending on specific applications and on the design of the chamber in which the deposition is performed. In an embodiment in which the silicon source comprises SiH4 and the oxidizing gas reactant comprises O2, suitable flow rates
Figure imgf000007_0001
for the chamber design described below
maybe between about 15 and 100 sccm; for O2, the flow rate Jr(O2) may be between about
25 and 500 sccm; for SiF4, the flow rate F(SiF4) may be between about 15 and 100 sccm; and
for H2, the flow rate J-"(H2) may be between about 50 and 1000 sccm.
[0024] While these ranges set forth broad parameters for the flow rates, it is generally desirable for the flow rates for each of the precursor gases not to be set independently, but to be determined relative to each other. For example, in one set of embodiments, the flow rate for SiF4 divided by the flow rate for SiH4, J'(SiF4)/ . (SiH4) is between about 0.5 and 3.0. In one such embodiment, the flow rates for SiF4 and for SiH4 are substantially equal. The flow rate for O2 may be related both to the flow rate of H2 and to the combined flow rate of SiF4 and SiH4 as follows:
^(O2) = α[^(H2)][^(SiF4) + ^(SiH4)],
where the factor α varies depending on the flow rate of H2. When the flow rate of H2 is low, i.e. less than about 300 sccm, the factor α may be less than about 1.8, but when the flow rate of H2 is high, i.e. greater than about 300 sccm, the factor α may be between 1.8 and 3.0. The variation in the factor α reflects the fact that higher O2 flow rates are appropriate relative to the total SiF4 and SiH flow rates when the H2 flow rate is increased.
[0025] In some embodiments, an inert gas may also be supplied as a component of the process gas, such as a flow of He, Ne, or Ar. The level of sputtering provided by the H2 or the inert gas is inversely related to their molecular or atomic mass, with H2 being used because it provides even less sputtering that He. Inclusion of an inert gas with the H2 may, however, provides better deposition uniformity than use of H2 alone and may permit a significant cost saving. These benefits are realized even where the amount of H2 used in the premixture is significantly greater than the amount of the inert gas. For example, in one embodiment, the premixture comprises greater than 95 wt.% H2 and in another embodiment comprises greater than 99 wt.% H2.
[0026] At block 208, a high-density plasma is formed in the process chamber from the process gas. The ion density of such a high-density plasma is generally greater than 10n ions/cm . In embodiments of the invention, the substrate temperature is allowed to reach a relatively high temperature, i.e. greater than 450 °C as indicated at block 216. Such a high temperature may be provided by the plasma with relatively little, if any, cooling of the substrate. In some embodiments, the temperature of the substrate is allowed to remain substantially between 500 and 800 °C or substantially between 700 and 800 °C during deposition. Such a high temperature results in relatively little fluorine being incorporated into the film when the film is deposited with the plasma using the HDP-CVD process as indicated at block 216. The fluorine concentration in the resulting film is generally less than 1.0 at.% and may be as low as 0.008 at.%. In this way, an undoped silicon oxide film may be deposited, even though the chemistry of the reaction is similar to a chemistry that maybe used in other HDP-CVD processes to deposit fluorinated silicon oxide. Such fluorinated silicon oxide films are usually formed with a substrate temperature of about 350 °C, typically have a much higher fluorine concentration in the range of 4 - 8 at.%, and do not enjoy the benefits of improved bottom-up gapfill provided by the combination of limitations disclosed herein. It should thus be recognized that as used herein, an "undoped silicon oxide film" may comprise some impurities in addition to the silicon and oxygen that make up the film, but that the concentration of such impurities is small, hi particular, the fluorine concentration is less than 1.0 at.%. [0027] The specific order of the blocks shown in Fig. 2 A is not intended to be restrictive and in other embodiments, the corresponding steps may be performed in an alternative order. For example, allowing the temperature to reach a temperature greater than 450 °C as indicated at block 216 may be performed prior to flowing the gas reactants into the process chamber at block 204 and/or prior to forming the high-density plasma as indicated at block 208. Furthermore, the inclusion of specific blocks in Fig. 2 A is not intended to be restrictive since additional steps may be performed in alternative embodiments.
[0028] In some embodiments of the invention, the film deposition described in connection with Fig. 2A may correspond to one deposition step in a dep/etch/dep process. Such a dep/etch/dep process is illustrated with the flow diagram of Fig. 2B, in which deposition of first and second portions of the undoped silicon oxide as indicated at blocks 240 and 248 are separated by an intermediate etching of the first portion at block 244. Such etching may be performed in situ or remotely, hi some instances, the etching may be also be preceded by a cooling step to lower the temperature of the substrate below about 250 °C and thereby provide better etch control. Such cooling may be performed, for example, by helium backside cooling of the substrate, among other methods. In certain in situ embodiments, a nitrofluorinated gas such as NF3 or a carbofluorinated gas such as C2F6, C3F8, or CF4, is flowed into the process chamber. Approximately 5 - 15% of the deposited thickness of the silicon oxide film may be removed during the etching step, although the amount removed may vary at different points according to the profile of the film. At least one of the deposition steps is performed with the deposition method described in connection with Fig. 2 A. In a specific embodiment, that deposition method is used for the second of the deposition steps 248 shown in Fig. 2B. The dashed arrow in Fig. 2B is intended to indicate that the interleaving of depositing and etching steps may continue indefinitely, with more interleaved steps being used for more aggressive gapfill applications.
[0029] In embodiments of the invention that use a dep/etch dep process, care is taken not to damage the underlying structures during the etching step 244. This may be accomplished with a combination of effects that include ensuring that sufficient material is deposited during the first deposition step 240 to protect the underlying structures and that the etching conditions during the etching step 244 do not etch away so much material that the stmctures are exposed. The patterns that result from process parameters that are used to achieve this combination of effects are illustrated schematically in Fig. 3. [0030] The initial substrate structure 301 is shown schematically as including features
300 that are to be filled with a dielectric material. The process conditions for the first deposition may result in the formation of a significant cusp 308, as shown for intermediate structure 302, with dielectric material being deposited more thickly near the corners of the underlying structures than on the sidewalls. Structure 302 may result from deposition of a first film using the method described in connection with Fig. 2A. The cusp feature is protective during the subsequent etching step, which results in structure 303. Performing the etch anisotropically, such as by applying a bias during a reactive etch, helps to shape the deposited layer 310 so that the basic shape of the original features 300 are retained, but are less severe, with the comers of the underlying structures remaining unexposed. When the final deposition is performed, the features 300 may then be filled completely with dielectric material 312, such as shown schematically with structure 304.
[0031] The methods described above may be implemented with a variety of HDP-
CVD systems, some of which are described in detail in connection with Figs. 4A- 4D. Fig. 4A schematically illustrates the structure of such an HDP-CVD system 410 in one embodiment. The system 410 includes a chamber 413, a vacuum system 470, a source plasma system 480A, a bias plasma system 480B, a gas delivery system 433, and a remote plasma cleaning system 450.
[0032] The upper portion of chamber 413 includes a dome 414, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 414 defines an upper boundary of a plasma processing region 416. Plasma processing region 416 is bounded on the bottom by the upper surface of a substrate 417 and a substrate support member 418.
[0033] A heater plate 423 and a cold plate 424 surmount, and are thermally coupled to, dome 414. Heater plate 423 and cold plate 424 allow control of the dome temperature to within about ± 10 °C over a range of about 100 °C to 200 °C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
[0034] The lower portion of chamber 413 includes a body member 422, which joins the chamber to the vacuum system. A base portion 421 of substrate support member 418 is mounted on, and forms a continuous inner surface with, body member 422. Substrates are transferred into and out of chamber 413 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 413. Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 457 to a lower processing position 456 in which the substrate is placed on a substrate receiving portion 419 of substrate support member 418. Substrate receiving portion 419 includes an electrostatic chuck 420 that secures the substrate to substrate support member 418 during substrate processing. In a preferred embodiment, substrate support member 418 is made from an aluminum oxide or aluminum ceramic material.
[0035] Vacuum system 470 includes throttle body 425, which houses twin-blade throttle valve 426 and is attached to gate valve 427 and turbo-molecular pump 428. It should be noted that throttle bodyό 25 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 427 can isolate pump 428 from throttle body 425, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 426 is fully open. The arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
[0036] The source plasma system 480A includes a top coil 429 and side coil 430, mounted on dome 414. A symmetrical ground shield (not shown) reduces electrical coupling between the coils. Top coil 429 is powered by top source RF (SRF) generator 431 A, whereas side coil 430 is powered by side SRF generator 43 IB, allowing independent power levels and frequencies of operation for each coil. This dual coil system allows control of the radial ion density in chamber 413, thereby improving plasma uniformity. Side coil 430 and top coil 429 are typically inductively driven, which does not require a complimentary electrode. In a specific embodiment, the top source RF generator 431 A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 43 IB provides up to 5,000 watts of RF power at nominally 2 MHz. The operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
[0037] A bias plasma system 480B includes a bias RF ("BRF") generator 431 C and a bias matching network 432C. The bias plasma system 480B capacitively couples substrate portion 417 to body member 422, which act as complimentary electrodes. The bias plasma system 480B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 480A to the surface of the substrate. In a specific embodiment, bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
[0038] RF generators 431 A and 431 B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator. Because the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
[0039] Matching networks 432A and 432B match the output impedance of generators
431 A and 43 IB with their respective coils 429 and 430. The RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes. The RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit. One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network, is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition. [0040] Other measures may also help stabilize a plasma. For example, the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer. [0041] A gas delivery system 433 provides gases from several sources, 434A - 434E chamber for processing the substrate via gas delivery lines 438 (only some of which are shown). As would be understood by a person of skill in the art, the actual sources used for sources 434A - 434E and the actual connection of delivery lines 438 to chamber 413 varies depending on the deposition and cleaning processes executed within chamber 413. Gases are introduced into chamber 413 through a gas ring 437 and/or a top nozzle 445. Fig. 4B is a simplified, partial cross-sectional view of chamber 413 showing additional details of gas ring 437.
[0042] In one embodiment, first and second gas sources, 434A and 434B, and first and second gas flow controllers, 435 A' and 435B', provide gas to ring plenum 436 in gas ring 437 via gas delivery lines 438 (only some of which are shown). Gas ring 437 has a plurality of source gas nozzles 439 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber. In a preferred embodiment, gas ring 437 has 12 source gas nozzles made from an aluminum oxide ceramic.
[0043] Gas ring 437 also has a plurality of oxidizer gas nozzles 440 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 439, and in one embodiment receive gas from body plenum 441. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 413. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 413 by providing apertures (not shown) between body plenum 441 and gas ring plenum 436. In one embodiment, third, fourth, and fifth gas sources, 434C, 434D, and 434D', and third and fourth gas flow controllers, 435C and 435D', provide gas to body plenum via gas delivery lines 438. Additional valves, such as 443B (other valves not shown), may shut off gas from the flow controllers to the chamber.
[0044] In embodiments where flammable, toxic, or corrosive gases are used, it may be desirable to eliminate gas remaining in the gas delivery lines after a deposition. This may be accomplished using a 3-way valve, such as valve 443B, to isolate chamber 413 from delivery line 438 A and to vent delivery line 438 A to vacuum foreline 444, for example. As shown in Fig. 4A, other similar valves, such as 443A and 443C, may be incorporated on other gas delivery lines. Such three-way valves may be placed as close to chamber 413 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber). Additionally, two-way (on-off) valves (not shown) may be placed between a mass flow controller ("MFC") and the chamber or between a gas source and an MFC.
[0045] Referring again to Fig. 4A, chamber 413 also has top nozzle 445 and top vent
446. Top nozzle 445 and top vent 446 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters. Top vent 446 is an annular opening around top nozzle 445. In one embodiment, first gas source 434A supplies source gas nozzles 439 and top nozzle 445. Source nozzle MFC 435 A' controls the amount of gas delivered to source gas nozzles 439 and top nozzle MFC 435 A controls the amount of gas delivered to top gas nozzle 445. Similarly, two MFCs 435B and 435B' may be used to control the flow of oxygen to both top vent 446 and oxidizer gas nozzles 440 from a single source of oxygen, such as source 434B. The gases supplied to top nozzle 445 and top vent 446 may be kept separate prior to flowing the gases into chamber 413, or the gases may be mixed in top plenum 448 before they flow into chamber 413. Separate sources of the same gas may be used to supply various portions of the chamber.
[0046] A remote microwave-generated plasma cleaning system 450 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave generator 451 that creates a plasma from a cleaning gas source 434E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 453. The reactive species resulting from this plasma are conveyed to chamber 413 through cleaning gas feed port 454 via applicator tube 455. The materials used to contain the cleaning plasma (e.g., cavity 453 and applicator tube 455) must be resistant to attack by the plasma. The distance between reactor cavity 453 and feed port 454 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 453. Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 420, do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process. In one embodiment, this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 413. In another embodiment, the etchant gas is provided directly to the process chamber 413. In still a further embodiment, multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
[0047] System controller 460 controls the operation of system 410. In a preferred embodiment, controller 460 includes a memory 462, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 461. The card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown). The system controller conforms to the Versa Modular European ("VME") standard, which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and 24-bit address bus. System controller 431 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk. The computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process. The interface between a user and the system controller is via a monitor, such as a cathode ray tube ("CRT") 465, and a light pen 466, as depicted in Fig. 4C.
[0048] Fig. 4C is an illustration of a portion of an exemplary system user interface used in conjunction with the exemplary CVD processing chamber of Fig. 4 A. System controller 460 includes a processor 461 coupled to a computer-readable memory 462. Preferably, memory 462 may be a hard disk drive, but memory 462 may be other kinds of memory, such as ROM, PROM, and others.
[0049] System controller 460 operates under the control of a computer program 463 stored in a computer-readable format within memory 462. The computer program dictates the timing, temperatures, gas flows, RF power levels and other parameters of a particular process. The interface between a user and the system controller is via a CRT monitor 465 and a light pen 466, as depicted in Fig. 4C. In a preferred embodiment, two monitors, 465 and 465A, and two light pens, 466 and 466A, are used, one mounted in the clean room wall (665) for the operators and the other behind the wall (665A) for the service technicians. Both monitors simultaneously display the same information, but only one light pen (e.g. 466) is enabled. To select a particular screen or function, the operator touches an area of the display screen and pushes a button (not shown) on the pen. The touched area confirms being selected by the light pen by changing its color or displaying a new menu, for example.
[0050] The computer program code can be written in any conventional computer-readable programming language such as 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and is stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code causing the computer system to load the code in memory. The CPU reads the code from memory and executes the code to perform the tasks identified in the program.
[0051] Fig. 4D shows an illustrative block diagram of the hierarchical control structure of computer program 500. A user enters a process set number and process chamber number into a process selector subroutine 510 in response to menus or screens displayed on the CRT monitor by using the light pen interface. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. Process selector subroutine 510 identifies (i) the desired process chamber in a multichamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to conditions such as process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing the light pen/CRT monitor interface.
[0052] The signals for monitoring the process are provided by the analog and digital input boards of system controller 460, and the signals for controlling the process are output on the analog and digital output boards of system controller 460.
[0053] A process sequencer subroutine 520 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 510 and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a single user can enter multiple process set numbers and process chamber numbers; sequencer subroutine 520 schedules the selected processes in the desired sequence. Preferably, sequencer subroutine 520 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, sequencer subroutine 520 can be designed to take into consideration the "age" of each particular user-entered request, or the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
[0054] After sequencer subroutine 520 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 520 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 530A- 530C, which controls multiple processing tasks in chamber 413 and possibly other chambers (not shown) according to the process set sent by sequencer subroutine 520.
[0055] Examples of chamber component subroutines are substrate positioning subroutine 540, process gas control subroutine 550, pressure control subroutine 560, and plasma control subroutine 570. Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are selected to be performed in chamber 413. In operation, chamber manager subroutine 530A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. Chamber manager subroutine 530A schedules process component subroutines in the same mamier that sequencer subroutine 520 schedules the process chamber and process set to execute. Typically, chamber manager subroutine 530A includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
[0056] Operation of particular chamber component subroutines will now be described with reference to Figs. 4A and 4D. Substrate positioning subroutine 540 comprises program code for controlling chamber components that are used to load a substrate onto substrate support number 418. Substrate positioning subroutine 540 may also control transfer of a substrate into chamber 413 from, e.g., a plasma-enhanced CVD ("PECVD") reactor or other reactor in the multi-chamber system, after other processing has been completed.
[0057] Process gas control subroutine 550 has program code for controlling process gas composition and flow rates. Subroutine 550 controls the open/close position of the safety shut-off valves and also ramps up/ramps down the mass flow controllers to obtain the desired gas flow rates. All chamber component subroutines, including process gas control subroutine 550, are invoked by chamber manager subroutine 530A. Subroutine 550 receives process parameters from chamber manager subroutine 530A related to the desired gas flow rates.
[0058] Typically, process gas control subroutine 550 opens the gas supply lines, and repeatedly (i) reads the necessary mass flow controllers, (ii) compares the readings to the desired flow rates received from chamber manager subroutine 530A, and (iii) adjusts the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 550 may include steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
[0059] In some processes, an inert gas, such as argon, is flowed into chamber 413 to stabilize the pressure in the chamber before reactive process gases are introduced. For these processes, the process gas control subroutine 550 is programmed to include steps for flowing the inert gas into chamber 413 for an amount of time necessary to stabilize the pressure in the chamber. The steps described above may then be carried out.
[0060] Additionally, when a process gas is to be vaporized from a liquid precursor, for example, tetraethylorthosilane (TEOS), the process gas control subroutine 550 may include steps for bubbling a delivery gas such as helium through the liquid precursor in a bubbler assembly or for introducing the helium to a liquid injection valve. For this type of process, the process gas control subroutine 550 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to process gas control subroutine 550 as process parameters. [0061] Furthermore, the process gas control subroutine 550 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
[0062] The process gas control subroutine 550 may also control the flow of heat- transfer gas, such as helium (He), through the inner and outer passages in the wafer chuck with an independent helium control (IHC) subroutine (not shown). The gas flow thermally couples the substrate to the chuck. In a typical process, the wafer is heated by the plasma and the chemical reactions that form the layer, and the He cools the substrate through the chuck, which may be water-cooled. This keeps the substrate below a temperature that may damage preexisting features on the substrate.
[0063] Pressure control subroutine 460 includes program code for controlling the pressure in chamber 413 by regulating the size of the opening of throttle valve 426 in the exhaust portion of the chamber. There are at least two basic methods of controlling the chamber with the throttle valve. The first method relies on characterizing the chamber pressure as it relates to, among other things, the total process gas flow, the size of the process chamber, and the pumping capacity. The first method sets throttle valve 426 to a fixed position. Setting throttle valve 426 to a fixed position may eventually result in a steady-state pressure.
[0064] Alternatively, the chamber pressure may be measured, with a manometer for example, and the position of throttle valve 426 maybe adjusted according to pressure control subroutine 560, assuming the control point is within the boundaries set by gas flows and exhaust capacity. The former method may result in quicker chamber pressure changes, as the measurements, comparisons, and calculations associated with the latter method are not invoked. The former method may be desirable where precise control of the chamber pressure is not required, whereas the latter method may be desirable where an accurate, repeatable, and stable pressure is desired, such as during the deposition of a layer.
[0065] When pressure control subroutine 560 is invoked, the desired, or target, pressure level is received as a parameter from chamber manager subroutine 530A. Pressure control subroutine 560 measures the pressure in chamber 413 by reading one or more conventional pressure manometers connected to the chamber; compares the measured value(s) to the target pressure; obtains proportional, integral, and differential (PID) values from a stored pressure table corresponding to the target pressure, and adjusts throttle valve 426 according to the PID values obtained from the pressure table. Alternatively, pressure control subroutine 560 may open or close throttle valve 426 to a particular opening size to regulate the pressure in chamber 413 to a desired pressure or pressure range.
[0066] Plasma control subroutine 570 comprises program code for controlling the frequency and power output setting of RF generators 431 A and 43 IB and for tuning matching networks 432A and 432B. Plasma control subroutine 570, like the previously described chamber component subroutines, is invoked by chamber manager subroutine 530A.
[0067] An example of a system that may incorporate some or all of the subsystems and routines described above would be the ULTIMA™ system, manufactured by APPLIED MATERIALS, INC., of Santa Clara, California, configured to practice the present invention. Further details of such a system are disclosed in commonly assigned U.S. Pat. No. 6,170,428, filed July 15, 1996, entitled "Symmetric Tunable Inductively-Coupled HDP-CVD Reactor," having Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha listed as co-inventors, the disclosure of which is incorporated herein by reference. The described system is for exemplary purpose only. It would be a matter of routine skill for a person of skill in the art to select an appropriate conventional substrate processing system and computer control system to implement the present invention.
Example 1
[0068] To illustrate the gapfill capability provided with embodiments of the invention, experiments were performed with a substrate having a trench between adjacent raised surfaces to define a gap having an aspect ratio greater than 5.0:1. The following flow rates for a process in which the process gas during deposition comprised SiH , O2, SiF4, and H2 provided a deposition rate of approximately 4700 A/minute with a substrate temperature between 500 and 800 °C:
Figure imgf000020_0001
Figure imgf000021_0001
As noted in the table, the flow rates for this example fall within the parameter ranges discussed above. Micrographs provided in Figs. 5 A and 5B show that substantially 100% gapfill is achieved for the 5.0:1 -aspect-ratio gap. For purposes of comparison, Fig. 5 A provides a micrograph for a process using SiH4, NF3, and O2 for the process gas, in which the gap was not adequately filled. The micrograph in Fig. 5B shows the results using the SiH , SiF , O2, and H2 process gas defined by the table, in which the gapfill capability is good. The fluorine concentration in the film produced with the process using the table parameters was less than 1.0 at.%. The methods of the invention may thus be used in a variety of undoped silicon oxide gapfill applications, including shallow-trench-isolation and premetal-dielectric gapfill applications.
Example 2
[0069] To further illustrate the gapfill capability provided with embodiments of the invention, additional experiments were performed with a substrate having a trench between adjacent raised surfaces to define a gap also having an aspect ratio greater than 5.0:1. The following flow rates for a process in which the process gas during deposition comprised SiH4, O2, SiF4, and H2 provided a deposition rate of approximately 2600 A/minute with a substrate temperature between 500 and 800 °C:
Figure imgf000021_0002
Figure imgf000022_0001
As noted in the table, the flow rates for this example also fall within the parameter ranges discussed above. Micrographs provided in Figs. 6 A and 6B show that substantially 100% gapfill is achieved for the 5.0:1 -aspect-ratio gap. The micrographs in Figs. 6A and 6B show results using the SiH , SiF , O2, and H2 process gas defined by the table, in which the gapfill capability is good with no comer clipping. The fluorine concentration in the film produced with the process using the table parameters was less than 1.0 at.%. The methods of the invention may thus be used in a variety of undoped silicon oxide gapfill applications, including shallow-trench-isolation and premetal-dielectric gapfill applications.
[0070] Those of ordinary skill in the art will realize that processing parameters can vary for different processing chambers and different processing conditions, and that different precursors can be used without departing from the spirit of the invention. Other variations will also be apparent to persons of skill in the art. These equivalents and alternatives are intended to be included within the scope of the present invention. Therefore, the scope of this invention should not be limited to the embodiments described, but should instead be defined by the following claims.

Claims

WHAT IS CLAIMED IS:
1. A method for depositing an undoped silicon oxide film on a substrate disposed in a process chamber, the method comprising: flowing a process gas comprising SiF4, H2, a silicon source, and an oxidizing gas reactant into the process chamber; forming a plasma having an ion density of at least 1011 ions/cm3 from the process gas; and depositing the undoped silicon oxide film over the substrate with the plasma using a process that has simultaneous deposition and sputtering components, wherein a temperature of the substrate during such depositing is greater than 450°C.
2. The method recited in claim 1 wherein the temperature of the substrate during such depositing is substantially between 500 and 800°C.
3. The method recited in claim 1 wherein the temperature of the substrate during such depositing is substantially between 700 and 800°C.
4. The method recited in claim 1 wherein the silicon source comprises SiH4.
5. The method recited in claim 4 wherein a ratio of a flow rate of SiF4 to the process chamber to a flow rate of SiH4 to the process chamber is substantially between 0.5 and 3.0.
6. The method recited in claim 4 wherein the oxidizing gas reactant comprises O2.
7. The method recited in claim 6 wherein a flow rate of H2 to the process chamber is less than 1500 sccm.
8. The method recited in claim 6 wherein a flow rate of O2 to the process chamber is greater than a factor times a sum of the flow rate of SiF4 and the flow rate of SiH4 to the process chamber, the factor being less than about 1.8 for a flow rate of H2 to the process chamber less than about 300 sccm and being between about 1.8 and 3.0 for a flow rate of H to the process chamber greater than about 300 sccm.
9. The method recited in claim 1 wherein the process gas further comprises an inert gas.
10. The method recited in claim 9 wherein the inert gas comprises He.
11. The method recited in claim 1 wherein the undoped silicon oxide film is a first portion of an undoped silicon oxide layer, the method further comprising: depositing a second portion of the undoped silicon oxide layer over the substrate; and etching one of the first and second portions of the undoped silicon oxide layer between depositing the undoped silicon oxide film and depositing the second portion of the undoped silicon oxide layer.
12. The method recited in claim 11 wherein depositing the second portion of the undoped silicon oxide layer is performed before the etching and depositing the undoped silicon oxide film is performed after the etching.
13. The method recited in claim 11 wherein depositing the second portion of the undoped silicon oxide layer comprises: flowing a second process gas comprising SiF4, H2, the silicon source, and the oxidizing gas reactant into the process chamber; and forming a second plasma having an ion density of at least 1011 ions/cm3 from the second process gas, wherein a temperature of the substrate during such depositing the second portion of the undoped silicon oxide layer is greater than 450°C.
14. A method for depositing an undoped silicon oxide film on a substrate disposed in a process chamber, the substrate having a trench formed between adjacent raised surfaces, the method comprising: flowing a process gas comprising SiF4, H2, SiH4, and O2 into the process chamber, wherein a ratio of a flow rate of SiF4 to a flow rate of SiH4 is substantially between 0.5 and 3.0; forming a plasma having an ion density of at least 101 ' ions/cm3 from the process gas; and depositing the undoped silicon oxide film over the substrate and within the trench with the plasma using a process that has simultaneous deposition and sputtering components, wherein a temperature of the substrate during such depositing is greater than 450°C.
15. The method recited in claim 14 wherein the temperature of the substrate during such depositing is substantially between 500 and 800°C.
16. The method recited in claim 14 wherein the temperature of the substrate during such depositing is substantially between 700 and 800°C.
17. The method recited in claim 14 wherein a flow rate of O2 to the process chamber is greater than a factor times a sum of the flow rate of SiF4 and the flow rate of SiH4 to the process chamber, the factor being less than about 1.8 for a flow rate of H2 to the process chamber less than about 300 sccm and being between about 1.8 and 3.0 for a flow rate of H2 to the process chamber greater than about 300 sccm.
18. The method recited in claim 14 wherein the process gas further comprises an inert gas.
19. The method recited in claim 14 wherein the undoped silicon oxide film is a first portion of an undoped silicon oxide layer, the method further comprising: depositing a second portion of the undoped silicon oxide layer over the substrate and within the trench; and etching one of the first and second portions of the undoped silicon oxide layer between depositing the undoped silicon oxide film and depositing the second portion of the undoped silicon oxide layer.
20 . A method for depositing an undoped silicon oxide layer on a substrate disposed in a process chamber, the substrate having a trench formed between adjacent raised surfaces, the method comprising, in the recited order: depositing a first portion of the undoped silicon oxide layer over the substrate and within the trench by forming a high-density plasma that has simultaneous deposition and sputtering components; etching at least part of the first portion of the undoped silicon oxide layer; and depositing a second portion of the undoped silicon oxide layer over the substrate and within the trench by forming a high-density plasma that has simultaneous deposition and sputtering components, wherein depositing at least one of the first portion and the second portion comprises: flowing a process gas comprising SiF4, H2, SiH , and O2 into the process chamber; forming the high-density plasma from the process gas; and depositing the at least one of the first portion and the second portion with the plasma at a temperature greater than 450°C.
21. The method recited in claim 20 wherein depositing the at least one of the first portion and the second portion comprises depositing the second portion.
22. The method recited in claim 20 further comprising: etching at least a part of the second portion of the undoped silicon oxide layer; and depositing a third portion of the undoped silicon oxide layer over the substrate and within the trench by forming a high-density plasma that has simultaneous deposition and sputtering components.
23. The method recited in claim 20 wherein the temperature is substantially between 500 and 800°C.
24. The method recited in claim 20 wherein the temperature is substantially between 700 and 800°C.
25. The method recited in claim 20 wherein a flow rate of O2 to the process chamber is greater than a factor times a sum of the flow rate of SiF4 and the flow rate of SiH to the process chamber, the factor being less than about 1.8 for a flow rate of H2 to the process chamber less than about 300 sccm and being between about 1.8 and 3.0 for a flow rate of H2 to the process chamber greater than about 300 sccm.
26. The method recited in claim 20 wherein the process gas further comprises an inert gas.
PCT/US2004/026610 2003-09-03 2004-08-16 In-situ-etch-assisted hdp deposition using sif4 and hydrogen WO2005024094A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/655,230 US6903031B2 (en) 2003-09-03 2003-09-03 In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US10/655,230 2003-09-03

Publications (2)

Publication Number Publication Date
WO2005024094A2 true WO2005024094A2 (en) 2005-03-17
WO2005024094A3 WO2005024094A3 (en) 2005-06-30

Family

ID=34218132

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/026610 WO2005024094A2 (en) 2003-09-03 2004-08-16 In-situ-etch-assisted hdp deposition using sif4 and hydrogen

Country Status (3)

Country Link
US (3) US6903031B2 (en)
TW (1) TW200512313A (en)
WO (1) WO2005024094A2 (en)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4388427B2 (en) * 2004-07-02 2009-12-24 オークマ株式会社 Numerical control device that can call programs written in script language
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
KR100589046B1 (en) * 2004-09-23 2006-06-12 삼성전자주식회사 Method for forming a thin film
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US20070023857A1 (en) * 2005-07-29 2007-02-01 Ming Jin Fabricating sub-lithographic contacts
US7244658B2 (en) * 2005-10-17 2007-07-17 Applied Materials, Inc. Low stress STI films and methods
US7592254B2 (en) * 2005-11-01 2009-09-22 The Board Of Trustees Of The University Of Illinois Methods for coating and filling high aspect ratio recessed features
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US8008209B2 (en) * 2007-10-24 2011-08-30 International Business Machines Corporation Thermal gradient control of high aspect ratio etching and deposition processes
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US8030215B1 (en) * 2008-02-19 2011-10-04 Marvell International Ltd. Method for creating ultra-high-density holes and metallization
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) * 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US8057649B2 (en) * 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) * 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US20100078315A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5599350B2 (en) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 Film forming apparatus and film forming method
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8910355B2 (en) * 2011-12-12 2014-12-16 International Business Machines Corporation Method of manufacturing a film bulk acoustic resonator with a loading element
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105336661B (en) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 The forming method of semiconductor structure
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR20170095887A (en) * 2014-12-24 2017-08-23 도쿄엘렉트론가부시키가이샤 Doping method, doping device, and semiconductor element manufacturing method
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102509925B1 (en) * 2015-12-03 2023-03-15 삼성전자주식회사 Method of fabricating the semiconductor device
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202104644A (en) 2019-06-17 2021-02-01 美商應用材料股份有限公司 Deuterium-containing films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6326064B1 (en) * 1991-05-17 2001-12-04 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US20020187655A1 (en) * 2001-05-11 2002-12-12 Applied Materials, Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20040166694A1 (en) * 2003-02-26 2004-08-26 Samsung Electronics Co., Ltd. High density plasma chemical vapor deposition process

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (en) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4572841A (en) 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) * 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (en) 1986-07-14 1990-07-19 미쓰비시전기주식회사 Device for forming thin compound film
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
KR910006164B1 (en) 1987-03-18 1991-08-16 가부시키가이샤 도시바 Making method and there device of thin film
US5874350A (en) 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JPH0258836A (en) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US6979840B1 (en) * 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US5507881A (en) 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
JP3231096B2 (en) 1991-10-15 2001-11-19 キヤノン株式会社 Base for liquid jet recording head, method of manufacturing the same, liquid jet recording head, and liquid jet recording apparatus
US5270264A (en) * 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
GB2267291B (en) 1992-05-27 1995-02-01 Northern Telecom Ltd Plasma deposition process
JP3688726B2 (en) * 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5628043A (en) * 1993-09-20 1997-05-06 Fujitsu Limited Image forming apparatus
JPH07161703A (en) 1993-12-03 1995-06-23 Ricoh Co Ltd Manufacture of semiconductor device
KR100241817B1 (en) 1993-12-27 2000-02-01 니시무로 타이죠 Thin film forming method
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JPH08167605A (en) 1994-12-15 1996-06-25 Mitsubishi Electric Corp Method of manufacturing silicon nitride film
JPH08225947A (en) 1994-12-16 1996-09-03 Canon Inc Plasma treatment method and device therefor
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
FR2734402B1 (en) 1995-05-15 1997-07-18 Brouquet Pierre PROCESS FOR ELECTRICAL ISOLATION IN MICROELECTRONICS, APPLICABLE TO NARROW CAVITIES, BY DEPOSITION OF OXIDE IN THE VISCOUS STATE AND CORRESPONDING DEVICE
US5903031A (en) * 1995-07-04 1999-05-11 Matsushita Electric Industrial Co., Ltd. MIS device, method of manufacturing the same, and method of diagnosing the same
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69623651T2 (en) 1995-12-27 2003-04-24 Lam Res Corp METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC
KR100267418B1 (en) 1995-12-28 2000-10-16 엔도 마코토 Plasma treatment and plasma treating device
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP2917897B2 (en) * 1996-03-29 1999-07-12 日本電気株式会社 Method for manufacturing semiconductor device
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JP4195734B2 (en) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド Integrated circuit trench isolation fabrication method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6255057B1 (en) * 1996-07-26 2001-07-03 Ernest Gallo Clinic And Research Center Detection of cellular exposure to ethanol
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
JPH10242142A (en) 1997-02-21 1998-09-11 Nippon Asm Kk Semiconductor element and manufacture thereof
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
KR100226751B1 (en) 1997-04-10 1999-10-15 구본준 Forming method for metallization of semiconductor device
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5869149A (en) 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5903106A (en) 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (en) 1997-11-20 2001-03-07 日本電気株式会社 Method for manufacturing semiconductor device
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
WO1999045167A1 (en) 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
WO1999055597A1 (en) * 1998-04-30 1999-11-04 Denola Robert C Shipping container having a v-pack insert
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6255207B1 (en) * 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6465044B1 (en) 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
US6174808B1 (en) 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
JP3514186B2 (en) * 1999-09-16 2004-03-31 日新電機株式会社 Thin film forming method and apparatus
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
KR100343286B1 (en) * 1999-11-05 2002-07-15 윤종용 Method for processing defect source of wafer rim
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
JP2001185503A (en) * 1999-12-24 2001-07-06 Nec Corp Semiconductor thin film modifying device
US6376391B1 (en) * 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6413886B1 (en) * 2000-08-10 2002-07-02 Infineon Technologies Ag Method for fabricating a microtechnical structure
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1355864A2 (en) 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
DE10049458A1 (en) * 2000-10-06 2002-04-18 Daimler Chrysler Ag Upholstery for a vehicle seat
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6812153B2 (en) 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6653203B1 (en) 2002-05-23 2003-11-25 Taiwan Semiconductor Manufacturing Company Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6326064B1 (en) * 1991-05-17 2001-12-04 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US20020187655A1 (en) * 2001-05-11 2002-12-12 Applied Materials, Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20040166694A1 (en) * 2003-02-26 2004-08-26 Samsung Electronics Co., Ltd. High density plasma chemical vapor deposition process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
ALONSO J C ET AL: "Fluorinated-chlorinated SiO2 films prepared at low temperature by remote plasma-enhanced chemical-vapor deposition using mixtures of SiF4 and SiCl4" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY A. VACUUM, SURFACES AND FILMS, AMERICAN INSTITUTE OF PHYSICS, NEW YORK, NY, US, vol. 19, no. 2, March 2001 (2001-03), pages 507-514, XP012005495 ISSN: 0734-2101 *

Also Published As

Publication number Publication date
TW200512313A (en) 2005-04-01
US7294588B2 (en) 2007-11-13
US7049211B2 (en) 2006-05-23
US20050164517A1 (en) 2005-07-28
WO2005024094A3 (en) 2005-06-30
US6903031B2 (en) 2005-06-07
US20050048801A1 (en) 2005-03-03
US20060166515A1 (en) 2006-07-27

Similar Documents

Publication Publication Date Title
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6914016B2 (en) HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6335288B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) HDP-CVD multistep gapfill process
US7628897B2 (en) Reactive ion etching for semiconductor device feature topography modification
US7081414B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6821577B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US6511923B1 (en) Deposition of stable dielectric films
US6458722B1 (en) Controlled method of silicon-rich oxide deposition using HDP-CVD
US6890597B2 (en) HDP-CVD uniformity control

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase