WO2005022381A2 - Integrated mechanism for suspension and deallocation of computational threads of execution in a processor - Google Patents

Integrated mechanism for suspension and deallocation of computational threads of execution in a processor Download PDF

Info

Publication number
WO2005022381A2
WO2005022381A2 PCT/US2004/028108 US2004028108W WO2005022381A2 WO 2005022381 A2 WO2005022381 A2 WO 2005022381A2 US 2004028108 W US2004028108 W US 2004028108W WO 2005022381 A2 WO2005022381 A2 WO 2005022381A2
Authority
WO
WIPO (PCT)
Prior art keywords
thread
instruction
operand
microprocessor
register
Prior art date
Application number
PCT/US2004/028108
Other languages
French (fr)
Other versions
WO2005022381A3 (en
Inventor
Kevin Kissel
Original Assignee
Mips Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/684,350 external-priority patent/US7376954B2/en
Priority claimed from US10/684,348 external-priority patent/US20050050305A1/en
Application filed by Mips Technologies, Inc. filed Critical Mips Technologies, Inc.
Priority to CN2004800248529A priority Critical patent/CN1846194B/en
Priority to DE602004017879T priority patent/DE602004017879D1/en
Priority to EP04786607A priority patent/EP1660993B1/en
Priority to JP2006524929A priority patent/JP4818919B2/en
Publication of WO2005022381A2 publication Critical patent/WO2005022381A2/en
Publication of WO2005022381A3 publication Critical patent/WO2005022381A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/3009Thread control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation
    • G06F8/4441Reducing the execution time required by the program code
    • G06F8/4442Reducing the number of cache misses; Data prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/54Interprogram communication

Definitions

  • the present invention is in the area of digital processors (e.g., microprocessors, digital signal processors, microcontrollers, etc.), and pertains more particularly to apparatus and methods relating to managing execution of multiple threads in a single processor.
  • digital processors e.g., microprocessors, digital signal processors, microcontrollers, etc.
  • BACKGROUND OF THE INVENTION [0005]
  • the history of development of computing power comprises steady advancement in many areas. Steady advances are made, for example, in device density for processors, interconnect technology, which influences speed of operation, ability to tolerate and use higher clock speeds, and much more.
  • Another area that influences overall computing power is the area of parallel processing, which includes more than the parallel operation of multiple, separate processors.
  • the concept of parallel processing includes the ability to share tasks among multiple, separate processors, but also includes schemes for concurrent execution of multiple programs on single processors. This scheme is termed generally multithreading.
  • This scheme is termed generally multithreading.
  • the concept of multithreading is explained as follows: As processor operating frequency increases, it becomes increasingly difficult to hide latencies inherent in the operation of a computer system. A high-end processor which misses in its data cache on 1% of the instructions in a given application could be stalled roughly 50% of the time if it has a 50-cycle latency to off-chip RAM. If instructions directed to a different application could be executed when the processor is stalled during a cache miss, the performance of the processor could be improved and some or all of the memory latency effectively hidden. For example, Fig.
  • FIG. 1A shows a single instruction stream 101 that stalls upon experiencing a cache miss.
  • the supporting machine can only execute a single thread or task at a time.
  • Fig. IB shows instruction stream 102 that may be executed while stream 101 is stalled. In this case, the supporting machine can support two threads concurrently and thereby more efficiently utilize its resources.
  • Multithreading arises in large measure from the notion that, if a single sequential program is fundamentally unable to make fully efficient use of a processor's resources, the processor should be able to share some of those resources among multiple concurrent threads of program execution. The result does not necessarily make any particular program execute more quickly - indeed, some multithreading schemes actually degrade the performance of a single thread of program execution - but it allows a collection of concurrent instruction streams to run in less time and/or on a smaller number of processors.
  • This concept is illustrated in Figs. 2A and 2B, which show single-threaded processor 210 and dual-threaded processor 250, respectively.
  • Processor 210 supports single thread 212, which is shown utilizing load/store unit 214.
  • processor 210 will stall (in accordance with Fig. 1A) until the missing data is retrieved. During this process, multiply/divide unit 218 remains idle and underutilized. However, processor 250 supports two threads; i.e., 212 and 262. So, if thread 212 stalls, processor 250 can concurrently utilize thread 262 and multiply/divide unit 218 thereby better utilizing its resources (in accordance with Fig. IB). [0010] Multithreading on a single processor can provide benefits beyond improved multitasking throughput, however. Binding program threads to critical events can reduce event response time, and thread-level parallelism can, in principle, be exploited within a single application program.
  • multithreading Several varieties of multithreading have been proposed. Among them are interleaved multithreading, which is a time-division multiplexed (TDM) scheme that switches from one thread to another on each instruction issued. This scheme imposes some degree of "fairness" in scheduling, but implementations which do static allocation of issue slots to threads generally limit the performance of a single program thread. Dynamic interleaving ameliorates this problem, but is more complex to implement.
  • Another multithreading scheme is blocked multithreading, which scheme issues consecutive instructions from a single program thread until some designated blocking event, such as a cache miss or a replay trap, for example, causes that thread to be suspended and another thread activated. Because blocked multithreading changes threads less frequently, its implementation can be simplified.
  • simultaneous multithreading is a scheme implemented on superscalar processors. In simultaneous multithreading instructions from different threads can be issued concurrently. Assume for example, a superscalar reduced instruction set computer (RISC), issuing up to two instructions per cycle, and a simultaneously multithreaded superscalar pipeline, issuing up to two instructions per cycle from either of the two threads.
  • RISC superscalar reduced instruction set computer
  • Multithreading and multiprocessing are closely related. Indeed, one could argue that the difference is only one of degree: whereas multiprocessors share only memory and/or connectivity, multithreaded processors share memory and/or connectivity, but also share instruction fetch and issue logic, and potentially other processor resources. In a single multithreaded processor, the various threads compete for issue slots and other resources, which limits parallelism. Some multithreaded programming and architectural models assume that new threads are assigned to distinct processors, to execute fully in parallel.
  • the present invention provides a yield instruction for execution on a microprocessor configured to execute concurrent program threads.
  • the instruction includes an opcode that instructs the microprocessor to suspend execution of a thread.
  • the yield instruction is an instruction in the thread.
  • the instruction also includes an operand that specifies a register.
  • the microprocessor terminates execution of the thread. If the register contains a second predetermined value the microprocessor unconditionally reschedules the thread for execution. If the register contains a value within a predetermined set of values the microprocessor conditionally reschedules the thread for execution. The predetermined set of values excludes the first and second predetermined values.
  • the present invention provides a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction.
  • the microprocessor includes a register for storing an operand of the instruction, and a scheduler, coupled to the register. The scheduler terminates execution of the thread if the operand is a first predetermined value and schedules the thread for execution if the operand is a second predetermined value.
  • the present invention provides a method for selectively suspending or terminating execution of a program thread in a microprocessor receiving event signals.
  • the method includes issuing an instruction in the program thread.
  • the instruction specifies an operand that specifics a first predetermined value, a second predetermined value, or a value in a predetermined set of values excluding the first and second predetermined values.
  • the operand specifies one or more of the event signals if the operand is in the predetermined set of values.
  • the method further includes, if the operand is the first predetermined value, terminating execution of the thread.
  • the method further includes, if the operand is the second predetermined value, unconditionally rescheduling execution of the thread.
  • the present invention provides a processing system.
  • the processing system includes a plurality of signal sources and a microprocessor, coupled to receive a plurality of signals generated by the plurality of signal sources.
  • the microprocessor executes an instruction in a program thread.
  • the microprocessor terminates execution of the thread if an operand of the instruction is a first predetermined value, and otherwise reschedules the thread for execution based on a condition of one or more of the plurality of signals specified by the operand.
  • the present invention provides a computer program product for use with a computing device.
  • the computer program product includes a computer usable medium having computer readable program code embodied in the medium for causing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction.
  • the computer readable program code includes first program code for providing a register that stores an operand of the instruction.
  • the computer readable program code also includes second program code for providing a scheduler, coupled to the register, which terminates execution of the thread if the operand is a first predetermined value, and schedules the thread for execution if the operand is a second predetermined value.
  • the present invention provides a computer data signal embodied in a transmission medium, including computer-readable program code for providing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction.
  • the program code includes first program code for providing a register that stores an operand of the instruction.
  • the program code also includes second program code for providing a scheduler, coupled to the register, which terminates execution of the thread if the operand is a first predetermined value, and schedules the thread for execution if the operand is a second predetermined value.
  • An advantage of the YIELD instruction is that it provides a means for a program to surrender execution of the processor to another thread with very little overhead, typically a single clock cycle in RISC fashion, and without requiring operating system involvement in the normal case.
  • an embedded system may convert interrupt service routines to distinct threads that include a YIELD instruction to suspend the thread until the interrupt source indicates an event, at which time the thread is rescheduled, thereby accomplishing essentially zero-overhead interrupt servicing.
  • the YIELD instruction integrates the ability to efficiently suspend program thread execution and termination of a program thread into a single instruction, thereby conserving valuable opcode space within the instruction set.
  • the present YIELD instruction provides a means for conditional termination of the thread based on the value of an input operand to the instruction enables multithreaded code to be more compact and to execute more efficiently in a microprocessor pipeline.
  • FIG. 1A is a diagram showing a single instruction stream that stalls upon experiencing a cache miss.
  • Fig. IB is a diagram showing an instruction stream that may be executed while the stream of Fig. la is stalled.
  • FIG. 2A is a diagram showing a single-threaded processor.
  • Fig. 2B is a diagram showing dual-threaded processor 250.
  • FIG. 3 is a diagram illustrating a processor supporting a first and a second VPE in an embodiment of the present invention.
  • FIG. 4 is a diagram illustrating a processor supporting a single VPE which in turn supports three threads in an embodiment of the invention.
  • FIG. 5 shows format for a FORK instruction in an embodiment of the invention.
  • FIG. 6 shows format for a YIELD instruction in a first embodiment of the invention.
  • Fig. 7 is a table showing a 16-bit qualifier mask for GPR rs.
  • FIG. 8 shows format for a MFTR instruction in an embodiment of the invention.
  • Fig. 9 is a table for inte ⁇ reting fields of the MFTR instruction in an embodiment of the invention.
  • Fig. 10 shows format for a MTTR instruction in an embodiment of the invention.
  • Fig. 11 is a table for inte ⁇ reting u and sel bits of the MTTR instruction in an embodiment of the invention.
  • Fig. 12 shows format for an EMT instruction in an embodiment of the invention.
  • Fig. 13 shows format for a DMT instruction in an embodiment of the invention.
  • Fig. 14 shows format for an ECONF instruction in an embodiment of the invention.
  • Fig. 15 is a table of system coprocessor privileged resources in an embodiment of the invention.
  • FIG. 16 shows layout of a ThreadControl register in an embodiment of the invention.
  • Fig. 17 is a table defining ThreadControl register fields in an embodiment of the invention.
  • FIG. 18 shows layout for a ThreadStatus register in an embodiment of the invention.
  • Fig. 19 is a table defining fields of the ThreadStatus register in an embodiment of the invention.
  • Fig. 20 shows layout of a ThreadContext register in an embodiment of the invention.
  • FIG. 21 shows layout of a ThreadConfig register in an embodiment of the invention.
  • Fig. 22 is a table defining fields of the ThreadConfig register in an embodiment of the invention.
  • FIG. 23 shows layout of a ThreadSchedule register in an embodiment of the invention.
  • Fig. 24 shows layout of a VPESchedule register in an embodiment of the invention.
  • Fig. 25 shows layout of a Config4 register in an embodiment of the invention.
  • Fig. 26 is a table defining fields of the Config4 register in an embodiment of the invention.
  • Fig. 27 is a table defining Cause register ExcCode values required for thread exceptions.
  • Fig. 28 is a table defining ITC indicators.
  • Fig. 29 is a table defining Config3 register fields.
  • Fig. 30 is a table illustrating VPE inhibit bit per VPE context.
  • Fig. 31 is a table showing ITC storage behavior.
  • Fig. 32 is a flow diagram illustrating operation of a YIELD function in an embodiment of the invention.
  • Fig. 33 is a diagram illustrating a computing system in an embodiment of the present invention.
  • Fig. 34 is a diagram illustrating scheduling by VPE within a processor and by thread within a VPE in an embodiment of the present invention.
  • Fig. 35 is a block diagram illustrating the format of the YIELD instruction according to an alternate embodiment of the present invention.
  • FIG. 36 is a block diagram of a processor core for executing the YIELD instruction of Figure 35 according to the alternate embodiment of Figure 35 of the present invention.
  • Fig. 37 is a block diagram illustrating the format of the YQMask Register of
  • FIG. 38 is a flowchart illustrating operation of the processor core of Figure 36 to execute a YIELD instruction of Figure 35 according to the alternate embodiment of
  • FIG. 39 is a block diagram illustrating a processing system, such as the processing system of Figure 33, including the processor core of Figure 36 for executing a YIELD instruction according to the alternate embodiment of Figure 35 of the present invention.
  • Fig. 40 is a block diagram illustrating a semantic of the rs register of the YIELD instruction of Figure 35 according to an alternate embodiment according to the present invention.
  • DETAILED DESCRIPTION [0068]
  • a processor architecture includes an instruction set comprising features, functions and instructions enabling multithreading on a compatible processor.
  • the invention is not limited to any particular processor architecture and instruction set, but for exemplary pu ⁇ oses the well-known MIPS architecture, instruction set, and processor technology (collectively, "MIPS technology") is referenced, and embodiments of the invention described in enabling detail below are described in context with MIPS technology. Additional information regarding MIPS technology (including documentation referenced below) is available from MIPS Technologies, Inc. (located in Mountain View California) and on the Web at www.mips.com (the company's website).
  • processor and "digital processor” as used herein are intended to mean any programmable device (e.g., microprocessor, microcontroller, digital signal processor, central processing unit, processor core, etc.) in hardware (e.g., application specific silicon chip, FPGA, etc.), software (e.g., hardware description language, C, C+, etc.) or any other instantiation (or combination) thereof.
  • hardware e.g., application specific silicon chip, FPGA, etc.
  • software e.g., hardware description language, C, C+, etc.
  • thread is a sequence of computer instructions and the associated sequence of processor state changes associated with the execution of the instructions.
  • the sequence of instructions typically, but not necessarily, includes one or more program control instructions, such as a branch instruction. Consequently, the instructions may or may not have consecutive memory addresses.
  • the sequence of instructions is from a single program.
  • a "thread context" for pu ⁇ oses of description in embodiments of this invention is a collection of processor state necessary to describe the state of execution of an instruction stream in a processor. This state is typically reflected in the contents of processor registers.
  • a thread context comprises a set of general pu ⁇ ose registers (GPRs), Hi/Lo multiplier result registers, some representation of a program counter (PC), and some associated privileged system control state.
  • a MIPS Processor typically referred to as coprocessor zero ("CPO"), and is largely maintained by system control registers and (when used) a Translation Lookaside Buffer (“TLB").
  • CPO coprocessor zero
  • TLB Translation Lookaside Buffer
  • a processor context is a larger collection of processor state, which includes at least one thread context.
  • a processor context in this case would include at least one thread context (as described above) as well as the CPO and system state necessary to describe an instantiation of the well-known MIPS32 or MIPS64 Privileged Resource Architecture (“PRA").
  • PRA is a set of environments and capabilities upon which an instruction set architecture operates. The PRA provides the mechanisms necessary for an operating system to manage the resources of a processor; e.g., virtual memory, caches, exceptions and user contexts.
  • a multithreading application-specific extension to an instruction set architecture and PRA allows two distinct, but not mutually-exclusive, multithreading capabilities to be included within a given processor.
  • a single processor can contain some number of processor contexts, each of which can operate as an independent processing element through the sharing of certain resources in the processor and supporting an instruction set architecture. These independent processing elements are referred to herein as Virtual Processing Elements ("VPEs").
  • VPEs Virtual Processing Elements
  • an N VPE processor looks exactly like an N-way symmetric multiprocessor (“SMP"). This allows existing SMP-capable operating systems to manage the set of VPEs, which transparently share the processor's execution units.
  • FIG. 3 illustrates this capability with single processor 301 supporting a first VPE (“VPE0”) that includes register state zero 302 and system coprocessor state zero 304.
  • Processor 301 also supports a second VPE (“VPEl ”) that includes register state one 306 and system coprocessor state one 308.
  • Those portions of processor 301 shared by VPE0 and VPEl include fetch, decode, and execute pipelines, and caches 310.
  • the SMP-capable operating system 320 which is shown running on processor 301, supports both VPE0 and VPEl.
  • Software Process A 322 and Process C 326 are shown running separately on VPE0 and VPEl, respectively, as if they were running on two different processors.
  • Process B 324 is queued and may run on either VPE0 or VPEl .
  • the second capability allowed by the Multithreading ASE is that each processor or VPE can also contain some number of thread contexts beyond the single thread context required by the base architecture.
  • Multi-threaded VPEs require explicit operating system support, but with such support they provide a lightweight, fine-grained multithreaded programming model wherein threads can be created and destroyed without operating system intervention in typical cases, and where system service threads can be scheduled in response to external conditions (e.g., events, etc.) with zero interrupt latency.
  • processor 401 supporting a single VPE that includes register state 402, 404 and 406 (supporting three threads 422), and system coprocessor state 408.
  • register state 402, 404 and 406 supporting three threads 422)
  • system coprocessor state 408 Unlike Fig. 3, in this instance three threads are in a single application address space sharing CPO resources (as well as hardware resources) on a single VPE.
  • a dedicated multithreading operating system 420 is shown in this example, the multithreaded VPE is handling packets from a broadband network 450, where the packet load is spread across a bank of FIFOs 452 (each with a distinct address in the I/O memory space of the multithreaded VPE).
  • the controlling application program creates as many threads as it has FIFOs to serve, and puts each thread into a tight loop reading the FIFOs.
  • a thread context may be in one of four states. It may be free, activated, halted, or wired.
  • a free thread context has no valid content and cannot be scheduled to issue instructions.
  • An activated thread context will be scheduled according to implemented policies to fetch and issue instructions from its program counter.
  • a halted thread context has valid content, but is inhibited from fetching and issuing instructions.
  • a wired thread context has been assigned to use as Shadow Register storage, which is to say that is held in reserve for the exclusive use of an exception handler, to avoid the overhead of saving and restoring register contexts in the handler.
  • a free thread context is one that is neither activated, nor halted, nor wired. Only activated thread contexts may be scheduled. Only free thread contexts may be allocated to create new threads.
  • an inter-thread communication (“ITC") memory space is created in virtual memory, with empty/full bit semantics to allow threads to be blocked on loads or stores until data has been produced or consumed by other threads.
  • Thread creation/destruction, and synchronization capabilities function without operating system intervention in the general case, but the resources they manipulate are all virtualizable via an operating system. This allows the execution of multithreaded programs with more virtual threads than there are thread contexts on a VPE, and for the migration of threads to balance load in multiprocessor systems.
  • a thread is bound to a particular thread context on a particular VPE.
  • the index into that VPE's set of thread contexts provides a unique identifier at that point in time. But context switching and migration can cause a single sequential thread of execution to have a series of different thread indices, for example on a series of different VPEs.
  • the Multithreading ASE does not impose any particular implementation or scheduling model on the execution of parallel threads and VPEs. Scheduling may be round-robin, time-sliced to an arbitrary granularity, or simultaneous. An implementation must not, however, allow a blocked thread to monopolize any shared processor resource which could produce a hardware deadlock.
  • EXL and ERL bits as well as EJTAG debug exceptions may be found in the following two publications, each of which is available from MIPS Technologies, Inc. and hereby inco ⁇ orated by reference in its entirety for all pu ⁇ oses: MIPS32 Architecture for Programmers Volume III: The MIPS32 Privileged Resource Architecture. Rev. 2.00, MIPS Technologies, Inc. (2003), and MIPS64TM Architecture for Programmers Volume III: The MIPS64TM Privileged Resource Architecture. Rev. 2.00, MIPS Technologies, Inc. (2003).
  • Exception handlers for synchronous exceptions caused by the execution of an instruction stream such as TLB miss and floating-point exceptions, are executed by the thread executing the instruction stream in question. When an unmasked asynchronous exception, such as an interrupt, is raised to a VPE, it is implementation dependent which thread executes the exception handler.
  • Each exception is associated with a thread context, even if shadow register sets are used to run the exception handler.
  • This associated thread context is the target of all RDPGPR and WRPGPR instructions executed by the exception handler. Details regarding the RDPGPR and WRPGPR instructions (used to access shadow registers) may be found in the following two publications, each of which is available from MIPS Technologies, Inc. and hereby inco ⁇ orated by reference in its entirety for all pu ⁇ oses: MIPS32 Architecture for Programmers Volume II: The MIPS32 Instruction Set. Rev. 2.00, MIPS Technologies, Inc. (2003), and MIPS64TM Architecture for Programmers Volume II: The MIPS64TM Instruction Set. Rev. 2.00, MIPS Technologies, Inc. (2003).
  • the Multithreading ASE includes two exception conditions. The first of these is a Thread Unavailable condition, wherein a thread allocation request cannot be satisfied. The second is a Thread Underflow condition, wherein the termination and deallocation of a thread leaves no threads allocated on a VPE. These two exception conditions are mapped to a single new Thread exception. They can be distinguished based on CPO register bits set when the exception is raised.
  • the Multithreading ASE in an embodiment includes seven instructions. FORK and YIELD instructions control thread allocation, deallocation, and scheduling, and are available in all execution modes if implemented and enabled.
  • MFTR and MTTR instructions are system coprocessor (CopO) instructions available to privileged system software for managing thread state.
  • a new EMT instruction and a new DMT instruction are privileged CopO instructions for enabling and disabling multithreaded operation of a VPE.
  • a new ECONF instruction is a privileged CopO instruction to exit a special processor configuration state and re-initialize the processor.
  • the FORK instruction causes a free thread context to be allocated and activated. Its format 500 is shown in Fig. 5.
  • the FORK instruction takes two operand values from GPRs identified in fields 502 (rs) and 504 (rt).
  • the contents of GPR rs is used as the starting fetch and execution address for the new thread.
  • the contents of GPR rt is a value to be transferred into a GPR of the new thread.
  • the destination GPR is determined by the value of the ForkTarget field of the ThreadConfig register of CPO, which is shown in Fig. 21 and described below.
  • the new thread's Kernel/Supervisor/User state is set to that of the FORKing thread. If no free thread context is available for the fork, a Thread Exception is raised for the FORK instruction.
  • the YIELD instruction causes the current thread to be selectively de- scheduled.
  • the format of a YIELD instruction 600 is shown in Fig. 6, and Fig. 32 is a flow chart 3200 illustrating operation of a system in an embodiment of the invention to assert the function of the YIELD instruction of Fig. 6.
  • a second embodiment of the YIELD instruction 3500 is described below with respect to Figures 35 through 40.
  • the YIELD instruction 600 takes a single operand value from, for example, a GPR identified in field 602 (rs).
  • a GPR is used in one embodiment, but in alternative embodiments the operand value may be stored in and retrieved from essentially any data storage device (e.g., non-GPR register, memory, etc.) accessible to the system.
  • contents of GPR rs can be thought of as a descriptor of the circumstances under which the issuing thread should be rescheduled. If the contents of GPR rs is zero (i.e., the value of the operand is zero), as shown in step 3202 of Fig.
  • the thread is not to be rescheduled at all, and it is instead deallocated (i.e., terminated or otherwise permanently stopped from further execution) as indicated in step 3204, and its associated thread context storage (i.e., the registers identified above to save state) freed for allocation by a subsequent FORK instruction issued by some other thread.
  • the thread is immediately re- schedulable as shown in step 3206 of Fig. 32, and may promptly continue execution if there are no other runnable threads that would be preempted.
  • the contents of GPR rs in this embodiment, is otherwise treated as a 15-bit qualifier mask described by table 700 of Fig. 7 (i.e., a bit vector encoding a variety of conditions).
  • bits 15 to 10 of the GPR rs indicate hardware interrupt signals presented to the processor
  • bits 9 and 8 indicate software interrupts generated by the processor
  • bits 7 and 6 indicate the operation of the Load Linked and Store Conditional synchronization primitives of the MIPS architecture
  • bits 5 to 2 indicate non-interrupt external signals presented to the processor.
  • step 3208 If the content of GPR rs is even (i.e., bit zero is not set), and any other bit in the qualifier mask of GPR rs is set (step 3208), the thread is suspended until at least one corresponding condition is satisfied. If and when such a situation occurs, the thread is rescheduled (step 3210) and resumes execution at the instruction following the YIELD instruction 600. This enabling is unaffected by the CPO. Status. IMn interrupt mask bits, so that up to 10 external conditions (e.g., events, etc.) encoded by bits 15 to 10 and 5 to 2 (as shown in Fig. 7) and four software conditions encoded by bits 9 to 6 (as shown in Fig.
  • the CPO. Status. iMn interrupt mask bits are a set of 8 bits in the CPO Status register which can optionally mask the 8 basic interrupt inputs to a MIPS Processor.
  • the IP2-IP7 bits encode the value of the highest priority enabled interrupt, rather than express a vector of orthogonal indications.
  • the GPR rs bits associated with IP2-IP7 in a YIELD instruction 600 when the processor is using EIC interrupt mode can thus no longer be used to re-enable thread scheduling on a specific external event.
  • the system-dependent external event indications i.e., bits 5 to 2 of the GPR rs of the present embodiment
  • the EIC interrupt mode and IP2-IP7 bits are further described in the following publications as fully identified and inco ⁇ orated above: MIPS32TM Architecture for Programmers Volume III: The MIPS32 Privileged Resource Architecture, and MIPS64 Architecture for Programmers Volume III: The MIPS64 Privileged Resource Architecture.
  • a Thread Exception with an underflow indication in the ThreadStatus register of CPO (shown in Fig. 18 and described below), is raised on the YIELD instruction 600.
  • the foregoing embodiment utilizes the operand contained in the GPR rs of the YIELD instruction 600 as a thread-scheduling parameter.
  • the parameter is treated as a 15-bit vector of orthogonal indications (referring to Fig. 7, bits 1 and 15 are reserved so there are only 15 conditions encoded in this embodiment).
  • This embodiment also treats the parameter as a designated value (i.e., to determine whether or not a given thread should be deallocated, see step 3202 of Fig. 32). The characteristics of such a parameter may be changed, however, to accommodate different embodiments of the instruction.
  • the value of the parameter itself may be used to determine whether a thread should be rescheduled without additional delay (i.e., requeued for scheduling).
  • Other embodiments of this instruction may treat such a thread-scheduling parameter as containing one or more multi-bit value fields so that a thread can specify that it will yield on a single event out of a large (e.g., 32-bit, or larger) event name space. In such an embodiment, at least the bits associated with the one target event would be accessed by the subject YIELD instruction 600. Of course, additional bit fields could be passed to the instruction (associated with additional events) as desired for a particular embodiment.
  • YIELD instruction 600 may include a combination of the foregoing bit vector and value fields within a thread-scheduling parameter accessed by the instruction, or other application-specific modifications and enhancements to (for example) satisfy the needs of a specific implementation.
  • Alternative embodiments of the YIELD instruction 600 may access such a thread- scheduling parameter as described above in any conventional way; e.g., from a GPR (as shown in Fig. 6), from any other data storage device (including memory) and as an immediate value within the instruction itself.
  • the MFTR instruction is a privileged (CopO) instruction which allows an operating system executing on one thread to access a different thread context. Its format
  • the thread context to be accessed is determined by the value of the
  • AlternateThread field of the ThreadControl register of CPO which is shown in Fig. 16 and described below.
  • the register to be read within the selected thread context is determined by the value in the rt operand register identified in field 802, in conjunction with the u and sel bits of the MFTR instruction provided in fields 804 and 806, respectively, and inte ⁇ reted according to table 900 included as Fig. 9.
  • the resulting value is written into the target register rd, identified in field 808.
  • the MTTR instruction is the inverse of MFTR. It is a privileged CopO instruction which copies a register value from the thread context of the current thread to a register within another thread context. Its format 1000 is shown in Fig. 10. [0099] The thread context to be accessed is determined by the value of the
  • AlternateThread field of the ThreadControl register of CPO which is shown in Fig. 16 and described below.
  • the register to be written within the selected thread context is determined by the value in the rd operand register identified in field 1002, in conjunction with the u and sel bits of the MTTR instruction provided in fields 1004 and 1006, respectively, and inte ⁇ reted according to table 1 100 provided in Fig. 11 (the encoding is the same as for MFTR).
  • the value in register rt, identified in field 1008 is copied to the selected register.
  • the EMT instruction is a privileged CopO instruction which enables the concurrent execution of multiple threads by setting the TE bit of the ThreadControl register of CPO, which is shown in Fig. 16 and described below. Its format 1200 is shown in Fig. 12. The value of the ThreadControl register, containing the TE (Threads Enabled) bit value prior to the execution of the EMT, is returned in register rt.
  • the DMT instruction is a privileged CopO instruction which inhibits the concurrent execution of multiple threads by clearing the TE bit of the ThreadControl register of CPO, which is shown in Fig 16 and described below. Its format 1300 is shown in Fig. 13.
  • ThreadControl register containing the TE (Threads Enabled) bit value prior to the execution of the DMT, is returned in register rt.
  • the ECONF instruction is a privileged CopO instruction which signals the end of VPE configuration and enables multi-VPE execution. Its format 1400 is shown in Fig. 14. [00104] When an ECONF is executed, the VPC bit of the Config3 register
  • the table 1500 of Fig. 15 outlines the system coprocessor privileged resources associated with the Multithreading ASE. Except where indicated otherwise, the new and modified coprocessor zero (CPO) registers identified below are accessible (i.e., written into and read from) like conventional system control registers of coprocessor zero (i.e., of a MIPS Processor).
  • CPO coprocessor zero
  • ThreadControl Register (Coprocessor 0 Register 7, Select 1) [00106]
  • the ThreadControl register is instantiated per VPE as part of the system coprocessor. Its layout 1600 is shown in Fig. 16.
  • the ThreadControl Register fields are defined according to table 1700 of Fig. 17.
  • ThreadStatus Register (Coprocessor 0 Register 12, Select 4)
  • the ThreadStatus register is instantiated per thread context. Each thread sees its own copy of ThreadStatus, and privileged code can access those of other threads via MFTR and MTTR instructions. Its layout 1800 is shown in Fig. 18. The ThreadStatus Register fields are defined in table 1900 of Fig. 19. [00108] Writing a one to the Halted bit of an activated thread causes an activated thread to cease fetching instructions and to set its internal restart PC to the next instruction to be issued. Writing a zero to the Halted bit of an activated thread allows the thread to be scheduled, fetching and executing from the internal restart PC address. A one in either the Activated bit or the Gard bit of a non-activated thread prevents that thread from being allocated and activated by a FORK instruction.
  • the ThreadContext register 2000 is instantiated per-thread, with the same width as the processor GPRs, as shown in Fig. 20. This is purely a software read/write register, usable by the operating system as a pointer to thread-specific storage, e.g. a thread context save area.
  • the ThreadConfig register is instantiated per-processor or VPE. Its layout 2100 is shown in Fig. 21. The ThreadConfig registers fields are defined in table 2200 of Fig. 22.
  • the WiredThread field of ThreadConfig allows the set of thread contexts available on a VPE to be partitioned between Shadow Register sets and parallel execution threads. Thread contexts with indices less than the value of the WiredThread register are available as shadow register sets.
  • the ThreadSchedule register is optional, but when implemented is implemented per-thread. Its layout 2300 is shown in Fig. 23.
  • the Schedule Vector (which, as shown, is 32 bits wide in one embodiment) is a description of the requested issue bandwidth scheduling for the associated thread. In this embodiment, each bit represents 1/32 of the issue bandwidth of the processor or VPE, and each bit location represents a distinct slot in a 32-slot scheduling cycle. [00113] If a bit in a thread's ThreadSchedule register is set, that thread has a guarantee of the availability of one corresponding issue slot for every 32 consecutive issues possible on the associated processor or VPE.
  • ThreadSchedule register In one embodiment, it is anticipated that this width may be altered (i.e., increased or decreased) when used in other embodiments.
  • the VPESchedule register is optional, and is instantiated per VPE. It is writable only if the MVP bit of the Config3 register is set (see, Fig. 29). Its format 2400 is shown in Fig. 24.
  • the Schedule Vector (which, as shown, is 32 bits wide in one embodiment) is a description of the requested issue bandwidth scheduling for the associated VPE.
  • each bit represents 1/32 of the issue total bandwidth of a multi-VPE processor, and each bit location represents a distinct slot in a
  • Issue slots not specifically scheduled by any thread are free to be allocated to any runnable VPE/thread according to the current default thread scheduling policy of the processor (e.g., round robin, etc.).
  • the VPESchedule register and the ThreadSchedule register create a hierarchy of issue bandwidth allocation.
  • the set of VPESchedule registers assigns bandwidth to VPEs as a proportion of the total available on a processor or core, while the ThreadSchedule register assigns bandwidth to threads as a proportion of that which is available to the VPE containing the threads.
  • 32 bits is the width of the VPESchedule register in one embodiment, it is anticipated that this width may be altered (i.e., increased or decreased) when used in other embodiments.
  • the Config4 Register (Coprocessor 0 Register 16, Select 4) [00120]
  • the Config4 Register is instantiated per-processor. It contains configuration information necessary for dynamic multi-VPE processor configuration. If the processor is not in a VPE configuration state (i.e., the VMC bit of the Config3 register is set), the value of all fields except the M (continuation) field is implementation-dependent and may be unpredictable. Its layout 2500 is shown in Fig. 25.
  • the Config4's register fields are defined as shown in table 2600 of Fig. 26. In some embodiments there may be a VMC bit for the Config3 register, which can be a previously reserved/unassigned bit.
  • the Multithreading ASE modifies some elements of current MIPS32 and
  • the CU bits of the Status register take on additional meaning in a multithreaded configuration.
  • the act of setting a CU bit is a request that a coprocessor context be bound to thread associated with the CU bit. If a coprocessor context is available, it is bound to the thread so that instructions issued by the thread can go to the coprocessor, and the CU bit retains the 1 value written to it. If no coprocessor context is available, the CU bit reads back as 0. Writing a 0 to a set CU bit causes any associated coprocessor to be deallocated.
  • ThreadStatus register set [00131] 3. Set the Halted bit of the selected thread's ThreadStatus register to prevent it being allocated by another thread. [00132] 4. Execute an EMT instruction to re-enable multithreading. [00133] 5. Copy any desired GPRs into the selected thread context using MTTR instructions with the u field set to 1. [00134
  • the newly allocated thread will then be schedulable.
  • the steps of executing DMT, setting the new thread's Halted bit, and executing EMT can be skipped if EXL or ERL are set during the procedure, as they implicitly inhibit multithreaded execution.
  • the procedure for an operating system to terminate the current thread in one embodiment is:
  • ThreadStatus register using a standard MTC0 instruction using a standard MTC0 instruction.
  • One thread running in a privileged mode, could also terminate another, using MTTR instructions, but it would present an additional problem to the OS to determine which thread context should be deallocated and at what point the state of the thread's computation is stable.
  • Inter-Thread Communication Storage is an optional capability which provides an alternative to Load-Linked/Store-Conditional synchronization for fine-grained multi-threading. It is invisible to the instruction set architecture, as it is manipulated by loads and stores, but it is visible to the Privileged Resource Architecture, and it requires significant microarchitectural support.
  • references to virtual memory pages whose TLB entries are tagged as ITC storage resolve to a store with special attributes.
  • Each page maps a set of 1-128 64-bit storage locations, each of which has an Empty/Full bit of state associated with it, and which can be accessed in one of 4 ways, using standard load and store instructions.
  • the access mode is encoded in the least significant (and untranslated) bits of the generated virtual address, as shown in table 3100 of Fig. 31.
  • Each storage location could thus be described by the C structure: struct ⁇ uint64 ef_sync_location; uint64 force_ef_location; uint64 bypass_location; uint64 ef_state; ⁇ ITC location; where all four of the locations reference the same 64 bits of underlying storage. References to this storage may have access types of less than 64 bits (e.g. LW, LH, LB), with the same Empty/Full protocol being enforced on a per-access basis. [00147] Empty and Full bits are distinct so that decoupled multi-entry data buffers, such as FIFOs can be mapped into ITC storage.
  • ITC storage can be saved and restored by copying the ⁇ bypass_location, ef_state ⁇ pair to and from general storage. While 64 bits of bypass_location must be preserved, strictly speaking, only the least significant bits of the ef_state need to be manipulated. In the case of multi-entry data buffers, each location must be read until Empty to drain the buffer on a copy. [00149] The number of locations per 4K page and the number of ITC pages per VPE are configuration parameters of the VPE or processor.
  • the "physical address space" of ITC storage can be made global across all VPEs and processors in a multiprocessor system, such that a thread can synchronize on a location on a different VPE from the one on which it is executing.
  • Global ITC storage addresses are derived from the CPUNum field of each VPE's EBase register. The 10 bits of CPUNum correspond to 10 significant bits of the ITC storage address.
  • Processors or cores designed for uniprocessor applications need not export a physical interface to the ITC storage, and can treat it as a processor-internal resource.
  • a core or processor may implement multiple VPEs sharing resources such as functional units.
  • Each VPE sees its own instantiation of the MIPS32 or MIPS64 instruction and privileged resource architectures.
  • Each sees its own register file or thread context array, each sees its own CPO system coprocessor and its own TLB state.
  • Two VPEs on the same processor are indistinguishable to software from a 2-CPU cache- coherent SMP multiprocessor.
  • Each VPE on a processor sees a distinct value in the CPUNum field of the Ebase register of CPO.
  • Processor architectural resources such as thread context and TLB storage and coprocessors may be bound to VPEs in a hardwired configuration, or they may be configured dynamically in a processor supporting the necessary configuration capability.
  • a configurably multithreaded//multi-VPE processor must have a sane default thread/VPE configuration at reset. This would typically be, but need not necessarily be, that of a single VPE with a single thread context.
  • the MVP bit of the Config3 register can be sampled at reset time to determine if dynamic VPE configuration is possible. If this capability is ignored, as by legacy software, the processor will behave as per specification for the default configuration. [00155] If the MVP bit is set, the VPC (Virtual Processor Configuration) bit of the Config3 register can be set by software.
  • the processor into a configuration state in which the contents of the Config4 register can be read to determine the number of available VPE contexts, thread contexts, TLB entries, and coprocessors, and certain normally read-only "preset" fields of Config registers that become writable. Restrictions may be imposed on configuration state instruction streams, e.g. they may be forbidden to use cached or TLB-mapped memory addresses.
  • the configuration state the total number of configurable VPEs is encoded in the PVPE field of the Config4 register. Each VPE can be selected by writing its index into the CPUNum field of the EBase register. For the selected VPE, the following register fields can potentially be set by writing to them.
  • a VPE is enabled for post-configuration execution by clearing the VPI inhibit bit in the EBase register.
  • the configuration state is exited by issuing an ECONF instruction. This instruction causes all uninhibited VPEs to take a reset exception and begin executing concurrently. If the MVP bit of the Config3 register is cleared during configuration and latched to zero by an ECONF instruction, the VPC bit can no longer be set, and the processor configuration is effectively frozen until the next processor reset. If MVP remains set, an operating system may re-enter the configuration mode by again setting the VPC bit. The consequences to a running VPE of the processor re-entering configuration mode may be unpredictable.
  • QoS Quality of Service
  • Speech connections for example, are relatively undemanding of bandwidth, but cannot tolerate delays beyond a few tens of milliseconds.
  • QoS protocols in broadband multimedia networks ensure that time-critical transfers get whatever special handling and priority is necessary to ensure timely delivery.
  • Multithreading and QoS There are a number of ways to schedule issuing of instructions from multiple threads. Interleaved schedulers will change threads every cycle, while blocking schedulers will change threads whenever a cache miss or other major stall occurs.
  • the Multithreading ASE described in detail above provides a framework for explicitly multithreaded processors that attempts to avoid any dependency on a specific thread scheduling mechanism or policy. However, scheduling policy may have a huge impact on what QoS guarantees are possible for the execution of the various threads. [00166] A DSP-extended RISC becomes significantly more useful if QoS guarantees can be made about the real-time DSP code.
  • Quality of Service thread scheduling can be loosely defined as a set of scheduling mechanisms and policies which allow a programmer or system architect to make confident, predictive statements about the execution time of a particular piece of code. These statements in general have the form "This code will execute in no more than Nmax and no less than Nmin cycles". In many cases, the only number of practical consequence is the Nmax number, but in some applications, running ahead of schedule is also problematic, so Nmin may also matter. The smaller the range between Nmin and Nmax, the more accurately the behavior of the overall system can be predicted.
  • QoS to multithreaded issue scheduling is simply to assign maximal priority to a single designated real-time thread, such that if that thread is runnable, it will always be selected to issue instructions. This will provide the smallest value of Nmin, and might seem to provide the smallest possible value of Nmax for the designated thread, but there are some adverse consequences.
  • the Multithreading system described above is deliberately scheduling- policy-neutral, but can be extended to allow for a hybrid scheduling model.
  • real-time threads may be given fixed scheduling of some proportion of the thread issue slots, with the remaining slots assigned by the implementation-dependent default scheduling scheme.
  • any particular thread may be guaranteed from 1/32 to 32/32 of the bandwidth.
  • ⁇ N, D ⁇ which form the numerator and denominator of a fraction of issue slots assigned to the thread, e.g. 1/2, 4/5. If the range of integers allowed is sufficiently large, this would allow almost arbitrarily fine-grained tuning of thread priority assignments, but it has some substantial disadvantages.
  • One problem is that the hardware logic to convert a large set of pairs, ⁇ No, Do ⁇ , N ⁇ , D ⁇ ⁇ ,...
  • each thread for which real-time bandwidth QoS is desired is associated with a bit-vector which represents the scheduling slots to be allocated to that thread.
  • this vector is visible to system software as the contents of a ThreadSchedule Register (Fig. 23) described above.
  • the ThreadSchedule Register contains a scheduling "mask" that is 32 bits wide, the number of bits in this mask may be greater or fewer in alternative embodiments.
  • a thread scheduling mask that is 32 bits wide allows for a thread to be assigned from 1/32 to 32/32 of the processor issue bandwidth, and furthermore allows a specific issue pattern to be specified.
  • a value of Oxaaaaaaaa assigns every second slot to the thread.
  • a value of OxOOOOffff also assigns 50%) of the issue bandwidth to the thread, but in blocks of 16 consecutive slots.
  • Assigning a value of Oxeeeeeee to thread X and a value of 0x01010101 to thread Y gives thread X 3 out of every 4 (24 out of 32) cycles, thread Y 1 out of every 8 (4 out of 32) cycles, and leaves the remaining 4 cycles per group of 32 to be assigned to other threads by other, possibly less deterministic hardware algorithms. Further, it can be known that thread X will have 3 cycles out of every 4, and that thread Y will never have a gap of more than 8 cycles between consecutive instructions.
  • Scheduling conflicts in this embodiment can be detected fairly simply, in that no bit should be set in the ThreadSchedule Register of more than one thread. That is, if a particular bit is set for one thread, that bit must be zero for all other threads to which issue masks are assigned. Conflicts are thus relatively easy to detect.
  • the issue logic for real-time threads is relatively straightforward: Each issue opportunity is associated with a modulo-32 index, which can be sent to all ready threads, at most one of which will be assigned the associated issue slot. If there is a hit on the slot, the associated thread issues its next instruction. If no thread owns the slot, the processor selects a runnable non-real-time thread.
  • ThreadSchedule Register implementations of less than 32-bits would reduce the size of the per-thread storage and logic, but would also reduce the scheduling flexibility.
  • the register could also be enlarged to 64-bits, or even implemented (in the case of a MIPS Processor) as a series of registers at incrementing select values in the MIPS32 CPO register space to provide much longer scheduling vectors.
  • interrupt service can introduce considerable variability in the execution time of the thread which takes the exception. It is therefore desirable to exempt threads requiring strict QoS guarantees from interrupt service. This is accomplished in an embodiment with a single bit per thread, visible to the operating system, which causes any asynchronous exception raised to be deferred until a non- exempt thread is scheduled (i.e., bit IXMT of the ThreadStatus Register; see, Figs. 18 and 19). This increases the interrupt latency, though to a degree that is boundable and controllable via the selection of ThreadSchedule Register values.
  • VPEs Virtual Processing Elements
  • OS operating systems software
  • Fig. 34 is a block diagram of scheduling circuit 3400 illustrating this hierarchical allocation of thread resources.
  • Processor Scheduler 3402 i.e., the overall scheduling logic of the host processor communicates an issue slot number via "Slot Select" signal 3403 to all VPESchedule registers disposed in all VPEs within the host processor.
  • Signal 3403 corresponds to a bit position within the VPESchedule registers (which, in the present embodiment, would be one of thirty-two positions).
  • Scheduler 3402 repeatedly circulates signal 3403 through such bit positions, incrementing the position at the occurrence of each issue slot and resetting to the least significant position (i.e., 0) after reaching the most significant bit position (i.e., 31 in the present embodiment).
  • bit position 1 (i.e., "Slot 1") is being communicated via signal 3403 to all VPESchedule registers within the host processor; i.e., registers 3414 and 3416.
  • Any VPESchedule register with the corresponding bit “set” (i.e., holding a logic 1) signals this fact to the processor scheduler with a "VPE Issue Request” signal.
  • the scheduler grants the subject VPE the current issue slot with a "VPE Issue Grant” signal.
  • VPE Scheduler 3412 i.e., the scheduling logic of VPE 0 3406
  • VPE Scheduler 3412 presents an issue slot number via Slot Select signal 3413 to all ThreadSchedule registers disposed within the VPE. These ThreadSchedule registers are each associated with a thread supported by the subject VPE.
  • Signal 3413 corresponds to a bit position within the ThreadSchedule registers (which, in the present embodiment, would be one of thirty-two positions).
  • Scheduler 3412 repeatedly circulates signal 3413 through such bit positions, incrementing the position at the occurrence of each issue slot and resetting to the least significant bit position (i.e., 0) after reaching the most significant bit position (i.e., 31 in the present embodiment).
  • This slot number is independent of the slot number used at the VPESchedule level.
  • ThreadSchedule register 3418 (of Thread 0) has bit position 0 set and therefore sends Thread Issue Request signal 3419 to VPE Scheduler 3412 which responds with Thread Issue Grant signal 3417 (thereby granting Thread 0 the current issue slot).
  • Thread Issue Request signal 3419 to VPE Scheduler 3412 which responds with Thread Issue Grant signal 3417 (thereby granting Thread 0 the current issue slot).
  • the processor or VPE scheduler will grant the next issue according to some other default scheduling algorithm.
  • each VPE in one embodiment for example VPE 0 (3406) and VPE 1 (3404) in Fig. 34, is assigned a VPESchedule Register (format shown in Fig. 24) which permits certain slots, modulo the length of the register's contents, to be deterministically assigned to that VPE.
  • the VPESchedule registers in Fig. 34 are register 3414 for VPE 0 and register 3416 for VPE 1. Those issue slots which are not assigned to any VPE are assigned by implementation-specific allocation policies.
  • the slots assigned to threads within a VPE are assigned from the allocation given to that VPE.
  • a processor has two VPEs configured, as is shown in Fig. 34, such that one has a VPESchedule Register containing Oxaaaaaaa and the other has a VPESchedule Register containing 0x55555555, the issue slots will be alternated between the two VPEs. If a thread on one of those VPEs has a ThreadSchedule Register containing 0x55555555, it will get every other issue slot of the VPE which contains it, which is to say every fourth issue slot of the overall processor. [00191] Thus the value of the VPESchedule register associated with each VPE determines which processing slots go to each VPE.
  • ThreadSchedule register for example register 3418 for Thread 0 and register 3420 for Thread 1. The value of the ThreadSchedule registers determines the allocation of processing slots for each Thread assigned to a VPE.
  • Schedulers 3402 and 3412 may be constructed from simple combinational logic to carry out the functions set out above, and constructing these schedulers will be within the skill of the skilled artisan without undue experimentation, given the disclosure provided herein. They may, for example, be constructed in any conventional way, such as by combinational logic, programmable logic, software, and so forth, to carry out the functions described.
  • Fig. 33 illustrates a computer system 3300 in a general form upon which various embodiments of the present invention may be practiced.
  • the system includes a processor 3302 configured with the necessary decoding and execution logic (as would be apparent to one of ordinary skill in the art) to support one or more of the instructions described above and below (i.e., FORK, YIELD, MFTR, MTTR, EMT, DMT and ECONF).
  • core 3302 also includes scheduling circuit 3400 shown in Fig. 34 and represents the "host processor" as described above.
  • System 3300 also includes a system interface controller 3304 in two- way communication with the processor, RAM 3316 and ROM 3314 accessible by the system interface controller, and three I/O devices 3306, 3308, and 3310 communicating with the system interface controller on a bus 3312.
  • system 3300 may operate as a multithreaded system. It will be apparent to the skilled artisan that there may be many alterations to the general form shown in Fig. 33.
  • bus 3312 may take any one of several forms, and may be in some embodiments an on-chip bus.
  • the number of I/O devices is exemplary, and may vary from system to system.
  • device 3306 is shown as issuing an interrupt request, it should be apparent that others of the devices may also issue interrupt requests.
  • VPESchedule registers does not allow for allocations of exact odd fractions of issue bandwidth.
  • a programmer wishing to allocate exactly one third of all issue slots to a given thread would have to approximate to 10/32 or 1 1/32.
  • a further programmable mask or length register in one embodiment allows the programmer to specify that a subset of the bits in the ThreadSchedule and/or VPESchedule Register(s) be used by the issue logic before restarting the sequence. In the example case, the programmer specifies that only 30 bits are valid, and programs the appropriate VPESchedule and/or ThreadSchedule Registers with 0x24924924.
  • YIELD instruction 3500 according to an alternate embodiment of the present invention is shown.
  • the YIELD instruction 3500 of Figure 35 is similar to the instruction 600 of Figure 6 as indicated; however, the YIELD instruction 3500 of Figure 35 includes two differences.
  • the YIELD instruction 3500 of Figure 35 also includes a second operand field, rd 3504.
  • the rd operand field 3504 comprises bits 11 through 15 of the YIELD instruction 3500.
  • the rd operand field 3504 specifies a destination register, such as a GPR, which receives a result value at completion of the YIELD instruction 3500, as described below.
  • FIG. 36 a block diagram of a processor core 3302 for executing the YIELD instruction 3500 of Figure 35 according to the alternate embodiment of Figure 35 of the present invention is shown.
  • the processor core 3302 of Figure 36 is similar to the processor core 3302 of the system 3300 of Figure 33.
  • the processor core 3302 includes a scheduler 3400 of Figure 34.
  • the processor core 3302 also includes an rs register 3602 specified by the rs field 3502 of Figure 35, an rd register 3604 specified by the rd field 3504 of Figure 35, a YQMask Register 3606, a block of thirty-one two-input AND gates 3608, and control logic 3612.
  • the processor core 3302 receives thirty-one YIELD Qualifier (YQ) inputs 3614, denoted YQ0 through YQ30.
  • the YQ inputs 3614 may receive signals generated by circuits external to the processor core 3302, including but not limited to interrupt signals generated by I/O devices, such as I/O devices 3306 of Figure 33.
  • the YQ inputs 3614 may also receive signals generated internally by the processor core 3302, including but not limited to software interrupt signals and cache miss signals.
  • the YQ inputs 3614 may receive a combination of signals generated externally and internally to the processor core 3302.
  • a signal source may be coupled to both a YQ input 3614 and an interrupt input to the processor core 3302.
  • one or more of the YQ inputs 3614 may not be connected to a signal source, but instead may be connected to a logical one or zero value as appropriate.
  • the YQMask Register 3606 is a thirty-two bit register. Bit 1 is zero. Bits 0 through 30 comprise a Mask field 3702.
  • the Mask field 3702 is a bit vector programmable by software, such as the operating system, that specifies which of the YQ inputs 3614 may be used as a condition for rescheduling the thread issuing the YIELD instruction 3500. In particular, if a YIELD instruction 3500 specifies a YQ input 3614 that is not specified in the YQMask Register 3606, the processor core 3302 raises an exception, as described below.
  • the YQMask register 3606 is defined per VPE. [00198] Referring again to Figure 36, each of the AND gates 3608 receives on one of its inputs a corresponding YQ input 3614. Each of the AND gates 3608 receives on its other input a corresponding bit of the thirty-one bit vector stored in the YQMask register 3606. The thirty-one output bits of the AND gates 3608 are provided as a bit vector for storage in rd register 3604 at the completion of the YIELD instruction 3500.
  • the rd register 3604 result value is architecturally defined to have the same bit organization and positions as the YQ inputs 3614, as shown in Figure 37.
  • the rs register 3602 stores a descriptor of the circumstances under which the thread issuing the YIELD instruction 3500 should be rescheduled, as described herein.
  • the rs register 3602 if it contains a positive value, specifies a bit vector of YQ inputs 3614. When one of the YQ inputs 3614 specified in the rs 3602 bit vector is true, the processor core 3302 reschedules the thread.
  • control logic 3612 receives the YQ inputs 3614, the contents of the
  • the control logic 3612 may include, but is not limited to, combinatorial and sequential logic, programmable logic, software, and the like, configured to perform the functions described herein.
  • the control logic 3612 generates an exception signal 3622, a terminate thread signal 3624, a suspend thread signal 3626, and a reschedule thread signal 3628, all of which are provided to scheduler 3400.
  • the control logic 3612 and the scheduler 3400 are integrated.
  • the exception signal 3622 indicates the YIELD instruction 3500 has caused an exception.
  • a YIELD instruction 3500 causes an exception if the rs register 3602 bit vector value specifies a YQ input 3614 whose corresponding bit in the YQMask register 3606 is clear.
  • a YIELD instruction 3500 causes an exception if the YIELD instruction 3500 specifies the thread is to be terminated (i.e., via a zero rs register 3602 value), however the thread issuing the YIELD instruction 3500 is not a dynamically allocatable thread, i.e., the thread is a wired thread.
  • a YIELD instruction 3500 causes an exception if the YIELD instruction 3500 specifies the thread is to be terminated (i.e., via a zero rs register 3602 value), however no other thread is available for scheduling.
  • the terminate signal 3624 indicates the thread issuing the YIELD instruction 3500 should be terminated, or deallocated.
  • the processor core 3302 terminates, or deallocates, a thread by stopping fetching and issuing instructions from the thread.
  • the processor core 3302 frees the hardware state, or resources, i.e., thread context, previously allocated for execution of the thread making the freed hardware state available for allocation by another thread.
  • the hardware resources may include but are not limited to a program counter register, a set of general pu ⁇ ose registers, multiplier result registers, and/or one or more privileged system coprocessor resources, including but not limited to portions of the registers shown in Figure 15.
  • the suspend signal 3626 indicates the thread issuing the YIELD instruction 3500 is to be suspended, or blocked.
  • the processor core 3302 suspends, or blocks, a thread by stopping fetching and issuing instructions from the thread.
  • the reschedule signal 3628 indicates the thread issuing the YIELD instruction 3500 is to be rescheduled.
  • the processor core 3302 reschedules a thread by designating the thread eligible for execution subject to the thread scheduling policy. That is, the processor core 3302 adds the thread to the list of threads that are currently ready for execution such that the processor core 3302 will begin fetching and issuing instructions from the thread's program counter if the scheduler 3400 so specifies.
  • FIG 38 a flowchart illustrating operation of the processor core 3302 of Figure 36 to execute a YIELD instruction 3500 of the alternate embodiment of Figure 35 according to the present invention is shown. Flow begins at decision block 3802.
  • control logic 3612 of Figure 36 examines the rs register 3602 to determine if its value is zero. If so, flow proceeds to block 3804; otherwise, flow proceeds to decision block 3806.
  • control logic 3612 generates a true value on terminate signal 3624 of Figure 36 to cause the thread to be terminated. That is, the thread is not rescheduled, and the thread's context is de-allocated and freed for allocation by a subsequent FORK instruction 300. In one embodiment, if the YIELD instruction 3500 causes the thread to be terminated and no other thread is available for scheduling, the processor core 3302 raises an exception. In one embodiment, if the processor core 3302 terminates the thread, no value is returned in the rd register 3604. Flow ends at block 3804. [00208] At decision block 3806, the control logic 3612 examines the rs register 3602 to determine if its value is negative one (-1).
  • control logic 3612 compares the bit vector stored in rs register 3602 with the bit vector stored in the YQMask Register 3606. In one embodiment, negative values of rs register 3602 other than -1 are reserved; hence, at block 3808 it is known that the value stored in rs register 3602 is a positive value. Flow proceeds to decision block 3812.
  • control logic 3612 determines whether any of bits 0 through 30 that are set in the rs register 3602 have a corresponding bit clear in the
  • control logic 3612 generates a true value on the exception signal 3622 to indicate that the thread specified an invalid YQ input 3614.
  • control logic 3612 examines the YQ inputs 3614 to determine whether all of the YQ inputs 3614 specified by a set bit on rs register 3602 are false. If so, flow returns to decision block 3816 and the control logic 3612 continues to generate a true value on the suspend signal 3626 to cause the thread to remain suspended until one of the YQ inputs 3614 specified by a set bit in rs register 3602 becomes true. Otherwise, flow proceeds to block 3818.
  • control logic 3612 generates a true value on reschedule signal 3628 of Figure 36 to cause scheduler 3400 to reschedule the thread.
  • processor core 3302 stores into the rd register 3604 the YQ input 3614 signal values masked by the YQMask Register 3606 value, i.e., the output of the AND gates of Figure 36.
  • the YQ input 3614 signal values are sampled at the time the YIELD instruction 3500 is retired by the processor core 3302. Flow ends at block 3822.
  • the processor core 3302 does not issue the instruction in the thread including the YIELD instruction 3500 until the scheduler 3400 has begun executing the thread after rescheduling the thread at block 3818.
  • Figures 35-40 describe an embodiment in which the input operand to the YIELD instruction 3500 is provided in a general pu ⁇ ose register, namely rs register 3602, in other embodiments the input operand may be provided via other means, such as via a memory or via a non-general pu ⁇ ose register.
  • the processor 3302 is a register- based processor
  • the processor is a stack- based processor, such as a processor configured to efficiently execute Java virtual machine code.
  • the input operand of the YIELD instruction 3500 may be specified in an operand stack in memory rather than in a register.
  • each thread context may include a stack pointer register, and a field of the YIELD instruction 3500 may specify an offset of the YIELD input operand into the stack memory relative to the stack pointer register value, rather than specifying a register in the processor's register space.
  • the YIELD instruction 3500 return value may be returned on an operand stack rather than in a register.
  • the YIELD instruction 3500 of the present invention advantageously provides a means for conditional termination of the thread based on the value of the rs 3602 input operand.
  • the termination condition of a thread of execution may be computed at runtime. For example, a loop may test for a set of conditions to be met, and in response selectively terminate the loop based on the test.
  • the present YIELD instruction 3500 allows for the thread to perform a computation that produces either a zero or negative one value in the rs register 3602, and then the thread executes a YIELD instruction 3500 on the rs register 3602 value to either terminate (on zero) or continue (on negative one) and branch back to the top of the loop.
  • the present conditional value-based YIELD instruction 3500 enables multithreaded code to be more compact and to execute more efficiently in the microprocessor 100 pipeline.
  • an operating system can simulate the operation of a YIELD instruction 3500 that blocks on a particular YQ input 3614 even though the hardware source of the signal to be connected to the YQ input 3614 is not present, without modifying the application program.
  • This simulation may be useful for testing application programs while the hardware signal source is being developed or during prototype development.
  • the operating system may program the YQMask Register 3606 to clear the bits corresponding to the absent YQ input 3614 so that a YIELD rd, rs 3500 with the corresponding bit set in rs register 3602 causes an exception.
  • the operating system suspends the thread until it is appropriate to simulate that the "virtual" YQ input 3614 is true.
  • the operating system decodes the rd field 3504 of the YIELD instruction 3500 to determine which register was selected as the rd register 3604, writes an appropriate value into the rd register 3604, advances the thread's program counter to the instruction immediately following the YIELD instruction 3500, and restarts execution of the thread. To the application program, it appears as if the YIELD instruction 3500 blocked, waited, and was rescheduled in response to a true value on the specified YQ input 3614.
  • the operating system may employ the YQMask Register 3606 to insure program operation when migrating threads from one processor core 3302 to another, such as to perform load- balancing among multiple processor cores 3302.
  • an application program could explicitly simulate in software the operation that the processor core 3302 performs in hardware by blocking execution of a YIELD instruction 3500 until a set of YQ inputs 3614 specified by the rs register 3602 is true.
  • the software simulation is similar to a program polling for an interrupt rather than allowing the hardware to transfer execution to an interrupt service routine in response to an interrupt request.
  • the YQMask Register 3606 has one or more bits set and a YIELD rd, rs 3500 is executed where the corresponding bits in the rs register 3602 are set.
  • the processor core 3302 will suspend the thread issuing the YIELD instruction 3500 until one of the specified YQ inputs 3614 is true.
  • a program stores a bit vector in the YQMask Register 3606 specifying the set of YQ inputs 3614 in question.
  • the program issues a YIELD rd, rs 3500 where the value of rs register 3602 is -1 causing the thread to be rescheduled without blocking.
  • the return value in the rd register 3604 will contain the YQ input 3614 signal values specified in the YQMask Register 3606.
  • a YIELD instruction 3500 specifying an rs register 3602 value of -1 becomes a means of polling, or sampling, the YQ inputs 3614 that would otherwise be used by the processor core 3302 hardware to block execution of the thread issuing the YIELD instruction 3500. It is noted that execution of the program thread is still controlled based upon the actual YQ inputs 3614 specified; however, the hardware blocking of the thread is simulated by the program itself rather than the processor core 3302.
  • FIG 39 a block diagram illustrating a processing system 3300, such as the processing system 3300 of Figure 33, including the processor core 3302 of Figure 36 for executing a YIELD instruction 3500 according to the alternate embodiment of Figure 35 of the present invention is shown.
  • the system 3300 includes signal sources 3908 that generate signals 3912, such as but not limited to, the interrupt request signals generated by the I/O devices 3306 of Figure 33.
  • the system 3300 also includes a YQ input management block 3902.
  • the YQ input management block 3902 includes thirty-one YQ Map Registers 3906 controlling corresponding muxes 3904.
  • FIG. 39 illustrates three YQ Map Registers 3906, denoted YQ Map Register 0 3906, YQ Map Register 1 3906, and YQ Map Register 30 3906, whose corresponding mux 3904 outputs are coupled to YQ input 0 3614, YQ input 1 3614, and YQ input 30 3614, respectively.
  • Each of the muxes 3904 receives all of the signals 3912 from the signal sources 3908 and selects one of the signals 3912 for provision to its respective YQ input 3614 based on a select input provided by its respective YQ Map Register 3906.
  • the YQ Map Registers 3906 are programmable by a program executing on the processor core 3302.
  • the YQ input management block 3902 is included in the processor core 3302 rather than being external to the processor core 3302.
  • the YQ input management block 3902 is comprised in a coprocessor to the processor core 3302.
  • FIG. 40 a block diagram illustrating a semantic of the rs register 3602 specified in the rs field 3502 of the YIELD instruction 3500 of Figure 35 according to an alternate embodiment of the alternate embodiment of Figure 35 according to the present invention is shown.
  • the embodiment of Figure 40 is employed in a processor core 3302 which receives only four YQ inputs 3614 of Figure 36 rather than thirty-one.
  • the four YQ inputs 3614 are selected based on values programmed into a YQ input management block 3902 similar to that of Figure 39.
  • the YQ input management block 3902 is comprised in a coprocessor to the processor core 3302.
  • each thread context includes a YQ input management block 3902.
  • the rs register 3602 is divided into eight 4-bit fields. Four of the fields are inversion mask fields, denoted INV3, INV2, INVl, and INV0. Four of the fields are AND-enabling term fields, denoted AND3, AND2, ANDl, and ANDO. The table shown in Figure 40 describes each of the fields. [00225]
  • the control logic 3612 includes circuitry to perform the following manipulation of the YQ inputs 3614 based on the rs register 3602 value.
  • the four YQ input 3614 values, whose mapping to signals 3912 is controlled by the YQ input management block 3902, are brought into four independent sets of XOR gates, where the bits set in the corresponding INVx field are inverted to create a conditioned set of active-high values.
  • Each of the four conditioned qualifiers is provided to an independent n-Way AND block, where the set of bits selected by the associated ANDx field is ANDed together with an implicit 1 (i.e. if only one ANDx bit is set, the output tracks the corresponding input) to produce one of four gated qualifiers.
  • the four gated qualifiers are then ORed together. If the result is non-zero, the control logic 3612 generates a true value on reschedule signal 3628 to reschedule the thread.
  • the return value stored into the rd register 3604 is a vector of the four gated qualifier values.
  • the return value is stored in the least significant bits of the rd register 3604.
  • the return value is shifted left by two bits to create an address offset to facilitate a software switch based on the reason for rescheduling.
  • a zero rs register 3602 value causes the thread to be terminated.
  • the rs register 3602 is programmed with a value using the INV and AND masks to create (A)
  • YIELD instruction 3500 is not limited to these embodiments, but may be extended or contracted to various numbers in inputs and register sizes.
  • the Multithreading ASE described in this application may, of course, be embodied in hardware; e.g., within or coupled to a Central Processing Unit (“CPU”), microprocessor, microcontroller, digital signal processor, processor core, System on Chip (“SOC”), or any other programmable device.
  • CPU Central Processing Unit
  • microprocessor microcontroller
  • digital signal processor processor core
  • SOC System on Chip
  • the Multithreading ASE may be embodied in software (e g , computer readable code, program code, instructions and/or data disposed in any form, such as source, object or machine language) disposed, for example, in a computer usable (e g , readable) medium configured to store the software
  • software enables the function, fab ⁇ cation, modeling, simulation, desc ⁇ ption and/or testing of the apparatus and processes desc ⁇ bed herein For example, this can be accomplished through the use of general programming languages (e g , C, C++), GDSII databases, hardware desc ⁇ ption languages (HDL) including Ve ⁇ log HDL, VHDL, AHDL (Altera HDL) and so on, or other available programs, databases, and/or circuit (1 e , schematic) capture tools
  • Such software can be disposed in any known computer usable medium including semiconductor, magnetic disk, optical disc (e g , CD-ROM, DVD-ROM, etc ) and as a computer data signal embodied in a computer
  • a Multithreading ASE embodied in software may be included in a semiconductor intellectual property core, such as a processor core (e g , embodied in HDL) and transformed to hardware in the production of integrated circuits Additionally, a Multithreading ASE as descnbed herein may be embodied as a combination of hardware and software

Abstract

A yield instruction for execution in a multithreaded microprocessor is disclosed. The yield instruction includes an operand. If the operand is zero the microprocessor terminates the program thread including the yield instruction. If the operand is -1 the microprocessor unconditionally reschedules the program thread. If the operand is a positive integer the microprocessor views the operand as a bit vector specifying one or more yield qualifier inputs, such as interrupt signals, and conditionally reschedules the thread based on the qualifier inputs and bit vector values. The microprocessor also includes a mask register that specifies a bit vector of the qualifier inputs. If the operand specifies a qualifier input not also specified in the mask register, an exception to the instruction is raised. The instruction returns a value specifying the values of the qualifier inputs qualified by the mask register value.

Description

INTEGRATED MECHANISM FOR SUSPENSION AND
DEALLOCATION OF COMPUTATIONAL THREADS OF
EXECUTION IN A PROCESSOR by Kevin D. Kissell
CROSS REFERENCE TO RELATED APPLICATION(S) [0001] This application is a continuation-in-part (CIP) of the following co-pending Non- Provisional U.S. Patent Applications, which are hereby incorporated by reference in their entirety for all purposes:
Figure imgf000003_0001
[0002] The above co-pending Non-Provisional U.S. Patent Applications claim the benefit of the following U.S. Provisional Applications, each of which this application also claims the benefit of, and which are hereby incorporated by reference in their entirety for all purposes:
Figure imgf000003_0002
Figure imgf000004_0001
[0003] This application "is related to and filed concurrently with the following Non- Provisional U.S. Patent Applications, which are hereby incorporated by reference in their entirety for all purposes:
Figure imgf000004_0002
FIELD OF THE INVENTION [0004] The present invention is in the area of digital processors (e.g., microprocessors, digital signal processors, microcontrollers, etc.), and pertains more particularly to apparatus and methods relating to managing execution of multiple threads in a single processor. BACKGROUND OF THE INVENTION [0005] In the realm of digital computing the history of development of computing power comprises steady advancement in many areas. Steady advances are made, for example, in device density for processors, interconnect technology, which influences speed of operation, ability to tolerate and use higher clock speeds, and much more. Another area that influences overall computing power is the area of parallel processing, which includes more than the parallel operation of multiple, separate processors. [0006] The concept of parallel processing includes the ability to share tasks among multiple, separate processors, but also includes schemes for concurrent execution of multiple programs on single processors. This scheme is termed generally multithreading. [0007] The concept of multithreading is explained as follows: As processor operating frequency increases, it becomes increasingly difficult to hide latencies inherent in the operation of a computer system. A high-end processor which misses in its data cache on 1% of the instructions in a given application could be stalled roughly 50% of the time if it has a 50-cycle latency to off-chip RAM. If instructions directed to a different application could be executed when the processor is stalled during a cache miss, the performance of the processor could be improved and some or all of the memory latency effectively hidden. For example, Fig. 1A shows a single instruction stream 101 that stalls upon experiencing a cache miss. The supporting machine can only execute a single thread or task at a time. In contrast, Fig. IB shows instruction stream 102 that may be executed while stream 101 is stalled. In this case, the supporting machine can support two threads concurrently and thereby more efficiently utilize its resources.
[0008] More generally, individual computer instructions have specific semantics, such that different classes of instructions require different resources to perform the desired operation. Integer loads do not exploit the logic or registers of a floating-point unit, any more than register shifts require the resources of a load/store unit. No single instruction consumes all of a processor's resources, and the proportion of the total processor resources that is used by the average instruction diminishes as one adds more pipeline stages and parallel functional units to high-performance designs.
[0009] Multithreading arises in large measure from the notion that, if a single sequential program is fundamentally unable to make fully efficient use of a processor's resources, the processor should be able to share some of those resources among multiple concurrent threads of program execution. The result does not necessarily make any particular program execute more quickly - indeed, some multithreading schemes actually degrade the performance of a single thread of program execution - but it allows a collection of concurrent instruction streams to run in less time and/or on a smaller number of processors. This concept is illustrated in Figs. 2A and 2B, which show single-threaded processor 210 and dual-threaded processor 250, respectively. Processor 210 supports single thread 212, which is shown utilizing load/store unit 214. If a miss occurs while accessing cache 216, processor 210 will stall (in accordance with Fig. 1A) until the missing data is retrieved. During this process, multiply/divide unit 218 remains idle and underutilized. However, processor 250 supports two threads; i.e., 212 and 262. So, if thread 212 stalls, processor 250 can concurrently utilize thread 262 and multiply/divide unit 218 thereby better utilizing its resources (in accordance with Fig. IB). [0010] Multithreading on a single processor can provide benefits beyond improved multitasking throughput, however. Binding program threads to critical events can reduce event response time, and thread-level parallelism can, in principle, be exploited within a single application program.
[0011] Several varieties of multithreading have been proposed. Among them are interleaved multithreading, which is a time-division multiplexed (TDM) scheme that switches from one thread to another on each instruction issued. This scheme imposes some degree of "fairness" in scheduling, but implementations which do static allocation of issue slots to threads generally limit the performance of a single program thread. Dynamic interleaving ameliorates this problem, but is more complex to implement. [0012] Another multithreading scheme is blocked multithreading, which scheme issues consecutive instructions from a single program thread until some designated blocking event, such as a cache miss or a replay trap, for example, causes that thread to be suspended and another thread activated. Because blocked multithreading changes threads less frequently, its implementation can be simplified. On the other hand, blocking is less "fair" in scheduling threads. A single thread can monopolize the processor for a long time if it is lucky enough to find all of its data in the cache. Hybrid scheduling schemes that combine elements of blocked and interleaved multithreading have also been built and studied. [0013] Still another form of multithreading is simultaneous multithreading, which is a scheme implemented on superscalar processors. In simultaneous multithreading instructions from different threads can be issued concurrently. Assume for example, a superscalar reduced instruction set computer (RISC), issuing up to two instructions per cycle, and a simultaneously multithreaded superscalar pipeline, issuing up to two instructions per cycle from either of the two threads. Those cycles where dependencies or stalls prevented full utilization of the processor by a single program thread are filled by issuing instructions for another thread. [0014] Simultaneous multithreading is thus a very powerful technique for recovering lost efficiency in superscalar pipelines. It is also arguably the most complex multithreading system to implement, because more than one thread may be active on a given cycle, complicating the implementation of memory access protection, and so on. It is perhaps worth noting that the more perfectly pipelined the operation of a central processing unit (CPU) may be on a given workload, the less will be the potential gain of efficiency for a multithreading implementation.
[0015] Multithreading and multiprocessing are closely related. Indeed, one could argue that the difference is only one of degree: whereas multiprocessors share only memory and/or connectivity, multithreaded processors share memory and/or connectivity, but also share instruction fetch and issue logic, and potentially other processor resources. In a single multithreaded processor, the various threads compete for issue slots and other resources, which limits parallelism. Some multithreaded programming and architectural models assume that new threads are assigned to distinct processors, to execute fully in parallel.
[0016] There are several distinct problems with the state-of-the-art multithreading solutions available at the time of submission of the present application. One of these is the treatment of real-time threads. Typically, real-time multimedia algorithms are run on dedicated processors/DSPs to ensure quality-of-service (QoS) and response time, and are not included in the mix of threads to be shared in a multithreading scheme, because one cannot easily guarantee that the real-time software will be executed in a timely manner. [0017] What is clearly needed in this respect is a scheme and mechanism allowing one or more real-time threads or virtual processors to be guaranteed a specified proportion of instruction issue slots in a multithreaded processor, with a specified inter-instruction interval, such that the compute bandwidth and response time is well defined. If such a mechanism were available, threads with strict QoS requirements could be included in the multithreading mix. Moreover, real time threads (such as DSP-related threads) in such a system might be somehow exempted from taking interrupts, removing an important source of execution time variability. This sort of technology could well be critical to acceptance of DSP-enhanced RISC processors and cores as an alternative to the use of separate RISC and DSP cores in consumer multimedia applications. [0018] Another distinct problem with state-of-the-art multithreading schemes at the time of filing the present application is in the creation and destruction of active threads in the processor. To support relatively fine-grained multithreading, it is desirable for parallel threads of program execution to be created and destroyed with the minimum possible overhead, and without intervention of an operating system being necessary, at least in usual cases. What is clearly needed in this respect is some sort of FORK (thread create) and JOIN (thread terminate) instructions. A separate problem exists for multi-threaded processors where the scheduling policy makes a thread run until it is blocked by some resource, and where a thread which has no resource blockage needs nevertheless to surrender the processor to some other thread. What is clearly needed in this respect is a distinct PAUSE or YIELD instruction. Furthermore, the opcode space of a microprocessor instruction set is a valuable architectural resource, which may be limited, particularly in RISC instruction sets; consequently, what is needed is a means for combining two or more of the FORK, JOIN, and YIELD-type instructions into a single instruction decode to conserve opcode space. BRIEF SUMMARY OF INVENTION [0019] In one aspect, the present invention provides a yield instruction for execution on a microprocessor configured to execute concurrent program threads. The instruction includes an opcode that instructs the microprocessor to suspend execution of a thread. The yield instruction is an instruction in the thread. The instruction also includes an operand that specifies a register. If the register contains a first predetermined value the microprocessor terminates execution of the thread. If the register contains a second predetermined value the microprocessor unconditionally reschedules the thread for execution. If the register contains a value within a predetermined set of values the microprocessor conditionally reschedules the thread for execution. The predetermined set of values excludes the first and second predetermined values.
[0020] In yet another aspect, the present invention provides a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction. The microprocessor includes a register for storing an operand of the instruction, and a scheduler, coupled to the register. The scheduler terminates execution of the thread if the operand is a first predetermined value and schedules the thread for execution if the operand is a second predetermined value.
[0021] In yet another aspect, the present invention provides a method for selectively suspending or terminating execution of a program thread in a microprocessor receiving event signals. The method includes issuing an instruction in the program thread. The instruction specifies an operand that specifics a first predetermined value, a second predetermined value, or a value in a predetermined set of values excluding the first and second predetermined values. The operand specifies one or more of the event signals if the operand is in the predetermined set of values. The method further includes, if the operand is the first predetermined value, terminating execution of the thread. The method further includes, if the operand is the second predetermined value, unconditionally rescheduling execution of the thread. The method further includes, if the operand is in the predetermined set of values, suspending execution of the thread until at least one of one or more of the event signals specified by the operand is true. [0022] In yet another aspect, the present invention provides a processing system. The processing system includes a plurality of signal sources and a microprocessor, coupled to receive a plurality of signals generated by the plurality of signal sources. The microprocessor executes an instruction in a program thread. The microprocessor terminates execution of the thread if an operand of the instruction is a first predetermined value, and otherwise reschedules the thread for execution based on a condition of one or more of the plurality of signals specified by the operand. [0023] In yet another aspect, the present invention provides a computer program product for use with a computing device. The computer program product includes a computer usable medium having computer readable program code embodied in the medium for causing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction. The computer readable program code includes first program code for providing a register that stores an operand of the instruction. The computer readable program code also includes second program code for providing a scheduler, coupled to the register, which terminates execution of the thread if the operand is a first predetermined value, and schedules the thread for execution if the operand is a second predetermined value.
[0024] In yet another aspect, the present invention provides a computer data signal embodied in a transmission medium, including computer-readable program code for providing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction. The program code includes first program code for providing a register that stores an operand of the instruction. The program code also includes second program code for providing a scheduler, coupled to the register, which terminates execution of the thread if the operand is a first predetermined value, and schedules the thread for execution if the operand is a second predetermined value.
[0025] An advantage of the YIELD instruction is that it provides a means for a program to surrender execution of the processor to another thread with very little overhead, typically a single clock cycle in RISC fashion, and without requiring operating system involvement in the normal case. Hence, for example, an embedded system may convert interrupt service routines to distinct threads that include a YIELD instruction to suspend the thread until the interrupt source indicates an event, at which time the thread is rescheduled, thereby accomplishing essentially zero-overhead interrupt servicing. Advantageously, the YIELD instruction integrates the ability to efficiently suspend program thread execution and termination of a program thread into a single instruction, thereby conserving valuable opcode space within the instruction set. Finally, the fact that the present YIELD instruction provides a means for conditional termination of the thread based on the value of an input operand to the instruction enables multithreaded code to be more compact and to execute more efficiently in a microprocessor pipeline.
BRIEF DESCRIPTION OF THE DRAWINGS
[0026] Fig. 1A is a diagram showing a single instruction stream that stalls upon experiencing a cache miss.
[0027] Fig. IB is a diagram showing an instruction stream that may be executed while the stream of Fig. la is stalled.
[0028] Fig. 2A is a diagram showing a single-threaded processor.
[0029] Fig. 2B is a diagram showing dual-threaded processor 250.
[0030] Fig. 3 is a diagram illustrating a processor supporting a first and a second VPE in an embodiment of the present invention.
[0031] Fig. 4 is a diagram illustrating a processor supporting a single VPE which in turn supports three threads in an embodiment of the invention.
[0032] Fig. 5 shows format for a FORK instruction in an embodiment of the invention.
[0033] Fig. 6 shows format for a YIELD instruction in a first embodiment of the invention.
[0034] Fig. 7 is a table showing a 16-bit qualifier mask for GPR rs.
[0035] Fig. 8 shows format for a MFTR instruction in an embodiment of the invention.
[0036] Fig. 9 is a table for inteφreting fields of the MFTR instruction in an embodiment of the invention.
[0037] Fig. 10 shows format for a MTTR instruction in an embodiment of the invention. [0038] Fig. 11 is a table for inteφreting u and sel bits of the MTTR instruction in an embodiment of the invention. [0039] Fig. 12 shows format for an EMT instruction in an embodiment of the invention. [0040] Fig. 13 shows format for a DMT instruction in an embodiment of the invention. [0041] Fig. 14 shows format for an ECONF instruction in an embodiment of the invention.
[0042] Fig. 15 is a table of system coprocessor privileged resources in an embodiment of the invention.
[0043] Fig. 16 shows layout of a ThreadControl register in an embodiment of the invention.
[0044] Fig. 17 is a table defining ThreadControl register fields in an embodiment of the invention.
[0045] Fig. 18 shows layout for a ThreadStatus register in an embodiment of the invention.
[0046] Fig. 19 is a table defining fields of the ThreadStatus register in an embodiment of the invention.
[0047] Fig. 20 shows layout of a ThreadContext register in an embodiment of the invention.
[0048] Fig. 21 shows layout of a ThreadConfig register in an embodiment of the invention.
[0049] Fig. 22 is a table defining fields of the ThreadConfig register in an embodiment of the invention.
[0050] Fig. 23 shows layout of a ThreadSchedule register in an embodiment of the invention.
[0051] Fig. 24 shows layout of a VPESchedule register in an embodiment of the invention.
|0052] Fig. 25 shows layout of a Config4 register in an embodiment of the invention.
[0053] Fig. 26 is a table defining fields of the Config4 register in an embodiment of the invention. [0054] Fig. 27 is a table defining Cause register ExcCode values required for thread exceptions. [0055] Fig. 28 is a table defining ITC indicators. [0056] Fig. 29 is a table defining Config3 register fields. [0057] Fig. 30 is a table illustrating VPE inhibit bit per VPE context.
[0058] Fig. 31 is a table showing ITC storage behavior.
[0059] Fig. 32 is a flow diagram illustrating operation of a YIELD function in an embodiment of the invention.
[0060] Fig. 33 is a diagram illustrating a computing system in an embodiment of the present invention.
[0061] Fig. 34 is a diagram illustrating scheduling by VPE within a processor and by thread within a VPE in an embodiment of the present invention.
[0062] Fig. 35 is a block diagram illustrating the format of the YIELD instruction according to an alternate embodiment of the present invention.
[0063] Fig. 36 is a block diagram of a processor core for executing the YIELD instruction of Figure 35 according to the alternate embodiment of Figure 35 of the present invention.
[0064] Fig. 37 is a block diagram illustrating the format of the YQMask Register of
Figure 36.
[0065] Fig. 38 is a flowchart illustrating operation of the processor core of Figure 36 to execute a YIELD instruction of Figure 35 according to the alternate embodiment of
Figure 35 of the present invention.
[0066] Fig. 39 is a block diagram illustrating a processing system, such as the processing system of Figure 33, including the processor core of Figure 36 for executing a YIELD instruction according to the alternate embodiment of Figure 35 of the present invention.
[0067] Fig. 40 is a block diagram illustrating a semantic of the rs register of the YIELD instruction of Figure 35 according to an alternate embodiment according to the present invention. DETAILED DESCRIPTION [0068] In one embodiment of the present invention, a processor architecture includes an instruction set comprising features, functions and instructions enabling multithreading on a compatible processor. The invention is not limited to any particular processor architecture and instruction set, but for exemplary puφoses the well-known MIPS architecture, instruction set, and processor technology (collectively, "MIPS technology") is referenced, and embodiments of the invention described in enabling detail below are described in context with MIPS technology. Additional information regarding MIPS technology (including documentation referenced below) is available from MIPS Technologies, Inc. (located in Mountain View California) and on the Web at www.mips.com (the company's website).
[0069] The terms "processor" and "digital processor" as used herein are intended to mean any programmable device (e.g., microprocessor, microcontroller, digital signal processor, central processing unit, processor core, etc.) in hardware (e.g., application specific silicon chip, FPGA, etc.), software (e.g., hardware description language, C, C+, etc.) or any other instantiation (or combination) thereof.
[0070] The terms "thread" and "program thread" as used herein have the same meaning. A thread is a sequence of computer instructions and the associated sequence of processor state changes associated with the execution of the instructions. The sequence of instructions typically, but not necessarily, includes one or more program control instructions, such as a branch instruction. Consequently, the instructions may or may not have consecutive memory addresses. The sequence of instructions is from a single program.
General Description
[0071] A "thread context" for puφoses of description in embodiments of this invention is a collection of processor state necessary to describe the state of execution of an instruction stream in a processor. This state is typically reflected in the contents of processor registers. For example, in a processor that is compatible with the industry- standard MIPS32 and/or MIPS64 Instruction Set Architectures (a "MIPS Processor"), a thread context comprises a set of general puφose registers (GPRs), Hi/Lo multiplier result registers, some representation of a program counter (PC), and some associated privileged system control state. The system control state is retained in that portion of a MIPS Processor typically referred to as coprocessor zero ("CPO"), and is largely maintained by system control registers and (when used) a Translation Lookaside Buffer ("TLB"). In contrast, a "processor context" is a larger collection of processor state, which includes at least one thread context. Referring again to a MIPS Processor, a processor context in this case would include at least one thread context (as described above) as well as the CPO and system state necessary to describe an instantiation of the well-known MIPS32 or MIPS64 Privileged Resource Architecture ("PRA"). (In brief, a PRA is a set of environments and capabilities upon which an instruction set architecture operates. The PRA provides the mechanisms necessary for an operating system to manage the resources of a processor; e.g., virtual memory, caches, exceptions and user contexts.)
[0072] In accordance with one embodiment of the present invention, a multithreading application-specific extension ("Multithreading ASE") to an instruction set architecture and PRA allows two distinct, but not mutually-exclusive, multithreading capabilities to be included within a given processor. First, a single processor can contain some number of processor contexts, each of which can operate as an independent processing element through the sharing of certain resources in the processor and supporting an instruction set architecture. These independent processing elements are referred to herein as Virtual Processing Elements ("VPEs"). To software, an N VPE processor looks exactly like an N-way symmetric multiprocessor ("SMP"). This allows existing SMP-capable operating systems to manage the set of VPEs, which transparently share the processor's execution units.
[0073] Fig. 3 illustrates this capability with single processor 301 supporting a first VPE ("VPE0") that includes register state zero 302 and system coprocessor state zero 304. Processor 301 also supports a second VPE ("VPEl ") that includes register state one 306 and system coprocessor state one 308. Those portions of processor 301 shared by VPE0 and VPEl include fetch, decode, and execute pipelines, and caches 310. The SMP-capable operating system 320, which is shown running on processor 301, supports both VPE0 and VPEl. Software Process A 322 and Process C 326 are shown running separately on VPE0 and VPEl, respectively, as if they were running on two different processors. Process B 324 is queued and may run on either VPE0 or VPEl . [0074] The second capability allowed by the Multithreading ASE is that each processor or VPE can also contain some number of thread contexts beyond the single thread context required by the base architecture. Multi-threaded VPEs require explicit operating system support, but with such support they provide a lightweight, fine-grained multithreaded programming model wherein threads can be created and destroyed without operating system intervention in typical cases, and where system service threads can be scheduled in response to external conditions (e.g., events, etc.) with zero interrupt latency. [0075] Fig. 4 illustrates this second capability with processor 401 supporting a single VPE that includes register state 402, 404 and 406 (supporting three threads 422), and system coprocessor state 408. Unlike Fig. 3, in this instance three threads are in a single application address space sharing CPO resources (as well as hardware resources) on a single VPE. Also shown is a dedicated multithreading operating system 420. In this example, the multithreaded VPE is handling packets from a broadband network 450, where the packet load is spread across a bank of FIFOs 452 (each with a distinct address in the I/O memory space of the multithreaded VPE). The controlling application program creates as many threads as it has FIFOs to serve, and puts each thread into a tight loop reading the FIFOs.
[0076] A thread context may be in one of four states. It may be free, activated, halted, or wired. A free thread context has no valid content and cannot be scheduled to issue instructions. An activated thread context will be scheduled according to implemented policies to fetch and issue instructions from its program counter. A halted thread context has valid content, but is inhibited from fetching and issuing instructions. A wired thread context has been assigned to use as Shadow Register storage, which is to say that is held in reserve for the exclusive use of an exception handler, to avoid the overhead of saving and restoring register contexts in the handler. A free thread context is one that is neither activated, nor halted, nor wired. Only activated thread contexts may be scheduled. Only free thread contexts may be allocated to create new threads. [0077] To allow for fine-grained synchronization of cooperating threads, an inter-thread communication ("ITC") memory space is created in virtual memory, with empty/full bit semantics to allow threads to be blocked on loads or stores until data has been produced or consumed by other threads. [0078] Thread creation/destruction, and synchronization capabilities function without operating system intervention in the general case, but the resources they manipulate are all virtualizable via an operating system. This allows the execution of multithreaded programs with more virtual threads than there are thread contexts on a VPE, and for the migration of threads to balance load in multiprocessor systems. [0079] At any particular point in its execution, a thread is bound to a particular thread context on a particular VPE. The index into that VPE's set of thread contexts provides a unique identifier at that point in time. But context switching and migration can cause a single sequential thread of execution to have a series of different thread indices, for example on a series of different VPEs.
[0080] Dynamic binding of thread contexts, TLB entries, and other resources to multiple VPEs on the same processor is performed in a special processor reset configuration state. Each VPE enters its reset vector exactly as if it were a separate processor.
Multithreaded Execution and Exception Model
[0081] The Multithreading ASE does not impose any particular implementation or scheduling model on the execution of parallel threads and VPEs. Scheduling may be round-robin, time-sliced to an arbitrary granularity, or simultaneous. An implementation must not, however, allow a blocked thread to monopolize any shared processor resource which could produce a hardware deadlock.
[0082] In a MIPS Processor, multiple threads executing on a single VPE all share the same system coprocessor (CPO), the same TLB and the same virtual address space. Each thread has an independent Kernel/Supervisor/User state for the puφoses of instruction decode and memory access. When an exception is taken, all threads other than the one taking the exception are stopped and suspended until the EXL and ERL bits of the Status word are cleared, or, in the case of an EJTAG Debug exception, the Debug state is exited. The Status word resides in the status register, which is located in CPO. Details regarding the EXL and ERL bits as well as EJTAG debug exceptions may be found in the following two publications, each of which is available from MIPS Technologies, Inc. and hereby incoφorated by reference in its entirety for all puφoses: MIPS32 Architecture for Programmers Volume III: The MIPS32 Privileged Resource Architecture. Rev. 2.00, MIPS Technologies, Inc. (2003), and MIPS64™ Architecture for Programmers Volume III: The MIPS64™ Privileged Resource Architecture. Rev. 2.00, MIPS Technologies, Inc. (2003). Exception handlers for synchronous exceptions caused by the execution of an instruction stream, such as TLB miss and floating-point exceptions, are executed by the thread executing the instruction stream in question. When an unmasked asynchronous exception, such as an interrupt, is raised to a VPE, it is implementation dependent which thread executes the exception handler.
[0083] Each exception is associated with a thread context, even if shadow register sets are used to run the exception handler. This associated thread context is the target of all RDPGPR and WRPGPR instructions executed by the exception handler. Details regarding the RDPGPR and WRPGPR instructions (used to access shadow registers) may be found in the following two publications, each of which is available from MIPS Technologies, Inc. and hereby incoφorated by reference in its entirety for all puφoses: MIPS32 Architecture for Programmers Volume II: The MIPS32 Instruction Set. Rev. 2.00, MIPS Technologies, Inc. (2003), and MIPS64™ Architecture for Programmers Volume II: The MIPS64™ Instruction Set. Rev. 2.00, MIPS Technologies, Inc. (2003).
[0084] The Multithreading ASE includes two exception conditions. The first of these is a Thread Unavailable condition, wherein a thread allocation request cannot be satisfied. The second is a Thread Underflow condition, wherein the termination and deallocation of a thread leaves no threads allocated on a VPE. These two exception conditions are mapped to a single new Thread exception. They can be distinguished based on CPO register bits set when the exception is raised.
Instructions [0085) The Multithreading ASE in an embodiment includes seven instructions. FORK and YIELD instructions control thread allocation, deallocation, and scheduling, and are available in all execution modes if implemented and enabled. MFTR and MTTR instructions are system coprocessor (CopO) instructions available to privileged system software for managing thread state. A new EMT instruction and a new DMT instruction are privileged CopO instructions for enabling and disabling multithreaded operation of a VPE. Finally, a new ECONF instruction is a privileged CopO instruction to exit a special processor configuration state and re-initialize the processor.
FORK - Allocate and Schedule a New Thread
[0086] The FORK instruction causes a free thread context to be allocated and activated. Its format 500 is shown in Fig. 5. The FORK instruction takes two operand values from GPRs identified in fields 502 (rs) and 504 (rt). The contents of GPR rs is used as the starting fetch and execution address for the new thread. The contents of GPR rt is a value to be transferred into a GPR of the new thread. The destination GPR is determined by the value of the ForkTarget field of the ThreadConfig register of CPO, which is shown in Fig. 21 and described below. The new thread's Kernel/Supervisor/User state is set to that of the FORKing thread. If no free thread context is available for the fork, a Thread Exception is raised for the FORK instruction.
YIELD - De-schedule and Conditionally Deallocate a Thread (First Embodiment) [0087] The YIELD instruction causes the current thread to be selectively de- scheduled. The format of a YIELD instruction 600, according to a first embodiment, is shown in Fig. 6, and Fig. 32 is a flow chart 3200 illustrating operation of a system in an embodiment of the invention to assert the function of the YIELD instruction of Fig. 6. A second embodiment of the YIELD instruction 3500 is described below with respect to Figures 35 through 40. [0088] The YIELD instruction 600 takes a single operand value from, for example, a GPR identified in field 602 (rs). A GPR is used in one embodiment, but in alternative embodiments the operand value may be stored in and retrieved from essentially any data storage device (e.g., non-GPR register, memory, etc.) accessible to the system. In one embodiment, contents of GPR rs can be thought of as a descriptor of the circumstances under which the issuing thread should be rescheduled. If the contents of GPR rs is zero (i.e., the value of the operand is zero), as shown in step 3202 of Fig. 32, the thread is not to be rescheduled at all, and it is instead deallocated (i.e., terminated or otherwise permanently stopped from further execution) as indicated in step 3204, and its associated thread context storage (i.e., the registers identified above to save state) freed for allocation by a subsequent FORK instruction issued by some other thread. If the least significant bit of the GPR rs is set (i.e., rso = 1), the thread is immediately re- schedulable as shown in step 3206 of Fig. 32, and may promptly continue execution if there are no other runnable threads that would be preempted. The contents of GPR rs, in this embodiment, is otherwise treated as a 15-bit qualifier mask described by table 700 of Fig. 7 (i.e., a bit vector encoding a variety of conditions).
[0089] Referring to table 700, bits 15 to 10 of the GPR rs indicate hardware interrupt signals presented to the processor, bits 9 and 8 indicate software interrupts generated by the processor, bits 7 and 6 indicate the operation of the Load Linked and Store Conditional synchronization primitives of the MIPS architecture, and bits 5 to 2 indicate non-interrupt external signals presented to the processor.
[0090] If the content of GPR rs is even (i.e., bit zero is not set), and any other bit in the qualifier mask of GPR rs is set (step 3208), the thread is suspended until at least one corresponding condition is satisfied. If and when such a situation occurs, the thread is rescheduled (step 3210) and resumes execution at the instruction following the YIELD instruction 600. This enabling is unaffected by the CPO. Status. IMn interrupt mask bits, so that up to 10 external conditions (e.g., events, etc.) encoded by bits 15 to 10 and 5 to 2 (as shown in Fig. 7) and four software conditions encoded by bits 9 to 6 (as shown in Fig. 7) can be used in the present embodiment to enable independent threads to respond to external signals without any need for the processor to take an exception. In this particular example there are six hardware interrupts and four non-interrupt signals, plus two software interrupts and two non-interrupt signals, and a single dedicated rescheduling function (i.e., rso) for a total of fifteen conditions. (The CPO. Status. iMn interrupt mask bits are a set of 8 bits in the CPO Status register which can optionally mask the 8 basic interrupt inputs to a MIPS Processor. If an IM bit is set, the associated interrupt input will not cause an exception to the processor when asserted.) [0091] In EIC interrupt mode, the IP2-IP7 bits encode the value of the highest priority enabled interrupt, rather than express a vector of orthogonal indications. The GPR rs bits associated with IP2-IP7 in a YIELD instruction 600 when the processor is using EIC interrupt mode can thus no longer be used to re-enable thread scheduling on a specific external event. In EIC mode, only the system-dependent external event indications (i.e., bits 5 to 2 of the GPR rs of the present embodiment) should be used as YIELD qualifiers. The EIC interrupt mode and IP2-IP7 bits are further described in the following publications as fully identified and incoφorated above: MIPS32™ Architecture for Programmers Volume III: The MIPS32 Privileged Resource Architecture, and MIPS64 Architecture for Programmers Volume III: The MIPS64 Privileged Resource Architecture.
[0092] If the execution of a YIELD 600 results in the de-allocation of the last allocated thread on a processor or VPE, a Thread Exception, with an underflow indication in the ThreadStatus register of CPO (shown in Fig. 18 and described below), is raised on the YIELD instruction 600.
[0093] The foregoing embodiment utilizes the operand contained in the GPR rs of the YIELD instruction 600 as a thread-scheduling parameter. In this case, the parameter is treated as a 15-bit vector of orthogonal indications (referring to Fig. 7, bits 1 and 15 are reserved so there are only 15 conditions encoded in this embodiment). This embodiment also treats the parameter as a designated value (i.e., to determine whether or not a given thread should be deallocated, see step 3202 of Fig. 32). The characteristics of such a parameter may be changed, however, to accommodate different embodiments of the instruction. For example, rather than rely on the least significant bit (i.e., rs0) to determine whether a thread is immediately re-schedulable, the value of the parameter itself (e.g., a value of minus one {-1 } in two's complement form) may be used to determine whether a thread should be rescheduled without additional delay (i.e., requeued for scheduling). [0094] Other embodiments of this instruction may treat such a thread-scheduling parameter as containing one or more multi-bit value fields so that a thread can specify that it will yield on a single event out of a large (e.g., 32-bit, or larger) event name space. In such an embodiment, at least the bits associated with the one target event would be accessed by the subject YIELD instruction 600. Of course, additional bit fields could be passed to the instruction (associated with additional events) as desired for a particular embodiment.
[0095] Other embodiments of the YIELD instruction 600 may include a combination of the foregoing bit vector and value fields within a thread-scheduling parameter accessed by the instruction, or other application-specific modifications and enhancements to (for example) satisfy the needs of a specific implementation. Alternative embodiments of the YIELD instruction 600 may access such a thread- scheduling parameter as described above in any conventional way; e.g., from a GPR (as shown in Fig. 6), from any other data storage device (including memory) and as an immediate value within the instruction itself.
MFTR - Move From Thread Register
[0096] The MFTR instruction is a privileged (CopO) instruction which allows an operating system executing on one thread to access a different thread context. Its format
800 is shown in Fig. 8.
[0097] The thread context to be accessed is determined by the value of the
AlternateThread field of the ThreadControl register of CPO, which is shown in Fig. 16 and described below. The register to be read within the selected thread context is determined by the value in the rt operand register identified in field 802, in conjunction with the u and sel bits of the MFTR instruction provided in fields 804 and 806, respectively, and inteφreted according to table 900 included as Fig. 9. The resulting value is written into the target register rd, identified in field 808.
MTTR - Move To Thread Register [0098] The MTTR instruction is the inverse of MFTR. It is a privileged CopO instruction which copies a register value from the thread context of the current thread to a register within another thread context. Its format 1000 is shown in Fig. 10. [0099] The thread context to be accessed is determined by the value of the
AlternateThread field of the ThreadControl register of CPO, which is shown in Fig. 16 and described below. The register to be written within the selected thread context is determined by the value in the rd operand register identified in field 1002, in conjunction with the u and sel bits of the MTTR instruction provided in fields 1004 and 1006, respectively, and inteφreted according to table 1 100 provided in Fig. 11 (the encoding is the same as for MFTR). The value in register rt, identified in field 1008, is copied to the selected register.
EMT - Enable Multithreading
[00100] The EMT instruction is a privileged CopO instruction which enables the concurrent execution of multiple threads by setting the TE bit of the ThreadControl register of CPO, which is shown in Fig. 16 and described below. Its format 1200 is shown in Fig. 12. The value of the ThreadControl register, containing the TE (Threads Enabled) bit value prior to the execution of the EMT, is returned in register rt.
DMT - Disable Multithreading
[00101] The DMT instruction is a privileged CopO instruction which inhibits the concurrent execution of multiple threads by clearing the TE bit of the ThreadControl register of CPO, which is shown in Fig 16 and described below. Its format 1300 is shown in Fig. 13.
[00102] All threads other than the thread issuing the DMT instruction are inhibited from further instruction fetch and execution. This is independent of any per- thread halted state. The value of the ThreadControl register, containing the TE (Threads Enabled) bit value prior to the execution of the DMT, is returned in register rt.
ECONF - End Processor Configuration [00103] The ECONF instruction is a privileged CopO instruction which signals the end of VPE configuration and enables multi-VPE execution. Its format 1400 is shown in Fig. 14. [00104] When an ECONF is executed, the VPC bit of the Config3 register
(described below) is cleared, the MVP bit of this same register becomes read-only at its current value, and all VPEs of a processor, including the one executing the ECONF, take a Reset exception. The ECONF instruction is not included in the alternate embodiment of Figures 35 through 40 Multithreading ASE.
Privileged Resources
[00105] The table 1500 of Fig. 15 outlines the system coprocessor privileged resources associated with the Multithreading ASE. Except where indicated otherwise, the new and modified coprocessor zero (CPO) registers identified below are accessible (i.e., written into and read from) like conventional system control registers of coprocessor zero (i.e., of a MIPS Processor).
New Privileged Resources
(A) ThreadControl Register (Coprocessor 0 Register 7, Select 1) [00106] The ThreadControl register is instantiated per VPE as part of the system coprocessor. Its layout 1600 is shown in Fig. 16. The ThreadControl Register fields are defined according to table 1700 of Fig. 17.
(B). ThreadStatus Register (Coprocessor 0 Register 12, Select 4)
[00107] The ThreadStatus register is instantiated per thread context. Each thread sees its own copy of ThreadStatus, and privileged code can access those of other threads via MFTR and MTTR instructions. Its layout 1800 is shown in Fig. 18. The ThreadStatus Register fields are defined in table 1900 of Fig. 19. [00108] Writing a one to the Halted bit of an activated thread causes an activated thread to cease fetching instructions and to set its internal restart PC to the next instruction to be issued. Writing a zero to the Halted bit of an activated thread allows the thread to be scheduled, fetching and executing from the internal restart PC address. A one in either the Activated bit or the Halted bit of a non-activated thread prevents that thread from being allocated and activated by a FORK instruction.
(C) ThreadContext Register (Coprocessor 0 Register 4, Select 1)
[00109] The ThreadContext register 2000 is instantiated per-thread, with the same width as the processor GPRs, as shown in Fig. 20. This is purely a software read/write register, usable by the operating system as a pointer to thread-specific storage, e.g. a thread context save area.
(D) ThreadConfig Register (Coprocessor 0 Register 6, Select 1)
[00110] The ThreadConfig register is instantiated per-processor or VPE. Its layout 2100 is shown in Fig. 21. The ThreadConfig registers fields are defined in table 2200 of Fig. 22.
[00111] The WiredThread field of ThreadConfig allows the set of thread contexts available on a VPE to be partitioned between Shadow Register sets and parallel execution threads. Thread contexts with indices less than the value of the WiredThread register are available as shadow register sets.
(E) ThreadSchedule Register (Coprocessor 0 Register 6, Select 2)
[00112] The ThreadSchedule register is optional, but when implemented is implemented per-thread. Its layout 2300 is shown in Fig. 23. The Schedule Vector (which, as shown, is 32 bits wide in one embodiment) is a description of the requested issue bandwidth scheduling for the associated thread. In this embodiment, each bit represents 1/32 of the issue bandwidth of the processor or VPE, and each bit location represents a distinct slot in a 32-slot scheduling cycle. [00113] If a bit in a thread's ThreadSchedule register is set, that thread has a guarantee of the availability of one corresponding issue slot for every 32 consecutive issues possible on the associated processor or VPE. Writing a 1 to a bit in a thread's ThreadSchedule register when some other thread on the same processor or VPE already has the same ThreadSchedule bit set will result in a Thread exception. Although 32 bits is the width of the ThreadSchedule register in one embodiment, it is anticipated that this width may be altered (i.e., increased or decreased) when used in other embodiments.
(F) VPESchedule Register (Coprocessor 0 Register 6, Select 3)
[00114] The VPESchedule register is optional, and is instantiated per VPE. It is writable only if the MVP bit of the Config3 register is set (see, Fig. 29). Its format 2400 is shown in Fig. 24.
[00115] The Schedule Vector (which, as shown, is 32 bits wide in one embodiment) is a description of the requested issue bandwidth scheduling for the associated VPE. In this embodiment, each bit represents 1/32 of the issue total bandwidth of a multi-VPE processor, and each bit location represents a distinct slot in a
32-slot scheduling cycle.
[00116] If a bit in a VPE's VPESchedule register is set, that thread has a guarantee of the availability of one corresponding issue slot for every 32 consecutive issues possible on the processor. Writing a 1 to a bit in a VPE's VPESchedule register when some other VPE already has the same VPESchedule bit set will result in a Thread exception.
[00117] Issue slots not specifically scheduled by any thread are free to be allocated to any runnable VPE/thread according to the current default thread scheduling policy of the processor (e.g., round robin, etc.).
[00118] The VPESchedule register and the ThreadSchedule register create a hierarchy of issue bandwidth allocation. The set of VPESchedule registers assigns bandwidth to VPEs as a proportion of the total available on a processor or core, while the ThreadSchedule register assigns bandwidth to threads as a proportion of that which is available to the VPE containing the threads. [00119] Although 32 bits is the width of the VPESchedule register in one embodiment, it is anticipated that this width may be altered (i.e., increased or decreased) when used in other embodiments.
(G) The Config4 Register (Coprocessor 0 Register 16, Select 4) [00120] The Config4 Register is instantiated per-processor. It contains configuration information necessary for dynamic multi-VPE processor configuration. If the processor is not in a VPE configuration state (i.e., the VMC bit of the Config3 register is set), the value of all fields except the M (continuation) field is implementation-dependent and may be unpredictable. Its layout 2500 is shown in Fig. 25. The Config4's register fields are defined as shown in table 2600 of Fig. 26. In some embodiments there may be a VMC bit for the Config3 register, which can be a previously reserved/unassigned bit.
Modifications to Existing Privileged Resource Architecture
[00121] The Multithreading ASE modifies some elements of current MIPS32 and
MIPS64 PRA.
(A) Status Register
[00122] The CU bits of the Status register take on additional meaning in a multithreaded configuration. The act of setting a CU bit is a request that a coprocessor context be bound to thread associated with the CU bit. If a coprocessor context is available, it is bound to the thread so that instructions issued by the thread can go to the coprocessor, and the CU bit retains the 1 value written to it. If no coprocessor context is available, the CU bit reads back as 0. Writing a 0 to a set CU bit causes any associated coprocessor to be deallocated.
(B) Cause Register [00123] There is a new Cause register ExcCode value required for the Thread exceptions, as shown in Fig. 27.
(C) EntryLo Register [00124] A previously reserved cache attribute becomes the ITC indicator, as shown in Fig. 28.
(D) Config3 Register
[00125] There are new Confιg3 register fields defined to express the availability of the Multithreading ASE and of multiple thread contexts, as shown in table 2900 of Fig. 29..
(E) EBase
[00126] The previously reserved bit 30 of the EBase register becomes a VPE inhibit bit per VPE context, as is illustrated in Fig. 30.
(F) SRSCtl
[00127] The formerly preset HSS field now generated as a function of the
ThreadConfig WiredThread field.
Thread Allocation and Initialization Without FORK
[00128] The procedure for an operating system to create a thread "by hand" in one embodiment is:
[00129] 1. Execute a DMT to stop other threads from executing and possibly
FORKing.
[00130] 2. Identify an available ThreadContext by setting the AlternateThread field of the ThreadControl register to successive values and reading the ThreadStatus registers with MFTR instructions. A free thread will have neither the Halted nor the
Activated bit of its ThreadStatus register set. [00131] 3. Set the Halted bit of the selected thread's ThreadStatus register to prevent it being allocated by another thread. [00132] 4. Execute an EMT instruction to re-enable multithreading. [00133] 5. Copy any desired GPRs into the selected thread context using MTTR instructions with the u field set to 1. [00134| 6. Write the desired starting execution address into the thread's internal restart address register using an MTTR instruction with the u and sel fields set to zero, and the rt field set to 14 (EPC). [00135] 7. Write a value with zero in the Halted bit and one in the Activated bit to the selected ThreadStatus register using an MTTR instruction.
[00136] The newly allocated thread will then be schedulable. The steps of executing DMT, setting the new thread's Halted bit, and executing EMT can be skipped if EXL or ERL are set during the procedure, as they implicitly inhibit multithreaded execution.
Thread Termination and Deallocation without YIELD
[00137] The procedure for an operating system to terminate the current thread in one embodiment is:
[00138] 1. If the OS has no support for a Thread exception on a Thread
Underflow state, scan the set of ThreadStatus registers using MFTR instructions to verify that there is another runnable thread on the processor, or, if not, signal the error to the program.
[00139] 2. Write any important GPR register values to memory.
[00140] 3. Set Kernel mode in the Status/ThreadStatus register.
[00141] 4. Clear EXL/ERL to allow other threads to be scheduled while the current thread remains in a privileged state.
[00142] 5. Write a value with zero in both the Halted and the Activated bits of the
ThreadStatus register using a standard MTC0 instruction.
[00143] The normal procedure is for a thread to terminate itself in this manner.
One thread, running in a privileged mode, could also terminate another, using MTTR instructions, but it would present an additional problem to the OS to determine which thread context should be deallocated and at what point the state of the thread's computation is stable.
Inter-Thread Communication Storage [00144] Inter-Thread Communication (ITC) Storage is an optional capability which provides an alternative to Load-Linked/Store-Conditional synchronization for fine-grained multi-threading. It is invisible to the instruction set architecture, as it is manipulated by loads and stores, but it is visible to the Privileged Resource Architecture, and it requires significant microarchitectural support.
[00145] References to virtual memory pages whose TLB entries are tagged as ITC storage resolve to a store with special attributes. Each page maps a set of 1-128 64-bit storage locations, each of which has an Empty/Full bit of state associated with it, and which can be accessed in one of 4 ways, using standard load and store instructions. The access mode is encoded in the least significant (and untranslated) bits of the generated virtual address, as shown in table 3100 of Fig. 31.
[00146] Each storage location could thus be described by the C structure: struct { uint64 ef_sync_location; uint64 force_ef_location; uint64 bypass_location; uint64 ef_state; } ITC location; where all four of the locations reference the same 64 bits of underlying storage. References to this storage may have access types of less than 64 bits (e.g. LW, LH, LB), with the same Empty/Full protocol being enforced on a per-access basis. [00147] Empty and Full bits are distinct so that decoupled multi-entry data buffers, such as FIFOs can be mapped into ITC storage.
[00148] ITC storage can be saved and restored by copying the {bypass_location, ef_state} pair to and from general storage. While 64 bits of bypass_location must be preserved, strictly speaking, only the least significant bits of the ef_state need to be manipulated. In the case of multi-entry data buffers, each location must be read until Empty to drain the buffer on a copy. [00149] The number of locations per 4K page and the number of ITC pages per VPE are configuration parameters of the VPE or processor. [00150] The "physical address space" of ITC storage can be made global across all VPEs and processors in a multiprocessor system, such that a thread can synchronize on a location on a different VPE from the one on which it is executing. Global ITC storage addresses are derived from the CPUNum field of each VPE's EBase register. The 10 bits of CPUNum correspond to 10 significant bits of the ITC storage address. Processors or cores designed for uniprocessor applications need not export a physical interface to the ITC storage, and can treat it as a processor-internal resource.
Multi-VPE Processors
[00151] A core or processor may implement multiple VPEs sharing resources such as functional units. Each VPE sees its own instantiation of the MIPS32 or MIPS64 instruction and privileged resource architectures. Each sees its own register file or thread context array, each sees its own CPO system coprocessor and its own TLB state. Two VPEs on the same processor are indistinguishable to software from a 2-CPU cache- coherent SMP multiprocessor.
[00152] Each VPE on a processor sees a distinct value in the CPUNum field of the Ebase register of CPO.
[00153] Processor architectural resources such as thread context and TLB storage and coprocessors may be bound to VPEs in a hardwired configuration, or they may be configured dynamically in a processor supporting the necessary configuration capability.
Reset and Virtual Processor Configuration
[001541 To be backward compatible with the MIPS32 and MIPS64 PRAs, a configurably multithreaded//multi-VPE processor must have a sane default thread/VPE configuration at reset. This would typically be, but need not necessarily be, that of a single VPE with a single thread context. The MVP bit of the Config3 register can be sampled at reset time to determine if dynamic VPE configuration is possible. If this capability is ignored, as by legacy software, the processor will behave as per specification for the default configuration. [00155] If the MVP bit is set, the VPC (Virtual Processor Configuration) bit of the Config3 register can be set by software. This puts the processor into a configuration state in which the contents of the Config4 register can be read to determine the number of available VPE contexts, thread contexts, TLB entries, and coprocessors, and certain normally read-only "preset" fields of Config registers that become writable. Restrictions may be imposed on configuration state instruction streams, e.g. they may be forbidden to use cached or TLB-mapped memory addresses. [00156] In the configuration state, the total number of configurable VPEs is encoded in the PVPE field of the Config4 register. Each VPE can be selected by writing its index into the CPUNum field of the EBase register. For the selected VPE, the following register fields can potentially be set by writing to them. Config l.MMU_Size Config l .FP Config 1. MX Config 1.C2 Confιg3.NThreads Config3.NITC _Pages Config3.NITC_PLocs Config3.MVP VPESchedule [00157] Not all of the above configuration parameters need be configurable. For example, the number of ITC locations per page may be fixed, even if the ITC pages per VPE is configurable, or both parameters may be fixed, FPUs may be pre-allocated and hardwired per VPE, etc. [00158] Coprocessors are allocated to VPEs as discrete units. The degree to which a coprocessor is multithreaded should be indicated and controlled via coprocessor- specific control and status registers. [00159] A VPE is enabled for post-configuration execution by clearing the VPI inhibit bit in the EBase register. [00160] The configuration state is exited by issuing an ECONF instruction. This instruction causes all uninhibited VPEs to take a reset exception and begin executing concurrently. If the MVP bit of the Config3 register is cleared during configuration and latched to zero by an ECONF instruction, the VPC bit can no longer be set, and the processor configuration is effectively frozen until the next processor reset. If MVP remains set, an operating system may re-enter the configuration mode by again setting the VPC bit. The consequences to a running VPE of the processor re-entering configuration mode may be unpredictable.
Quality of Service Scheduling for Multithreaded Processors
[00161] This specification up to the present point describes an application specific extension for a MIPS compatible system to accommodate multithreading. As previously stated, the MIPS implementation described is exemplary, and not limiting, as the functionality and mechanisms described may be applied in other than MIPS systems. [00162] An issue visited in the background section, that of special service in multithreading for real-time and near real-time threads, has been briefly touched upon in the foregoing discussion directed to the ThreadSchedule register (Fig. 23) and VPESchedule register (Fig. 24). The balance of this specification deals with this issue in greater detail; teaching specific extensions for dealing specifically with thread-level quality of service ("QoS").
Background
[00163] Networks designed for transporting multimedia data evolved a concept of
Quality of Service ("QoS") to describe the need for different policies to be applied to different data streams in a network. Speech connections, for example, are relatively undemanding of bandwidth, but cannot tolerate delays beyond a few tens of milliseconds. QoS protocols in broadband multimedia networks ensure that time-critical transfers get whatever special handling and priority is necessary to ensure timely delivery. [00164] One of the primary objections raised to combining "RISC" and "DSP" program execution on a single chip is that guaranteeing the strict real-time execution of the DSP code is far more difficult in a combined multi-tasking environment. The DSP applications can thus be thought of as having a "QoS" requirement for processor bandwidth.
Multithreading and QoS [00165] There are a number of ways to schedule issuing of instructions from multiple threads. Interleaved schedulers will change threads every cycle, while blocking schedulers will change threads whenever a cache miss or other major stall occurs. The Multithreading ASE described in detail above, provides a framework for explicitly multithreaded processors that attempts to avoid any dependency on a specific thread scheduling mechanism or policy. However, scheduling policy may have a huge impact on what QoS guarantees are possible for the execution of the various threads. [00166] A DSP-extended RISC becomes significantly more useful if QoS guarantees can be made about the real-time DSP code. Implementing multithreading on such a processor, such that the DSP code is running in a distinct thread, perhaps even a distinct virtual processor, and such that the hardware scheduling of the DSP thread can be programmably determined to provide assured QoS, logically removes a key barrier to acceptance of a DSP-enhanced RISC paradigm.
QoS Thread Scheduling Algorithms
[00167] Quality of Service thread scheduling can be loosely defined as a set of scheduling mechanisms and policies which allow a programmer or system architect to make confident, predictive statements about the execution time of a particular piece of code. These statements in general have the form "This code will execute in no more than Nmax and no less than Nmin cycles". In many cases, the only number of practical consequence is the Nmax number, but in some applications, running ahead of schedule is also problematic, so Nmin may also matter. The smaller the range between Nmin and Nmax, the more accurately the behavior of the overall system can be predicted.
Simple Priority Schemes [00168] One simple model that has been proposed for providing some level of
QoS to multithreaded issue scheduling is simply to assign maximal priority to a single designated real-time thread, such that if that thread is runnable, it will always be selected to issue instructions. This will provide the smallest value of Nmin, and might seem to provide the smallest possible value of Nmax for the designated thread, but there are some adverse consequences.
[00169] Firstly, only a single thread can have any QoS assurance in such a scheme. The algorithm implies that the Nmax for any code in a thread other than the designated real-time thread becomes effectively unbounded. Secondly, while the Nmin number for a code block within the designated thread is minimized, exceptions must be factored into the model. If the exceptions are taken by the designated thread, the Nmax value becomes more complex, and in some cases impossible to determine. If the exceptions are taken by threads other than the designated thread, Nmax is strictly bounded for code in the designated thread, but the interrupt response time of the processor becomes unbounded.
[00170] While such priority schemes may be useful in some cases, and may have some practical advantages in hardware implementation, they do not provide a general QoS scheduling solution.
Reservation-based Schemes
[00171 J An alternative, more powerful and unique thread-scheduling model is based on reserving issue slots. The hardware scheduling mechanisms in such a scheme allow one or more threads to be assigned N out of each M consecutive issue slots. Such a scheme does not provide as low an Nmin value as a priority scheme for a real-time code fragment in an interrupt-free environment, but it does have other virtues. [00172] More than one thread may have assured QoS. [00173J Interrupt latency can be bounded even if interrupts are bound to threads other than the one with highest priority. This can potentially allow a reduction in Nmax for real time code blocks. [00174] One simple form of reservation scheduling assigns every Nth issue slot to a real-time thread. As there is no intermediate value of N between 1 and 2, this implies that real-time threads in a multithreading environment can get at most 50% of a processor's issue slots. As the real-time task may consume more than 50% of an embedded processor's bandwidth, a scheme which allows more flexible assignment of issue bandwidth is highly desirable.
Hybrid Thread Scheduling with QoS
[00175] The Multithreading system described above is deliberately scheduling- policy-neutral, but can be extended to allow for a hybrid scheduling model. In this model, real-time threads may be given fixed scheduling of some proportion of the thread issue slots, with the remaining slots assigned by the implementation-dependent default scheduling scheme.
Binding Threads to Issue Slots
[00176] In a processor instructions are issued sequentially at a rapid rate. In a multithreading environment one may quantify the bandwidth consumed by each thread in a mix by stating the proportional number of slots each thread issues in a given fixed number of slots. Conversely, the inventor recognizes that one may arbitrarily state a fixed number of slots, and predicate a means of constraining the processor to reserve a certain number of slots of the fixed number for a specific thread. One could then designate a fixed fraction of bandwidth guaranteed to a real-time thread. [00177] Clearly one could assign slots proportionally to more than one real-time thread, and the granularity under which this scheme would operate is constrained by the fixed number of issue slots over which the proportions are made. For example, if one selects 32 slots, then any particular thread may be guaranteed from 1/32 to 32/32 of the bandwidth. [00178] Perhaps the most general model, then, for assigning fixed issue bandwidth to threads is to associate each thread with a pair of integers, {N, D} which form the numerator and denominator of a fraction of issue slots assigned to the thread, e.g. 1/2, 4/5. If the range of integers allowed is sufficiently large, this would allow almost arbitrarily fine-grained tuning of thread priority assignments, but it has some substantial disadvantages. One problem is that the hardware logic to convert a large set of pairs, {{No, Do}, N\, Dι },... {Nn,Dn}} into an issue schedule is non-trivial, and error cases in which more than 100% of slots are assigned are not necessarily easy to detect. Another is that, while such a scheme allows specification that, over the long run, a thread will be assigned N/D of the issue slots, it does not necessarily allow any statements to be made as to which issue slots will be assigned to a thread over a shorter subset code fragment.
[00179] Therefore, in one embodiment of the present invention, instead of an integer pair, each thread for which real-time bandwidth QoS is desired is associated with a bit-vector which represents the scheduling slots to be allocated to that thread. In the embodiment, this vector is visible to system software as the contents of a ThreadSchedule Register (Fig. 23) described above. Although the ThreadSchedule Register contains a scheduling "mask" that is 32 bits wide, the number of bits in this mask may be greater or fewer in alternative embodiments. A thread scheduling mask that is 32 bits wide allows for a thread to be assigned from 1/32 to 32/32 of the processor issue bandwidth, and furthermore allows a specific issue pattern to be specified. Given a 32 bit mask a value of Oxaaaaaaaa assigns every second slot to the thread. A value of OxOOOOffff also assigns 50%) of the issue bandwidth to the thread, but in blocks of 16 consecutive slots. Assigning a value of Oxeeeeeeee to thread X and a value of 0x01010101 to thread Y gives thread X 3 out of every 4 (24 out of 32) cycles, thread Y 1 out of every 8 (4 out of 32) cycles, and leaves the remaining 4 cycles per group of 32 to be assigned to other threads by other, possibly less deterministic hardware algorithms. Further, it can be known that thread X will have 3 cycles out of every 4, and that thread Y will never have a gap of more than 8 cycles between consecutive instructions. [00180] Scheduling conflicts in this embodiment can be detected fairly simply, in that no bit should be set in the ThreadSchedule Register of more than one thread. That is, if a particular bit is set for one thread, that bit must be zero for all other threads to which issue masks are assigned. Conflicts are thus relatively easy to detect. [00181] The issue logic for real-time threads is relatively straightforward: Each issue opportunity is associated with a modulo-32 index, which can be sent to all ready threads, at most one of which will be assigned the associated issue slot. If there is a hit on the slot, the associated thread issues its next instruction. If no thread owns the slot, the processor selects a runnable non-real-time thread. [00182] ThreadSchedule Register implementations of less than 32-bits would reduce the size of the per-thread storage and logic, but would also reduce the scheduling flexibility. In principle, the register could also be enlarged to 64-bits, or even implemented (in the case of a MIPS Processor) as a series of registers at incrementing select values in the MIPS32 CPO register space to provide much longer scheduling vectors.
Exempting Threads from Interrupt Service
[00183] As noted above, interrupt service can introduce considerable variability in the execution time of the thread which takes the exception. It is therefore desirable to exempt threads requiring strict QoS guarantees from interrupt service. This is accomplished in an embodiment with a single bit per thread, visible to the operating system, which causes any asynchronous exception raised to be deferred until a non- exempt thread is scheduled (i.e., bit IXMT of the ThreadStatus Register; see, Figs. 18 and 19). This increases the interrupt latency, though to a degree that is boundable and controllable via the selection of ThreadSchedule Register values. If interrupt handler execution takes place only during issue slots not assigned to exempt real-time QoS threads, interrupt service has zero first-order effect on the execution time of such realtime code. Issue Slot Allocation to Threads versus Virtual Processing Elements [00184] The Multithreading ASE described in enabling detail above describes a hierarchical allocation of thread resources, wherein some number of Virtual Processing Elements (VPEs) each contain some number of threads. As each VPE has an implementation of CPO and the privileged resource architecture (when configured on a MIPS Processor), it is not possible for the operating systems software ("OS") running on one VPE to have direct knowledge and control of which issue slots have been requested on another VPE. Therefore the issue slot name space of each VPE is relative to that VPE, which implies a hierarchy of issue slot allocation.
[00185] Fig. 34 is a block diagram of scheduling circuit 3400 illustrating this hierarchical allocation of thread resources. Processor Scheduler 3402 (i.e., the overall scheduling logic of the host processor) communicates an issue slot number via "Slot Select" signal 3403 to all VPESchedule registers disposed in all VPEs within the host processor. Signal 3403 corresponds to a bit position within the VPESchedule registers (which, in the present embodiment, would be one of thirty-two positions). Scheduler 3402 repeatedly circulates signal 3403 through such bit positions, incrementing the position at the occurrence of each issue slot and resetting to the least significant position (i.e., 0) after reaching the most significant bit position (i.e., 31 in the present embodiment).
[00186] Referring to Fig. 34, as an example, bit position 1 (i.e., "Slot 1") is being communicated via signal 3403 to all VPESchedule registers within the host processor; i.e., registers 3414 and 3416. Any VPESchedule register with the corresponding bit "set" (i.e., holding a logic 1) signals this fact to the processor scheduler with a "VPE Issue Request" signal. In response, the scheduler grants the subject VPE the current issue slot with a "VPE Issue Grant" signal. Referring again to Fig. 34, VPESchedule register 3414 (of VPE 0) has bit position 1 set and therefore sends VPE Issue Request signal 3415 to Processor Scheduler 3402 which responds with VPE Issue Grant signal 3405. [00187] When a VPE is granted an issue, it employs similar logic at the VPE level. Referring again to Fig. 34, VPE Scheduler 3412 (i.e., the scheduling logic of VPE 0 3406) in response to signal 3405 presents an issue slot number via Slot Select signal 3413 to all ThreadSchedule registers disposed within the VPE. These ThreadSchedule registers are each associated with a thread supported by the subject VPE. Signal 3413 corresponds to a bit position within the ThreadSchedule registers (which, in the present embodiment, would be one of thirty-two positions). Scheduler 3412 repeatedly circulates signal 3413 through such bit positions, incrementing the position at the occurrence of each issue slot and resetting to the least significant bit position (i.e., 0) after reaching the most significant bit position (i.e., 31 in the present embodiment). This slot number is independent of the slot number used at the VPESchedule level. [00188] Referring to Fig. 34, as an example, bit position 0 (i.e., "Slot 0") is being communicated on signal 3413 to all ThreadSchedule registers within the subject VPE; i.e., registers 3418 and 3420. Any thread with a bit set at the selected position of its ThreadSchedule register indicates that fact to the VPE scheduler, and that thread is granted the current issue slot. Referring to Fig. 34, ThreadSchedule register 3418 (of Thread 0) has bit position 0 set and therefore sends Thread Issue Request signal 3419 to VPE Scheduler 3412 which responds with Thread Issue Grant signal 3417 (thereby granting Thread 0 the current issue slot). On cycles where no VPESchedule bit is set for the slot indicated, or where no ThreadSchedule bit is set for the slot indicated, the processor or VPE scheduler will grant the next issue according to some other default scheduling algorithm.
[00189] In accordance with the foregoing, each VPE in one embodiment, for example VPE 0 (3406) and VPE 1 (3404) in Fig. 34, is assigned a VPESchedule Register (format shown in Fig. 24) which permits certain slots, modulo the length of the register's contents, to be deterministically assigned to that VPE. The VPESchedule registers in Fig. 34 are register 3414 for VPE 0 and register 3416 for VPE 1. Those issue slots which are not assigned to any VPE are assigned by implementation-specific allocation policies. [00190] Also in accordance with the foregoing, the slots assigned to threads within a VPE are assigned from the allocation given to that VPE. For example, if a processor has two VPEs configured, as is shown in Fig. 34, such that one has a VPESchedule Register containing Oxaaaaaaaa and the other has a VPESchedule Register containing 0x55555555, the issue slots will be alternated between the two VPEs. If a thread on one of those VPEs has a ThreadSchedule Register containing 0x55555555, it will get every other issue slot of the VPE which contains it, which is to say every fourth issue slot of the overall processor. [00191] Thus the value of the VPESchedule register associated with each VPE determines which processing slots go to each VPE. Specific threads are assigned to each VPE, such as Thread 0 and Thread 1 shown in VPE 0. Other threads not shown are similarly assigned to VPE 1. Associated with each thread there is a ThreadSchedule register, for example register 3418 for Thread 0 and register 3420 for Thread 1. The value of the ThreadSchedule registers determines the allocation of processing slots for each Thread assigned to a VPE.
[00192] Schedulers 3402 and 3412 may be constructed from simple combinational logic to carry out the functions set out above, and constructing these schedulers will be within the skill of the skilled artisan without undue experimentation, given the disclosure provided herein. They may, for example, be constructed in any conventional way, such as by combinational logic, programmable logic, software, and so forth, to carry out the functions described. Fig. 33 illustrates a computer system 3300 in a general form upon which various embodiments of the present invention may be practiced. The system includes a processor 3302 configured with the necessary decoding and execution logic (as would be apparent to one of ordinary skill in the art) to support one or more of the instructions described above and below (i.e., FORK, YIELD, MFTR, MTTR, EMT, DMT and ECONF). In one embodiment, core 3302 also includes scheduling circuit 3400 shown in Fig. 34 and represents the "host processor" as described above. System 3300 also includes a system interface controller 3304 in two- way communication with the processor, RAM 3316 and ROM 3314 accessible by the system interface controller, and three I/O devices 3306, 3308, and 3310 communicating with the system interface controller on a bus 3312. Through application of apparatus and code described in enabling detail herein, system 3300 may operate as a multithreaded system. It will be apparent to the skilled artisan that there may be many alterations to the general form shown in Fig. 33. For example, bus 3312 may take any one of several forms, and may be in some embodiments an on-chip bus. Similarly the number of I/O devices is exemplary, and may vary from system to system. Further, although only device 3306 is shown as issuing an interrupt request, it should be apparent that others of the devices may also issue interrupt requests.
Further Refinements [00193] The embodiment described thus far for fixed 32-bit ThreadSchedule and
VPESchedule registers does not allow for allocations of exact odd fractions of issue bandwidth. A programmer wishing to allocate exactly one third of all issue slots to a given thread would have to approximate to 10/32 or 1 1/32. A further programmable mask or length register in one embodiment allows the programmer to specify that a subset of the bits in the ThreadSchedule and/or VPESchedule Register(s) be used by the issue logic before restarting the sequence. In the example case, the programmer specifies that only 30 bits are valid, and programs the appropriate VPESchedule and/or ThreadSchedule Registers with 0x24924924.
YIELD - De-schedule and Conditionally Deallocate a Thread (Second Embodiment)
[00194] Referring now to Figure 35, a block diagram illustrating the format of the
YIELD instruction 3500 according to an alternate embodiment of the present invention is shown. The YIELD instruction 3500 of Figure 35 is similar to the instruction 600 of Figure 6 as indicated; however, the YIELD instruction 3500 of Figure 35 includes two differences. First, the meaning of the value stored in the register specified by the rs field 3502 is slightly different, as described below. Second, the YIELD instruction 3500 of Figure 35 also includes a second operand field, rd 3504. In the embodiment of Figure 35, the rd operand field 3504 comprises bits 11 through 15 of the YIELD instruction 3500. The rd operand field 3504 specifies a destination register, such as a GPR, which receives a result value at completion of the YIELD instruction 3500, as described below. [00195] Referring now to Figure 36, a block diagram of a processor core 3302 for executing the YIELD instruction 3500 of Figure 35 according to the alternate embodiment of Figure 35 of the present invention is shown. The processor core 3302 of Figure 36 is similar to the processor core 3302 of the system 3300 of Figure 33. The processor core 3302 includes a scheduler 3400 of Figure 34. The processor core 3302 also includes an rs register 3602 specified by the rs field 3502 of Figure 35, an rd register 3604 specified by the rd field 3504 of Figure 35, a YQMask Register 3606, a block of thirty-one two-input AND gates 3608, and control logic 3612. [00196] The processor core 3302 receives thirty-one YIELD Qualifier (YQ) inputs 3614, denoted YQ0 through YQ30. The YQ inputs 3614 may receive signals generated by circuits external to the processor core 3302, including but not limited to interrupt signals generated by I/O devices, such as I/O devices 3306 of Figure 33. The YQ inputs 3614 may also receive signals generated internally by the processor core 3302, including but not limited to software interrupt signals and cache miss signals. Furthermore, the YQ inputs 3614 may receive a combination of signals generated externally and internally to the processor core 3302. In one embodiment, a signal source may be coupled to both a YQ input 3614 and an interrupt input to the processor core 3302. In one embodiment, one or more of the YQ inputs 3614 may not be connected to a signal source, but instead may be connected to a logical one or zero value as appropriate.
[00197] Referring briefly to Figure 37, a block diagram illustrating the format of the YQMask Register 3606 of Figure 36 is shown. The YQMask Register 3606 is a thirty-two bit register. Bit 1 is zero. Bits 0 through 30 comprise a Mask field 3702. The Mask field 3702 is a bit vector programmable by software, such as the operating system, that specifies which of the YQ inputs 3614 may be used as a condition for rescheduling the thread issuing the YIELD instruction 3500. In particular, if a YIELD instruction 3500 specifies a YQ input 3614 that is not specified in the YQMask Register 3606, the processor core 3302 raises an exception, as described below. In one embodiment, the YQMask register 3606 is defined per VPE. [00198] Referring again to Figure 36, each of the AND gates 3608 receives on one of its inputs a corresponding YQ input 3614. Each of the AND gates 3608 receives on its other input a corresponding bit of the thirty-one bit vector stored in the YQMask register 3606. The thirty-one output bits of the AND gates 3608 are provided as a bit vector for storage in rd register 3604 at the completion of the YIELD instruction 3500. The rd register 3604 result value is architecturally defined to have the same bit organization and positions as the YQ inputs 3614, as shown in Figure 37. [00199] The rs register 3602 stores a descriptor of the circumstances under which the thread issuing the YIELD instruction 3500 should be rescheduled, as described herein. In particular, the rs register 3602, if it contains a positive value, specifies a bit vector of YQ inputs 3614. When one of the YQ inputs 3614 specified in the rs 3602 bit vector is true, the processor core 3302 reschedules the thread.
[00200] The control logic 3612 receives the YQ inputs 3614, the contents of the
YQMask register 3606, and the contents of the rs register 3602. The control logic 3612 may include, but is not limited to, combinatorial and sequential logic, programmable logic, software, and the like, configured to perform the functions described herein. The control logic 3612 generates an exception signal 3622, a terminate thread signal 3624, a suspend thread signal 3626, and a reschedule thread signal 3628, all of which are provided to scheduler 3400. In one embodiment, the control logic 3612 and the scheduler 3400 are integrated.
[00201] The exception signal 3622 indicates the YIELD instruction 3500 has caused an exception. In one embodiment, a YIELD instruction 3500 causes an exception if the rs register 3602 bit vector value specifies a YQ input 3614 whose corresponding bit in the YQMask register 3606 is clear. In one embodiment, a YIELD instruction 3500 causes an exception if the YIELD instruction 3500 specifies the thread is to be terminated (i.e., via a zero rs register 3602 value), however the thread issuing the YIELD instruction 3500 is not a dynamically allocatable thread, i.e., the thread is a wired thread. In one embodiment, a YIELD instruction 3500 causes an exception if the YIELD instruction 3500 specifies the thread is to be terminated (i.e., via a zero rs register 3602 value), however no other thread is available for scheduling. [00202] The terminate signal 3624 indicates the thread issuing the YIELD instruction 3500 should be terminated, or deallocated. The processor core 3302 terminates, or deallocates, a thread by stopping fetching and issuing instructions from the thread. In addition, the processor core 3302 frees the hardware state, or resources, i.e., thread context, previously allocated for execution of the thread making the freed hardware state available for allocation by another thread. In one embodiment, the hardware resources may include but are not limited to a program counter register, a set of general puφose registers, multiplier result registers, and/or one or more privileged system coprocessor resources, including but not limited to portions of the registers shown in Figure 15.
[00203] The suspend signal 3626 indicates the thread issuing the YIELD instruction 3500 is to be suspended, or blocked. The processor core 3302 suspends, or blocks, a thread by stopping fetching and issuing instructions from the thread. [00204] The reschedule signal 3628 indicates the thread issuing the YIELD instruction 3500 is to be rescheduled. The processor core 3302 reschedules a thread by designating the thread eligible for execution subject to the thread scheduling policy. That is, the processor core 3302 adds the thread to the list of threads that are currently ready for execution such that the processor core 3302 will begin fetching and issuing instructions from the thread's program counter if the scheduler 3400 so specifies. [00205] Referring now to Figure 38, a flowchart illustrating operation of the processor core 3302 of Figure 36 to execute a YIELD instruction 3500 of the alternate embodiment of Figure 35 according to the present invention is shown. Flow begins at decision block 3802.
[00206] At decision block 3802, the control logic 3612 of Figure 36 examines the rs register 3602 to determine if its value is zero. If so, flow proceeds to block 3804; otherwise, flow proceeds to decision block 3806.
[00207] At block 3804, control logic 3612 generates a true value on terminate signal 3624 of Figure 36 to cause the thread to be terminated. That is, the thread is not rescheduled, and the thread's context is de-allocated and freed for allocation by a subsequent FORK instruction 300. In one embodiment, if the YIELD instruction 3500 causes the thread to be terminated and no other thread is available for scheduling, the processor core 3302 raises an exception. In one embodiment, if the processor core 3302 terminates the thread, no value is returned in the rd register 3604. Flow ends at block 3804. [00208] At decision block 3806, the control logic 3612 examines the rs register 3602 to determine if its value is negative one (-1). If so, flow proceeds to block 3818; otherwise, flow proceeds to block 3808. [00209] At block 3808, the control logic 3612 compares the bit vector stored in rs register 3602 with the bit vector stored in the YQMask Register 3606. In one embodiment, negative values of rs register 3602 other than -1 are reserved; hence, at block 3808 it is known that the value stored in rs register 3602 is a positive value. Flow proceeds to decision block 3812.
[00210] At decision block 3812, the control logic 3612 determines whether any of bits 0 through 30 that are set in the rs register 3602 have a corresponding bit clear in the
YQMask Register 3606. If so, flow proceeds to block 3814; otherwise, flow proceeds to decision block 3816.
[00211] At block 3814, the control logic 3612 generates a true value on the exception signal 3622 to indicate that the thread specified an invalid YQ input 3614.
Flow ends at block 3814.
[00212] At decision block 3816, control logic 3612 examines the YQ inputs 3614 to determine whether all of the YQ inputs 3614 specified by a set bit on rs register 3602 are false. If so, flow returns to decision block 3816 and the control logic 3612 continues to generate a true value on the suspend signal 3626 to cause the thread to remain suspended until one of the YQ inputs 3614 specified by a set bit in rs register 3602 becomes true. Otherwise, flow proceeds to block 3818.
[00213] At block 3818, the control logic 3612 generates a true value on reschedule signal 3628 of Figure 36 to cause scheduler 3400 to reschedule the thread.
That is, the thread is eligible for scheduling at the next opportunity, but invokes the scheduler 3400 and relinquishes the processor core 3302 for other threads which ought to execute first according to the scheduler 3400 scheduling policy. Flow proceeds to block 3822. [00214] At block 3822, processor core 3302 stores into the rd register 3604 the YQ input 3614 signal values masked by the YQMask Register 3606 value, i.e., the output of the AND gates of Figure 36. In one embodiment, the YQ input 3614 signal values are sampled at the time the YIELD instruction 3500 is retired by the processor core 3302. Flow ends at block 3822. [00215] In one embodiment, the processor core 3302 does not issue the instruction in the thread including the YIELD instruction 3500 until the scheduler 3400 has begun executing the thread after rescheduling the thread at block 3818. [00216] Although Figures 35-40 describe an embodiment in which the input operand to the YIELD instruction 3500 is provided in a general puφose register, namely rs register 3602, in other embodiments the input operand may be provided via other means, such as via a memory or via a non-general puφose register. For example, although embodiments have been described in which the processor 3302 is a register- based processor, other embodiments are contemplated in which the processor is a stack- based processor, such as a processor configured to efficiently execute Java virtual machine code. In such embodiments, the input operand of the YIELD instruction 3500 may be specified in an operand stack in memory rather than in a register. For example, each thread context may include a stack pointer register, and a field of the YIELD instruction 3500 may specify an offset of the YIELD input operand into the stack memory relative to the stack pointer register value, rather than specifying a register in the processor's register space. Similarly, the YIELD instruction 3500 return value may be returned on an operand stack rather than in a register.
[00217] As may be observed from the foregoing, the YIELD instruction 3500 of the present invention advantageously provides a means for conditional termination of the thread based on the value of the rs 3602 input operand. In a parallel, or multithreaded, computation, the termination condition of a thread of execution may be computed at runtime. For example, a loop may test for a set of conditions to be met, and in response selectively terminate the loop based on the test. The present YIELD instruction 3500 allows for the thread to perform a computation that produces either a zero or negative one value in the rs register 3602, and then the thread executes a YIELD instruction 3500 on the rs register 3602 value to either terminate (on zero) or continue (on negative one) and branch back to the top of the loop. Although a similar effect could be achieved with additional compare and branch instructions, the present conditional value-based YIELD instruction 3500 enables multithreaded code to be more compact and to execute more efficiently in the microprocessor 100 pipeline.
[00218] As may be observed from the foregoing, by programming the YQMask
Register 3606 and using the rd register 3604 return value in a particular manner, a program may perform two potentially useful simulations of the operation of a blocking YIELD instruction 3500.
[00219] First, an operating system can simulate the operation of a YIELD instruction 3500 that blocks on a particular YQ input 3614 even though the hardware source of the signal to be connected to the YQ input 3614 is not present, without modifying the application program. This simulation may be useful for testing application programs while the hardware signal source is being developed or during prototype development. The operating system may program the YQMask Register 3606 to clear the bits corresponding to the absent YQ input 3614 so that a YIELD rd, rs 3500 with the corresponding bit set in rs register 3602 causes an exception. In response to the exception, the operating system suspends the thread until it is appropriate to simulate that the "virtual" YQ input 3614 is true. At that time, the operating system decodes the rd field 3504 of the YIELD instruction 3500 to determine which register was selected as the rd register 3604, writes an appropriate value into the rd register 3604, advances the thread's program counter to the instruction immediately following the YIELD instruction 3500, and restarts execution of the thread. To the application program, it appears as if the YIELD instruction 3500 blocked, waited, and was rescheduled in response to a true value on the specified YQ input 3614. In addition, the operating system may employ the YQMask Register 3606 to insure program operation when migrating threads from one processor core 3302 to another, such as to perform load- balancing among multiple processor cores 3302. [00220] Second, an application program could explicitly simulate in software the operation that the processor core 3302 performs in hardware by blocking execution of a YIELD instruction 3500 until a set of YQ inputs 3614 specified by the rs register 3602 is true. The software simulation is similar to a program polling for an interrupt rather than allowing the hardware to transfer execution to an interrupt service routine in response to an interrupt request. In the normal case, i.e., the non-simulation case, assume the YQMask Register 3606 has one or more bits set and a YIELD rd, rs 3500 is executed where the corresponding bits in the rs register 3602 are set. The processor core 3302 will suspend the thread issuing the YIELD instruction 3500 until one of the specified YQ inputs 3614 is true. To simulate this operation, a program stores a bit vector in the YQMask Register 3606 specifying the set of YQ inputs 3614 in question. The program issues a YIELD rd, rs 3500 where the value of rs register 3602 is -1 causing the thread to be rescheduled without blocking. When the YIELD instruction 3500 completes, the return value in the rd register 3604 will contain the YQ input 3614 signal values specified in the YQMask Register 3606. The application program then tests the return value, and if it is zero, loops back to the YIELD instruction 3500 until the return value is non-zero. Thus, a YIELD instruction 3500 specifying an rs register 3602 value of -1 becomes a means of polling, or sampling, the YQ inputs 3614 that would otherwise be used by the processor core 3302 hardware to block execution of the thread issuing the YIELD instruction 3500. It is noted that execution of the program thread is still controlled based upon the actual YQ inputs 3614 specified; however, the hardware blocking of the thread is simulated by the program itself rather than the processor core 3302.
[00221] Referring now to Figure 39, a block diagram illustrating a processing system 3300, such as the processing system 3300 of Figure 33, including the processor core 3302 of Figure 36 for executing a YIELD instruction 3500 according to the alternate embodiment of Figure 35 of the present invention is shown. The system 3300 includes signal sources 3908 that generate signals 3912, such as but not limited to, the interrupt request signals generated by the I/O devices 3306 of Figure 33. [00222] The system 3300 also includes a YQ input management block 3902. The YQ input management block 3902 includes thirty-one YQ Map Registers 3906 controlling corresponding muxes 3904. The embodiment of Figure 39 illustrates three YQ Map Registers 3906, denoted YQ Map Register 0 3906, YQ Map Register 1 3906, and YQ Map Register 30 3906, whose corresponding mux 3904 outputs are coupled to YQ input 0 3614, YQ input 1 3614, and YQ input 30 3614, respectively. Each of the muxes 3904 receives all of the signals 3912 from the signal sources 3908 and selects one of the signals 3912 for provision to its respective YQ input 3614 based on a select input provided by its respective YQ Map Register 3906. In one embodiment, the YQ Map Registers 3906 are programmable by a program executing on the processor core 3302. In one embodiment, the YQ input management block 3902 is included in the processor core 3302 rather than being external to the processor core 3302. In another embodiment, the YQ input management block 3902 is comprised in a coprocessor to the processor core 3302.
[00223] Referring now to Figure 40, a block diagram illustrating a semantic of the rs register 3602 specified in the rs field 3502 of the YIELD instruction 3500 of Figure 35 according to an alternate embodiment of the alternate embodiment of Figure 35 according to the present invention is shown. The embodiment of Figure 40 is employed in a processor core 3302 which receives only four YQ inputs 3614 of Figure 36 rather than thirty-one. The four YQ inputs 3614 are selected based on values programmed into a YQ input management block 3902 similar to that of Figure 39. In one embodiment, the YQ input management block 3902 is comprised in a coprocessor to the processor core 3302. In one embodiment, each thread context includes a YQ input management block 3902.
[00224] The rs register 3602 is divided into eight 4-bit fields. Four of the fields are inversion mask fields, denoted INV3, INV2, INVl, and INV0. Four of the fields are AND-enabling term fields, denoted AND3, AND2, ANDl, and ANDO. The table shown in Figure 40 describes each of the fields. [00225] The control logic 3612 includes circuitry to perform the following manipulation of the YQ inputs 3614 based on the rs register 3602 value. The four YQ input 3614 values, whose mapping to signals 3912 is controlled by the YQ input management block 3902, are brought into four independent sets of XOR gates, where the bits set in the corresponding INVx field are inverted to create a conditioned set of active-high values. Each of the four conditioned qualifiers is provided to an independent n-Way AND block, where the set of bits selected by the associated ANDx field is ANDed together with an implicit 1 (i.e. if only one ANDx bit is set, the output tracks the corresponding input) to produce one of four gated qualifiers. The four gated qualifiers are then ORed together. If the result is non-zero, the control logic 3612 generates a true value on reschedule signal 3628 to reschedule the thread. [00226] In one embodiment, the return value stored into the rd register 3604 is a vector of the four gated qualifier values. In one embodiment, the return value is stored in the least significant bits of the rd register 3604. In another embodiment, the return value is shifted left by two bits to create an address offset to facilitate a software switch based on the reason for rescheduling.
[00227] As in the embodiment of Figure 35, a zero rs register 3602 value causes the thread to be terminated. However, to accomplish the unconditional rescheduling of the thread specified in Figure 35 by a -1, the rs register 3602 is programmed with a value using the INV and AND masks to create (A)|(~A) for any "A" out of the 4 YQ inputs 3614.
[00228] Although embodiments have been described in which thirty-one YQ inputs 3614 are provided and a thirty-two bit architecture has been described, the YIELD instruction 3500 described herein is not limited to these embodiments, but may be extended or contracted to various numbers in inputs and register sizes.
[00229] The Multithreading ASE described in this application may, of course, be embodied in hardware; e.g., within or coupled to a Central Processing Unit ("CPU"), microprocessor, microcontroller, digital signal processor, processor core, System on Chip ("SOC"), or any other programmable device. Additionally, the Multithreading ASE may be embodied in software (e g , computer readable code, program code, instructions and/or data disposed in any form, such as source, object or machine language) disposed, for example, in a computer usable (e g , readable) medium configured to store the software Such software enables the function, fabπcation, modeling, simulation, descπption and/or testing of the apparatus and processes descπbed herein For example, this can be accomplished through the use of general programming languages (e g , C, C++), GDSII databases, hardware descπption languages (HDL) including Veπlog HDL, VHDL, AHDL (Altera HDL) and so on, or other available programs, databases, and/or circuit (1 e , schematic) capture tools Such software can be disposed in any known computer usable medium including semiconductor, magnetic disk, optical disc (e g , CD-ROM, DVD-ROM, etc ) and as a computer data signal embodied in a computer usable (e g , readable) transmission medium (e g , carrier wave or any other medium including digital, optical, or analog- based medium) As such, the software can be transmitted over communication networks including the Internet and intranets
[00230] A Multithreading ASE embodied in software may be included in a semiconductor intellectual property core, such as a processor core (e g , embodied in HDL) and transformed to hardware in the production of integrated circuits Additionally, a Multithreading ASE as descnbed herein may be embodied as a combination of hardware and software
[00231] It will be apparent to those with skill in the art that there may be a vanety of changes made in the embodiments descπbed herein without departing from the spirit and scope of the invention For example, the embodiments descπbed have been descπbed using MIPS processors, architecture and technology as specific examples The invention in vaπous embodiments is more broadly applicable, and not limited specifically to such examples Further, a skilled artisan might find ways to program the functionality descπbed above in subtle different ways, which should also be within the scope of the invention In the teachings relative to QoS the contents of the ThreadSchedule and VPESchedule Registers are not limited in length, and many changes may be made within the spiπt and scope of the invention [00232] Therefore, the invention is limited only by the breadth of the claims that follow.
[00233] Finally, those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiments as a basis for designing or modifying other structures for carrying out the same puφoses of the present invention without departing from the spirit and scope of the invention as defined by the appended claims.
I claim:

Claims

1. A yield instruction for execution on a microprocessor configured to execute conc rent program threads, the instruction comprising: an opcode, for instructing the microprocessor to suspend execution of a thread, wherein the yield instruction is an instruction in said thread; and an operand, wherein if said operand is a first predetermined value the microprocessor terminates execution of said thread, wherein if said operand is a second predetermined value the microprocessor unconditionally reschedules said thread for execution.
2. The instruction of claim 1 , wherein said operand is stored in a register of the microprocessor.
3. The instruction of claim 1, wherein said register is one of a plurality of general puφose registers specified by the instruction.
4. The instruction of claim 1 , wherein said operand is stored in a stack memory.
5. The instruction of claim 1, wherein the microprocessor rescheduling said thread for execution comprises the microprocessor designating said thread eligible for execution subject to a thread scheduling policy.
6. The instruction of claim 1, wherein if said operand is a value within a predetermined set of values the microprocessor conditionally reschedules said thread for execution, wherein said predetermined set of values excludes said first and second predetermined values.
7. The instruction of claim 6, wherein the microprocessor conditionally rescheduling said thread for execution comprises the microprocessor designating said thread eligible for execution subject to a thread scheduling policy only after a condition specified by said operand is satisfied.
8. The instruction of claim 7, wherein said operand specifies one or more of a plurality of qualifier inputs, wherein said condition is satisfied if at least one of said one or more of said plurality of qualifier inputs is true.
9. The instruction of claim 8, further comprising: a second operand, for receiving a result value of the instruction, said result value specifying a state of said plurality of qualifier inputs sampled in response to said instruction.
10. The instruction of claim 9, wherein said result value comprises a bit vector.
1 1. The instruction of claim 8, wherein the microprocessor raises an exception to the yield instruction if said operand specifies one or more of said plurality of qualifier inputs received by the microprocessor that are unspecified in a programmable mask register of the microprocessor.
12. The instruction of claim 6, wherein said predetermined set of values comprises positive integers.
13. The instruction of claim 1, further comprising: a second operand, for receiving a result value of the instruction.
14. The instruction of claim 13, wherein said second operand is stored in a register of the microprocessor.
15. The instruction of claim 14, wherein said register is one of a plurality of general puφose registers specified by the instruction.
16. The instruction of claim 1, wherein said second operand is stored in a stack memory.
17. The instruction of claim 1, wherein the microprocessor suspending execution of said thread comprises the microprocessor stopping fetching and issuing instructions in said thread.
18. The instruction of claim 1, wherein the microprocessor terminating execution of said thread comprises the microprocessor terminating fetching and issuing instructions in said thread.
19. The instruction of claim 1, wherein the microprocessor terminating execution of said thread comprises the microprocessor freeing hardware resources previously allocated for execution of said thread making said freed hardware resources available for allocation by another thread.
20. The instruction of claim 19, wherein said hardware resources comprise a program counter register.
21. The instruction of claim 19, wherein said hardware resources comprise a set of general puφose registers.
22. The instruction of claim 1, wherein said first predetermined value is zero (0).
23. The instruction of claim 1, wherein said second predetermined value is negative one (-1).
24. The instruction of claim 1, wherein said thread comprises a sequence of program instructions.
25. The instruction of claim 24, wherein said thread further comprises a sequence of state changes of the microprocessor associated with execution of said sequence of program instructions.
26. A microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction, comprising: an operand; and a scheduler, coupled to receive said operand, for terminating execution of the thread if said operand is a first predetermined value, and for scheduling the thread for execution if said operand is a second predetermined value.
27. The microprocessor of claim 26, further comprising: a register, for storing said operand of the instruction.
28. The microprocessor of claim 27, wherein said register comprises a general puφose register specified by the instruction.
29. The microprocessor of claim 26, further comprising: a plurality of inputs, coupled to said scheduler, for indicating a corresponding plurality of events; wherein if said operand is in a predetermined set of values exclusive of said first and second predetermined values, said operand is a bit vector specifying one or more of said plurality of inputs.
30. The microprocessor of claim 29, wherein if said operand is in said predetermined set of values, said scheduler suspends execution of the thread until at least one of said one or more of said plurality of inputs specified by said bit vector is true.
31. The microprocessor of claim 29, wherein at least one of said plurality of inputs receives a signal generated external to the microprocessor.
32. The microprocessor of claim 29, wherein at least one of said plurality of inputs receives a signal generated internally by the microprocessor.
33. The microprocessor of claim 29, further comprising: a mask register, coupled to said scheduler, for storing a value specifying one or more of said plurality of inputs.
34. The microprocessor of claim 33, wherein if said operand is in said predetermined set of values, the microprocessor raises an exception to the instruction if said operand specifies one or more of said plurality of inputs unspecified by said mask register value.
35. The microprocessor of claim 33, further comprising: a second register, coupled to said plurality of inputs and said mask register, for storing a result value of the instruction, said result value specifying a state of said plurality of inputs sampled in response to said instruction and qualified by said mask register value.
36. The microprocessor of claim 29, further comprising: a second register, coupled to said plurality of inputs, for storing a result value of the instruction, said result value specifying a state of said plurality of inputs sampled in response to said instruction.
37. The microprocessor of claim 26, wherein said first predetermined value is zero (0) and said second predetermined value is negative one (-1).
38. A method for selectively suspending or terminating execution of a program thread in a microprocessor, the method comprising: issuing an instruction in the program thread, the instruction specifying an operand; if the operand is a first predetermined value, terminating execution of the thread; and if the operand is a second predetermined value, unconditionally rescheduling execution of the thread, wherein said first and second predetermined values are distinct.
39. The method of claim 38, wherein the microprocessor is configured to monitor event signals, wherein the operand specifies one or more of the event signals if the operand is in the predetermined set of values, the method further comprising: if the operand is in a predetermined set of values, suspending execution of the thread until at least one of one or more of the event signals specified by the operand is true.
40. The method of claim 39, further comprising: sampling a value of the one or more event signals, after said issuing; and storing the sampled value as a result value of the instruction.
41. The method of claim 40, wherein said storing the sampled value comprises storing the sampled value in a result register specified by the instruction.
42. The method of claim 39, further comprising: determining whether all of the one or more of the event signals specified by the operand are also specified in a mask register of the microprocessor; raising an exception to the instruction if less than all of the one or more of the event signals specified by the operand are enabled in the mask register.
43. The method of claim 39, further comprising: rescheduling the thread for execution once at least one of one or more of the event signals specified by the operand is true.
44. The method of claim 39, wherein the first predetermined value is zero (0), wherein the second predetermined value is negative one (-1), and wherein the predetermined set of values comprises positive integers.
45. The method of claim 38, further comprising: if the operand is the first predetermined value, freeing a thread context, previously allocated to the thread, for subsequent use by a new thread.
46. The method of claim 38, wherein the first predetermined value is zero (0), wherein the second predetermined value is negative one (-1).
47. A processing system, comprising: a plurality of signal sources; and a microprocessor, coupled to receive a plurality of signals generated by said plurality of signal sources, configured to execute an instruction in a program thread, to terminate execution of said thread if an operand of the instruction is a first predetermined value, and otherwise to reschedule said thread for execution based on a condition of one or more of said plurality of signals specified by said operand.
48. The processing system of claim 47, wherein said microprocessor is configured to unconditionally reschedule said thread for execution if said operand is a second predetermined value.
49. The processing system of claim 48, wherein said microprocessor is configured to conditionally reschedule said thread for execution based on said condition of one or more of said plurality of signals specified by said operand if said operand is in a predetermined set of values excluding said first and second predetermined values.
50. The processing system of claim 47, wherein at least one of said plurality of signal sources comprises an input/output device.
51. The processing system of claim 47, wherein the instruction returns an operand indicating a value of said plurality of signals.
52. The processing system of claim 47, further comprising: a plurality of registers, coupled between said plurality of signal sources and said microprocessor, for mapping said plurality of signal sources to a plurality of inputs to said microprocessor.
53. The processing system of claim 47, wherein said microprocessor further comprises: a plurality of registers, coupled to receive said plurality of signals, for mapping said plurality of signals to a plurality of qualifier inputs, wherein said operand specifies a Boolean function performed by the microprocessor on said qualifier inputs to determine said condition for conditionally rescheduling said thread for execution.
54. The processing system of claim 47, wherein said microprocessor further comprises: a register, coupled to store said operand.
55. The processing system of claim 47, further comprising: a memory, coupled to said microprocessor, for storing said operand in an operand stack comprised therein.
56. A computer program product for use with a computing device, the computer program product comprising: a computer usable medium, having computer readable program code embodied in said medium, for causing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction, said computer readable program code comprising: first program code for providing an operand of the instruction; and second program code for providing a scheduler, coupled to receive said operand, for terminating execution of the thread if said operand is a first predetermined value, and for scheduling the thread for execution if said operand is a second predetermined value.
57. The computer program product of claim 56, wherein said computer readable program code further comprises: third program code for providing a plurality of inputs, coupled to said scheduler, for indicating a corresponding plurality of events, wherein if said operand is in a predetermined set of values exclusive of said first and second predetermined values, said operand is a bit vector specifying one or more of said plurality of inputs.
58. The computer program product of claim 57, wherein said computer readable program code further comprises: fourth program code for providing a mask register, coupled to said scheduler, for storing a value specifying one or more of said plurality of inputs.
59. The computer program product of claim 58, wherein said computer readable program code further comprises: fifth program code for providing a second register, coupled to said plurality of inputs and said mask register, for storing a result value of the instruction, said result value specifying a state of said plurality of inputs sampled in response to said instruction and qualified by said mask register value.
60. A computer data signal embodied in a transmission medium, comprising: computer-readable program code for providing a microprocessor for executing an instruction that instructs the microprocessor to selectively schedule or terminate execution of a program thread including the instruction, said program code comprising: first program code for providing a register, for storing an operand of the instruction; and
second program code for providing a scheduler, coupled to said register, for terminating execution of the thread if said operand is a first predetermined value, and for scheduling the thread for execution if said operand is a second predetermined value.
61. The computer data signal of claim 60, wherein said computer readable program code further comprises: third program code for providing a plurality of inputs, coupled to said scheduler, for indicating a corresponding plurality of events, wherein if said operand is in a predetermined set of values exclusive of said first and second predetermined values, said operand is a bit vector specifying one or more of said plurality of inputs.
62. The computer data signal of claim 61, wherein said computer readable program code further comprises: fourth program code for providing a mask register, coupled to said scheduler, for storing a value specifying one or more of said plurality of inputs.
63. The computer data signal of claim 62, wherein said computer readable program code further comprises:
fifth program code for providing a second register, coupled to said plurality of inputs and said mask register, for storing a result value of the instruction, said result value specifying a state of said plurality of inputs sampled in response to said instruction and qualified by said mask register value.
PCT/US2004/028108 2003-08-28 2004-08-27 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor WO2005022381A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN2004800248529A CN1846194B (en) 2003-08-28 2004-08-27 Method and device for executing Parallel programs thread
DE602004017879T DE602004017879D1 (en) 2003-08-28 2004-08-27 INTEGRATED MECHANISM FOR SUSPENDING AND FINAL PROCESSOR
EP04786607A EP1660993B1 (en) 2003-08-28 2004-08-27 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
JP2006524929A JP4818919B2 (en) 2003-08-28 2004-08-27 Integrated mechanism for suspending and deallocating computational threads of execution within a processor

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US49918003P 2003-08-28 2003-08-28
US60/499,180 2003-08-28
US50235903P 2003-09-12 2003-09-12
US50235803P 2003-09-12 2003-09-12
US60/502,359 2003-09-12
US60/502,358 2003-09-12
US10/684,348 2003-10-10
US10/684,350 2003-10-10
US10/684,350 US7376954B2 (en) 2003-08-28 2003-10-10 Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US10/684,348 US20050050305A1 (en) 2003-08-28 2003-10-10 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor

Publications (2)

Publication Number Publication Date
WO2005022381A2 true WO2005022381A2 (en) 2005-03-10
WO2005022381A3 WO2005022381A3 (en) 2005-06-16

Family

ID=34624066

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/028108 WO2005022381A2 (en) 2003-08-28 2004-08-27 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor

Country Status (5)

Country Link
US (6) US7424599B2 (en)
EP (3) EP1660993B1 (en)
JP (3) JP4818918B2 (en)
DE (1) DE602004017879D1 (en)
WO (1) WO2005022381A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2421325A (en) * 2004-12-17 2006-06-21 Sun Microsystems Inc Setting a thread to a wait state using a wait instruction
US7203100B2 (en) 2004-11-01 2007-04-10 Sun Mircosystems, Inc. Efficient implementation of a read scheme for multi-threaded register file
CN110955503A (en) * 2018-09-27 2020-04-03 深圳市创客工场科技有限公司 Task scheduling method and device

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2836407B1 (en) * 2002-02-28 2004-05-14 Thermagen METHOD FOR MANUFACTURING METALLIC PACKAGING
US7627721B2 (en) * 2002-10-08 2009-12-01 Rmi Corporation Advanced processor with cache coherency
KR100591755B1 (en) * 2003-07-22 2006-06-22 삼성전자주식회사 Apparatus and method for processing multiple threads simultaneously
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US7849297B2 (en) * 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
DE602004017879D1 (en) 2003-08-28 2009-01-02 Mips Tech Inc INTEGRATED MECHANISM FOR SUSPENDING AND FINAL PROCESSOR
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7870553B2 (en) 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7836450B2 (en) * 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US8775997B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
US8775112B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for increasing die yield
US8732644B1 (en) 2003-09-15 2014-05-20 Nvidia Corporation Micro electro mechanical switch system and method for testing and configuring semiconductor functional circuits
US20050094551A1 (en) * 2003-09-25 2005-05-05 Broadcom Corporation Processor instruction for DMT encoding
US7903810B2 (en) * 2003-09-26 2011-03-08 Broadcom Corporation Single instruction for data scrambling
US7751557B2 (en) * 2003-09-26 2010-07-06 Broadcom Corporation Data de-scrambler
US7756273B2 (en) * 2003-09-26 2010-07-13 Broadcom Corporation System and method for bit-reversing and scrambling payload bytes in an asynchronous transfer mode cell
US6897871B1 (en) 2003-11-20 2005-05-24 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US8711161B1 (en) 2003-12-18 2014-04-29 Nvidia Corporation Functional component compensation reconfiguration system and method
US7770169B2 (en) * 2004-05-17 2010-08-03 Oracle America, Inc. Thread rendezvous for read-only code in an object-oriented computing environment
EP1622009A1 (en) * 2004-07-27 2006-02-01 Texas Instruments Incorporated JSM architecture and systems
US7890735B2 (en) * 2004-08-30 2011-02-15 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US7320063B1 (en) 2005-02-04 2008-01-15 Sun Microsystems, Inc. Synchronization primitives for flexible scheduling of functional unit operations
US8723231B1 (en) 2004-09-15 2014-05-13 Nvidia Corporation Semiconductor die micro electro-mechanical switch management system and method
US8711156B1 (en) * 2004-09-30 2014-04-29 Nvidia Corporation Method and system for remapping processing elements in a pipeline of a graphics processing unit
US7707578B1 (en) 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
EP1844393A2 (en) * 2005-01-25 2007-10-17 Nxp B.V. Multi-threaded processor
US7483422B2 (en) * 2005-02-10 2009-01-27 International Business Machines Corporation Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
US8254411B2 (en) * 2005-02-10 2012-08-28 International Business Machines Corporation Data processing system, method and interconnect fabric having a flow governor
US7743233B2 (en) * 2005-04-05 2010-06-22 Intel Corporation Sequencer address management
US7389403B1 (en) * 2005-08-10 2008-06-17 Sun Microsystems, Inc. Adaptive computing ensemble microprocessor architecture
US7721151B2 (en) * 2005-08-30 2010-05-18 Cisco Technology, Inc. Selective error recovery of processing complex using privilege-level error discrimination
US7844971B2 (en) * 2005-09-28 2010-11-30 Intel Corporation Method and apparatus for detecting cross-thread stack access in multithreaded programs
US8185895B2 (en) * 2005-11-30 2012-05-22 International Business Machines Corporation Method, apparatus and program storage device for providing an anchor pointer in an operating system context structure for improving the efficiency of accessing thread specific data
KR100663709B1 (en) * 2005-12-28 2007-01-03 삼성전자주식회사 Apparatus and method of exception handling for reconfigurable architecture
US8108863B2 (en) * 2005-12-30 2012-01-31 Intel Corporation Load balancing for multi-threaded applications via asymmetric power throttling
US20070226795A1 (en) * 2006-02-09 2007-09-27 Texas Instruments Incorporated Virtual cores and hardware-supported hypervisor integrated circuits, systems, methods and processes of manufacture
KR100714710B1 (en) * 2006-02-22 2007-05-04 삼성전자주식회사 Apparatus and method for forcibly terminating thread blocked by i/o operation
KR100770034B1 (en) * 2006-03-02 2007-10-26 삼성전자주식회사 Method and system for providing context switch using multiple register file
GB0605383D0 (en) * 2006-03-17 2006-04-26 Williams Paul N Processing system
US7802073B1 (en) 2006-03-29 2010-09-21 Oracle America, Inc. Virtual core management
WO2007115429A1 (en) * 2006-03-31 2007-10-18 Intel Corporation Managing and supporting multithreaded resources for native code in a heterogeneous managed runtime environment
EP2477109B1 (en) 2006-04-12 2016-07-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
US7792895B1 (en) * 2006-06-16 2010-09-07 Nvidia Corporation Efficient matrix multiplication on a parallel processing device
US7912889B1 (en) * 2006-06-16 2011-03-22 Nvidia Corporation Mapping the threads of a CTA to the elements of a tile for efficient matrix multiplication
US8046775B2 (en) * 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7657708B2 (en) * 2006-08-18 2010-02-02 Mips Technologies, Inc. Methods for reducing data cache access power in a processor using way selection bits
US7650465B2 (en) * 2006-08-18 2010-01-19 Mips Technologies, Inc. Micro tag array having way selection bits for reducing data cache access power
US9665970B2 (en) * 2006-09-19 2017-05-30 Imagination Technologies Limited Variable-sized concurrent grouping for multiprocessing
US7594079B2 (en) 2006-09-29 2009-09-22 Mips Technologies, Inc. Data cache virtual hint way prediction, and applications thereof
US20080082793A1 (en) * 2006-09-29 2008-04-03 Mips Technologies, Inc. Detection and prevention of write-after-write hazards, and applications thereof
US9946547B2 (en) * 2006-09-29 2018-04-17 Arm Finance Overseas Limited Load/store unit for a processor, and applications thereof
US7493436B2 (en) * 2006-10-26 2009-02-17 International Business Machines Corporation Interrupt handling using simultaneous multi-threading
US8402463B2 (en) * 2006-10-30 2013-03-19 Hewlett-Packard Development Company, L.P. Hardware threads processor core utilization
US7698540B2 (en) * 2006-10-31 2010-04-13 Hewlett-Packard Development Company, L.P. Dynamic hardware multithreading and partitioned hardware multithreading
EP2523101B1 (en) 2006-11-14 2014-06-04 Soft Machines, Inc. Apparatus and method for processing complex instruction formats in a multi- threaded architecture supporting various context switch modes and virtualization schemes
US7975272B2 (en) * 2006-12-30 2011-07-05 Intel Corporation Thread queuing method and apparatus
US8321849B2 (en) * 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
JP5595633B2 (en) * 2007-02-26 2014-09-24 スパンション エルエルシー Simulation method and simulation apparatus
US8219789B2 (en) * 2007-03-14 2012-07-10 XMOS Ltd. Interface processor
US9367321B2 (en) * 2007-03-14 2016-06-14 Xmos Limited Processor instruction set for controlling an event source to generate events used to schedule threads
US8095782B1 (en) * 2007-04-05 2012-01-10 Nvidia Corporation Multiple simultaneous context architecture for rebalancing contexts on multithreaded processing cores upon a context change
US7979683B1 (en) * 2007-04-05 2011-07-12 Nvidia Corporation Multiple simultaneous context architecture
US8521993B2 (en) * 2007-04-09 2013-08-27 Intel Corporation Providing thread fairness by biasing selection away from a stalling thread using a stall-cycle counter in a hyper-threaded microprocessor
US8261049B1 (en) 2007-04-10 2012-09-04 Marvell International Ltd. Determinative branch prediction indexing
US7681020B2 (en) * 2007-04-18 2010-03-16 International Business Machines Corporation Context switching and synchronization
WO2008132761A2 (en) * 2007-04-26 2008-11-06 Hewlett-Packard Development Company L.P. Method and system for managing heap across process replication
US8281308B1 (en) 2007-07-23 2012-10-02 Oracle America, Inc. Virtual core remapping based on temperature
US8132170B2 (en) * 2007-08-07 2012-03-06 International Business Machines Corporation Call stack sampling in a data processing system
JP5043560B2 (en) * 2007-08-24 2012-10-10 パナソニック株式会社 Program execution control device
US20090063881A1 (en) * 2007-08-31 2009-03-05 Mips Technologies, Inc. Low-overhead/power-saving processor synchronization mechanism, and applications thereof
US8782779B2 (en) * 2007-09-26 2014-07-15 Hewlett-Packard Development Company, L.P. System and method for achieving protected region within computer system
US7801710B2 (en) * 2007-09-28 2010-09-21 Rockwell Automation Technologies, Inc. Simulation controls for model variability and randomness
US20090089234A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Automated code generation for simulators
US8548777B2 (en) * 2007-09-28 2013-10-01 Rockwell Automation Technologies, Inc. Automated recommendations from simulation
US8069021B2 (en) * 2007-09-28 2011-11-29 Rockwell Automation Technologies, Inc. Distributed simulation and synchronization
US20090089029A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Enhanced execution speed to improve simulation performance
US20090089031A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Integrated simulation of controllers and devices
US20090100249A1 (en) * 2007-10-10 2009-04-16 Eichenberger Alexandre E Method and apparatus for allocating architectural register resources among threads in a multi-threaded microprocessor core
US8724483B2 (en) 2007-10-22 2014-05-13 Nvidia Corporation Loopback configuration for bi-directional interfaces
US8321840B2 (en) * 2007-12-27 2012-11-27 Intel Corporation Software flow tracking using multiple threads
JP5278336B2 (en) * 2008-02-15 2013-09-04 日本電気株式会社 Program parallelization apparatus, program parallelization method, and program parallelization program
US20090210873A1 (en) * 2008-02-15 2009-08-20 International Business Machines Corporation Re-tasking a managed virtual machine image in a virtualization data processing system
WO2009118731A2 (en) 2008-03-27 2009-10-01 Rocketick Technologies Ltd Design simulation using parallel processors
JP4996519B2 (en) * 2008-03-27 2012-08-08 パナソニック株式会社 Virtual multiprocessor, system LSI, mobile phone device, and virtual multiprocessor control method
US8566830B2 (en) * 2008-05-16 2013-10-22 Microsoft Corporation Local collections of tasks in a scheduler
US8561072B2 (en) * 2008-05-16 2013-10-15 Microsoft Corporation Scheduling collections in a scheduler
JP5379122B2 (en) * 2008-06-19 2013-12-25 パナソニック株式会社 Multiprocessor
US9032377B2 (en) * 2008-07-10 2015-05-12 Rocketick Technologies Ltd. Efficient parallel computation of dependency problems
US20100011357A1 (en) * 2008-07-13 2010-01-14 International Business Machines Corporation System and method for garbage collection in a virtual machine
US20100017583A1 (en) * 2008-07-15 2010-01-21 International Business Machines Corporation Call Stack Sampling for a Multi-Processor System
US9418005B2 (en) 2008-07-15 2016-08-16 International Business Machines Corporation Managing garbage collection in a data processing system
US8024732B2 (en) * 2008-07-28 2011-09-20 Microsoft Corporation State separation for application changes
US9449314B2 (en) * 2008-10-02 2016-09-20 International Business Machines Corporation Virtualization of a central processing unit measurement facility
US7827321B2 (en) 2008-10-02 2010-11-02 International Business Machines Corporation Central processing unit measurement facility
US8209559B2 (en) * 2008-12-24 2012-06-26 Intel Corporation Low power polling techniques
WO2010095182A1 (en) * 2009-02-17 2010-08-26 パナソニック株式会社 Multithreaded processor and digital television system
JP2010204874A (en) * 2009-03-03 2010-09-16 Nec Corp Thread control system, method, and program
US8561040B2 (en) * 2009-03-10 2013-10-15 Oracle America, Inc. One-pass compilation of virtual instructions
US9250973B2 (en) * 2009-03-12 2016-02-02 Polycore Software, Inc. Apparatus and associated methodology of generating a multi-core communications topology
US9444757B2 (en) 2009-04-27 2016-09-13 Intel Corporation Dynamic configuration of processing modules in a network communications processor architecture
US8910171B2 (en) * 2009-04-27 2014-12-09 Lsi Corporation Thread synchronization in a multi-thread network communications processor architecture
US9461930B2 (en) 2009-04-27 2016-10-04 Intel Corporation Modifying data streams without reordering in a multi-thread, multi-flow network processor
US20100333071A1 (en) * 2009-06-30 2010-12-30 International Business Machines Corporation Time Based Context Sampling of Trace Data with Support for Multiple Virtual Machines
US8593472B1 (en) * 2009-07-31 2013-11-26 Nvidia Corporation System and method for accessing a frame buffer via a storage driver
US10169072B2 (en) * 2009-09-23 2019-01-01 Nvidia Corporation Hardware for parallel command list generation
GB2474521B (en) * 2009-10-19 2014-10-15 Ublox Ag Program flow control
US20110173420A1 (en) * 2010-01-08 2011-07-14 International Business Machines Corporation Processor resume unit
US8447960B2 (en) * 2010-01-08 2013-05-21 International Business Machines Corporation Pausing and activating thread state upon pin assertion by external logic monitoring polling loop exit time condition
KR101644800B1 (en) * 2010-01-07 2016-08-02 삼성전자주식회사 Computing system and method
US9331869B2 (en) 2010-03-04 2016-05-03 Nvidia Corporation Input/output request packet handling techniques by a device specific kernel mode driver
US9176783B2 (en) 2010-05-24 2015-11-03 International Business Machines Corporation Idle transitions sampling with execution context
JP5548037B2 (en) * 2010-06-11 2014-07-16 パナソニック株式会社 Command issuing control device and method
US8516492B2 (en) * 2010-06-11 2013-08-20 International Business Machines Corporation Soft partitions and load balancing
US8843684B2 (en) 2010-06-11 2014-09-23 International Business Machines Corporation Performing call stack sampling by setting affinity of target thread to a current process to prevent target thread migration
US8799872B2 (en) 2010-06-27 2014-08-05 International Business Machines Corporation Sampling with sample pacing
US8667253B2 (en) 2010-08-04 2014-03-04 International Business Machines Corporation Initiating assist thread upon asynchronous event for processing simultaneously with controlling thread and updating its running status in status register
WO2012029111A1 (en) * 2010-08-30 2012-03-08 富士通株式会社 Multi-core processor system, synchronisation control system, synchronisation control device, information generation method, and information generation programme
EP2616928B1 (en) 2010-09-17 2016-11-02 Soft Machines, Inc. Single cycle multi-branch prediction including shadow cache for early far branch prediction
US8713290B2 (en) 2010-09-20 2014-04-29 International Business Machines Corporation Scaleable status tracking of multiple assist hardware threads
US8793474B2 (en) 2010-09-20 2014-07-29 International Business Machines Corporation Obtaining and releasing hardware threads without hypervisor involvement
US8561070B2 (en) * 2010-12-02 2013-10-15 International Business Machines Corporation Creating a thread of execution in a computer processor without operating system intervention
US8572628B2 (en) 2010-12-02 2013-10-29 International Business Machines Corporation Inter-thread data communications in a computer processor
JP5780243B2 (en) * 2011-01-07 2015-09-16 富士通株式会社 Scheduling method and multi-core processor system
US8799904B2 (en) 2011-01-21 2014-08-05 International Business Machines Corporation Scalable system call stack sampling
EP2689326B1 (en) 2011-03-25 2022-11-16 Intel Corporation Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines
WO2012135031A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
WO2012135041A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
US9128748B2 (en) 2011-04-12 2015-09-08 Rocketick Technologies Ltd. Parallel simulation using multiple co-simulators
US9158592B2 (en) * 2011-05-02 2015-10-13 Green Hills Software, Inc. System and method for time variant scheduling of affinity groups comprising processor core and address spaces on a synchronized multicore processor
CN103649932B (en) 2011-05-20 2017-09-26 英特尔公司 The scattered distribution of resource and for supporting by the interconnection structure of multiple engine execute instruction sequences
WO2012162189A1 (en) 2011-05-20 2012-11-29 Soft Machines, Inc. An interconnect structure to support the execution of instruction sequences by a plurality of engines
US8701107B2 (en) 2011-06-14 2014-04-15 Vmware, Inc. Decentralized management of virtualized hosts
US8856784B2 (en) 2011-06-14 2014-10-07 Vmware, Inc. Decentralized management of virtualized hosts
US9026630B2 (en) 2011-06-14 2015-05-05 Vmware, Inc. Managing resources in a distributed system using dynamic clusters
GB2529074A (en) * 2011-06-16 2016-02-10 Imagination Tech Ltd Graphics processor with non-blocking concurrent architecture
CN102831053B (en) * 2011-06-17 2015-05-13 阿里巴巴集团控股有限公司 Scheduling method and device for test execution
US8695010B2 (en) 2011-10-03 2014-04-08 International Business Machines Corporation Privilege level aware processor hardware resource management facility
CN102495726B (en) * 2011-11-15 2015-05-20 无锡德思普科技有限公司 Opportunity multi-threading method and processor
KR101703401B1 (en) 2011-11-22 2017-02-06 소프트 머신즈, 인크. An accelerated code optimizer for a multiengine microprocessor
US20150039859A1 (en) 2011-11-22 2015-02-05 Soft Machines, Inc. Microprocessor accelerated code optimizer
FR2984554B1 (en) * 2011-12-16 2016-08-12 Sagemcom Broadband Sas BUS SOFTWARE
WO2013100959A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Processor accelerator interface virtualization
US20140325185A1 (en) * 2012-01-31 2014-10-30 Siemens Aktiengesellschaff Method for Operating a Processor
JP5894496B2 (en) * 2012-05-01 2016-03-30 ルネサスエレクトロニクス株式会社 Semiconductor device
US9003218B2 (en) 2012-05-21 2015-04-07 International Business Machines Corporation Power shifting in multicore platforms by varying SMT levels
US9223687B2 (en) 2012-06-15 2015-12-29 International Business Machines Corporation Determining the logical address of a transaction abort
US9298631B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Managing transactional and non-transactional store observability
US9311101B2 (en) 2012-06-15 2016-04-12 International Business Machines Corporation Intra-instructional transaction abort handling
US9298469B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Management of multiple nested transactions
US9262320B2 (en) 2012-06-15 2016-02-16 International Business Machines Corporation Tracking transactional execution footprint
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
KR102083390B1 (en) 2013-03-15 2020-03-02 인텔 코포레이션 A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
KR102063656B1 (en) 2013-03-15 2020-01-09 소프트 머신즈, 인크. A method for executing multithreaded instructions grouped onto blocks
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US10534421B2 (en) 2013-06-13 2020-01-14 Microsoft Technology Licensing, Llc Virtual per-processor timers for multiprocessor systems
US9367325B2 (en) * 2013-06-29 2016-06-14 Intel Corporation Common architecture state presentation for processor having processing cores of different types
US9251071B2 (en) 2013-08-30 2016-02-02 Apple Inc. Concurrent inline cache optimization in accessing dynamically typed objects
US9477628B2 (en) * 2013-09-28 2016-10-25 Intel Corporation Collective communications apparatus and method for parallel systems
GB2519813B (en) * 2013-10-31 2016-03-30 Silicon Tailor Ltd Pipelined configurable processor
US9830206B2 (en) * 2013-12-18 2017-11-28 Cray Inc. Cross-thread exception handling
US9558000B2 (en) * 2014-02-06 2017-01-31 Optimum Semiconductor Technologies, Inc. Multithreading using an ordered list of hardware contexts
US9766894B2 (en) 2014-02-06 2017-09-19 Optimum Semiconductor Technologies, Inc. Method and apparatus for enabling a processor to generate pipeline control signals
US9921848B2 (en) 2014-03-27 2018-03-20 International Business Machines Corporation Address expansion and contraction in a multithreading computer system
US9594660B2 (en) 2014-03-27 2017-03-14 International Business Machines Corporation Multithreading computer system and program product for executing a query instruction for idle time accumulation among cores
US9417876B2 (en) 2014-03-27 2016-08-16 International Business Machines Corporation Thread context restoration in a multithreading computer system
US9772867B2 (en) 2014-03-27 2017-09-26 International Business Machines Corporation Control area for managing multiple threads in a computer
US9213569B2 (en) 2014-03-27 2015-12-15 International Business Machines Corporation Exiting multiple threads in a computer
US10102004B2 (en) 2014-03-27 2018-10-16 International Business Machines Corporation Hardware counters to track utilization in a multithreading computer system
US9223574B2 (en) 2014-03-27 2015-12-29 International Business Machines Corporation Start virtual execution instruction for dispatching multiple threads in a computer
US9195493B2 (en) * 2014-03-27 2015-11-24 International Business Machines Corporation Dispatching multiple threads in a computer
US9804846B2 (en) * 2014-03-27 2017-10-31 International Business Machines Corporation Thread context preservation in a multithreading computer system
US9354883B2 (en) 2014-03-27 2016-05-31 International Business Machines Corporation Dynamic enablement of multithreading
GB201406392D0 (en) * 2014-04-09 2014-05-21 Advanced Risc Mach Ltd Data processing systems
US9652262B2 (en) * 2014-10-09 2017-05-16 The Regents Of The University Of Michigan Operation parameter control based upon queued instruction characteristics
US9733987B2 (en) * 2015-02-20 2017-08-15 Intel Corporation Techniques to dynamically allocate resources of configurable computing resources
US9747108B2 (en) * 2015-03-27 2017-08-29 Intel Corporation User-level fork and join processors, methods, systems, and instructions
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
RU2609744C1 (en) * 2015-10-05 2017-02-02 Олег Александрович Козелков Logical processor
US20170139740A1 (en) * 2015-11-12 2017-05-18 Futurewei Technologies, Inc. Systems and Methods for Real Time Context Based Isolation and Virtualization
US10394556B2 (en) * 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US10592252B2 (en) 2015-12-31 2020-03-17 Microsoft Technology Licensing, Llc Efficient instruction processing for sparse data
US10459727B2 (en) 2015-12-31 2019-10-29 Microsoft Technology Licensing, Llc Loop code processor optimizations
US10430580B2 (en) 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions
US10318356B2 (en) * 2016-03-31 2019-06-11 International Business Machines Corporation Operation of a multi-slice processor implementing a hardware level transfer of an execution thread
US10558463B2 (en) 2016-06-03 2020-02-11 Synopsys, Inc. Communication between threads of multi-thread processor
US10628320B2 (en) 2016-06-03 2020-04-21 Synopsys, Inc. Modulization of cache structure utilizing independent tag array and data array in microprocessor
US10318302B2 (en) * 2016-06-03 2019-06-11 Synopsys, Inc. Thread switching in microprocessor without full save and restore of register file
US10249017B2 (en) * 2016-08-11 2019-04-02 Intel Corporation Apparatus and method for shared resource partitioning through credit management
US10552158B2 (en) 2016-08-18 2020-02-04 Synopsys, Inc. Reorder buffer scoreboard having multiple valid bits to indicate a location of data
US10613859B2 (en) 2016-08-18 2020-04-07 Synopsys, Inc. Triple-pass execution using a retire queue having a functional unit to independently execute long latency instructions and dependent instructions
US10678599B2 (en) * 2016-09-13 2020-06-09 Rockley Photonics Limited Hardware efficient system and method for load balancing using a random number
US10552212B2 (en) * 2016-11-28 2020-02-04 Arm Limited Data processing
US10579499B2 (en) * 2017-04-04 2020-03-03 International Business Machines Corporation Task latency debugging in symmetric multiprocessing computer systems
US10534719B2 (en) 2017-07-14 2020-01-14 Arm Limited Memory system for a data processing network
US10467159B2 (en) 2017-07-14 2019-11-05 Arm Limited Memory node controller
US10353826B2 (en) * 2017-07-14 2019-07-16 Arm Limited Method and apparatus for fast context cloning in a data processing system
US10613989B2 (en) 2017-07-14 2020-04-07 Arm Limited Fast address translation for virtual machines
US10592424B2 (en) 2017-07-14 2020-03-17 Arm Limited Range-based memory system
US10489304B2 (en) 2017-07-14 2019-11-26 Arm Limited Memory address translation
US10565126B2 (en) 2017-07-14 2020-02-18 Arm Limited Method and apparatus for two-layer copy-on-write
GB2565338B (en) * 2017-08-10 2020-06-03 Mips Tech Llc Fault detecting and fault tolerant multi-threaded processors
US10846089B2 (en) 2017-08-31 2020-11-24 MIPS Tech, LLC Unified logic for aliased processor instructions
US11269782B2 (en) * 2018-03-28 2022-03-08 Intel Corporation Address space identifier management in complex input/output virtualization environments
US10884850B2 (en) 2018-07-24 2021-01-05 Arm Limited Fault tolerant memory system
US10740104B2 (en) * 2018-08-16 2020-08-11 International Business Machines Corporation Tagging target branch predictors with context with index modification and late stop fetch on tag mismatch
GB2580327B (en) * 2018-12-31 2021-04-28 Graphcore Ltd Register files in a multi-threaded processor
US11080062B2 (en) 2019-01-12 2021-08-03 MIPS Tech, LLC Address manipulation using indices and tags
GB2581821B (en) 2019-02-28 2021-06-30 Advanced Risc Mach Ltd Conditional yield to hypervisor instruction
US11474861B1 (en) * 2019-11-27 2022-10-18 Meta Platforms Technologies, Llc Methods and systems for managing asynchronous function calls
US11294709B2 (en) 2020-02-18 2022-04-05 Nxp Usa, Inc. System and method of obtaining multiple factor performance gain in processing system
GB202012418D0 (en) * 2020-08-10 2020-09-23 Nordic Semiconductor Asa Processing apparatus
US11586443B2 (en) * 2020-10-20 2023-02-21 Micron Technology, Inc. Thread-based processor halting
US20230058935A1 (en) * 2021-08-18 2023-02-23 Micron Technology, Inc. Managing return parameter allocation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0725334A1 (en) * 1995-02-03 1996-08-07 International Business Machines Corporation Executing speculative parallel instruction threads
WO2001053935A1 (en) * 2000-01-21 2001-07-26 Intel Corporation Method and apparatus for pausing execution in a processor
US20030126416A1 (en) * 2001-12-31 2003-07-03 Marr Deborah T. Suspending execution of a thread in a multi-threaded processor

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578142A (en) * 1897-03-02 Jacob fierz
US3665404A (en) 1970-04-09 1972-05-23 Burroughs Corp Multi-processor processing system having interprocessor interrupt apparatus
JPS6258341A (en) 1985-09-03 1987-03-14 Fujitsu Ltd Input and output interruption processing system
ATE89936T1 (en) 1986-08-13 1993-06-15 Toshiba Machine Co Ltd DATA PROCESSING EQUIPMENT.
US4817051A (en) 1987-07-02 1989-03-28 Fairchild Semiconductor Corporation Expandable multi-port random access memory
US4843541A (en) 1987-07-29 1989-06-27 International Business Machines Corporation Logical resource partitioning of a data processing system
US5159686A (en) * 1988-02-29 1992-10-27 Convex Computer Corporation Multi-processor computer system having process-independent communication register addressing
US5428754A (en) * 1988-03-23 1995-06-27 3Dlabs Ltd Computer system with clock shared between processors executing separate instruction streams
WO1990014629A2 (en) 1989-05-26 1990-11-29 Massachusetts Institute Of Technology Parallel multithreaded data processing system
US5253308A (en) * 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
US5148538A (en) * 1989-10-20 1992-09-15 International Business Machines Corporation Translation look ahead based cache access
US5247694A (en) * 1990-06-14 1993-09-21 Thinking Machines Corporation System and method for generating communications arrangements for routing data in a massively parallel processing system
US5410710A (en) 1990-12-21 1995-04-25 Intel Corporation Multiprocessor programmable interrupt controller system adapted to functional redundancy checking processor systems
FR2677474B1 (en) 1991-06-04 1993-09-24 Sextant Avionique DEVICE FOR INCREASING THE PERFORMANCE OF A REAL-TIME EXECUTIVE CORE ASSOCIATED WITH A MULTIPROCESSOR STRUCTURE WHICH MAY INCLUDE A HIGH NUMBER OF PROCESSORS.
US5542076A (en) 1991-06-14 1996-07-30 Digital Equipment Corporation Method and apparatus for adaptive interrupt servicing in data processing system
US5301298A (en) * 1991-10-11 1994-04-05 Intel Corporation Processor for multiple cache coherent protocols
JPH05204656A (en) * 1991-11-30 1993-08-13 Toshiba Corp Method for holding data inherent in thread
US5515538A (en) 1992-05-29 1996-05-07 Sun Microsystems, Inc. Apparatus and method for interrupt handling in a multi-threaded operating system kernel
CA2100540A1 (en) 1992-10-19 1994-04-20 Jonel George System and method for performing resource reconfiguration in a computer system
US5784589A (en) * 1993-10-18 1998-07-21 Cyrix Corporation Distributed free register tracking for register renaming using an availability tracking register associated with each stage of an execution pipeline
US5758142A (en) 1994-05-31 1998-05-26 Digital Equipment Corporation Trainable apparatus for predicting instruction outcomes in pipelined processors
US5481719A (en) 1994-09-09 1996-01-02 International Business Machines Corporation Exception handling method and apparatus for a microkernel data processing system
SE9404294D0 (en) * 1994-12-09 1994-12-09 Ellemtel Utvecklings Ab manner and device in telecommunications
JP3169779B2 (en) * 1994-12-19 2001-05-28 日本電気株式会社 Multi-thread processor
US6128720A (en) * 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5724565A (en) 1995-02-03 1998-03-03 International Business Machines Corporation Method and system for processing first and second sets of instructions by first and second types of processing systems
US5867704A (en) * 1995-02-24 1999-02-02 Matsushita Electric Industrial Co., Ltd. Multiprocessor system shaving processor based idle state detection and method of executing tasks in such a multiprocessor system
US5727203A (en) 1995-03-31 1998-03-10 Sun Microsystems, Inc. Methods and apparatus for managing a database in a distributed object operating environment using persistent and transient cache
US5799188A (en) * 1995-12-15 1998-08-25 International Business Machines Corporation System and method for managing variable weight thread contexts in a multithreaded computer system
US5835748A (en) 1995-12-19 1998-11-10 Intel Corporation Method for executing different sets of instructions that cause a processor to perform different data type operations on different physical registers files that logically appear to software as a single aliased register file
US5706514A (en) 1996-03-04 1998-01-06 Compaq Computer Corporation Distributed execution of mode mismatched commands in multiprocessor computer systems
US5892934A (en) * 1996-04-02 1999-04-06 Advanced Micro Devices, Inc. Microprocessor configured to detect a branch to a DSP routine and to direct a DSP to execute said routine
US5944816A (en) 1996-05-17 1999-08-31 Advanced Micro Devices, Inc. Microprocessor configured to execute multiple threads including interrupt service routines
US5790871A (en) 1996-05-17 1998-08-04 Advanced Micro Devices System and method for testing and debugging a multiprocessing interrupt controller
US5933627A (en) 1996-07-01 1999-08-03 Sun Microsystems Thread switch on blocked load or store using instruction thread field
JP2882475B2 (en) * 1996-07-12 1999-04-12 日本電気株式会社 Thread execution method
US5812830A (en) * 1996-11-14 1998-09-22 Hewlett-Packard Company Debug system with raw mode trigger capability
US5854922A (en) * 1997-01-16 1998-12-29 Ford Motor Company Micro-sequencer apparatus and method of combination state machine and instruction memory
US5949994A (en) 1997-02-12 1999-09-07 The Dow Chemical Company Dedicated context-cycling computer with timed context
US6314511B2 (en) * 1997-04-03 2001-11-06 University Of Washington Mechanism for freeing registers on processors that perform dynamic out-of-order execution of instructions using renaming registers
US6067608A (en) * 1997-04-15 2000-05-23 Bull Hn Information Systems Inc. High performance mechanism for managing allocation of virtual memory buffers to virtual processes on a least recently used basis
US6175916B1 (en) 1997-05-06 2001-01-16 Microsoft Corporation Common-thread inter-process function calls invoked by jumps to invalid addresses
US5870597A (en) * 1997-06-25 1999-02-09 Sun Microsystems, Inc. Method for speculative calculation of physical register addresses in an out of order processor
US6658447B2 (en) * 1997-07-08 2003-12-02 Intel Corporation Priority based simultaneous multi-threading
US6128641A (en) * 1997-09-12 2000-10-03 Siemens Aktiengesellschaft Data processing unit with hardware assisted context switching capability
US5991856A (en) 1997-09-30 1999-11-23 Network Associates, Inc. System and method for computer operating system protection
US6697935B1 (en) * 1997-10-23 2004-02-24 International Business Machines Corporation Method and apparatus for selecting thread switch events in a multithreaded processor
US5923892A (en) * 1997-10-27 1999-07-13 Levy; Paul S. Host processor and coprocessor arrangement for processing platform-independent code
US6061710A (en) 1997-10-29 2000-05-09 International Business Machines Corporation Multithreaded processor incorporating a thread latch register for interrupt service new pending threads
US6647508B2 (en) 1997-11-04 2003-11-11 Hewlett-Packard Development Company, L.P. Multiprocessor computer architecture with multiple operating system instances and software controlled resource allocation
US6014737A (en) * 1997-11-19 2000-01-11 Sony Corporation Of Japan Method and system for allowing a processor to perform read bypassing while automatically maintaining input/output data integrity
US6189083B1 (en) * 1998-02-26 2001-02-13 Compaq Computer Corporation Method and apparatus for accessing a cache memory utilization distingushing bit RAMs
US6088787A (en) * 1998-03-30 2000-07-11 Celestica International Inc. Enhanced program counter stack for multi-tasking central processing unit
US6560626B1 (en) 1998-04-02 2003-05-06 Microsoft Corporation Thread interruption with minimal resource usage using an asynchronous procedure call
US6092175A (en) * 1998-04-02 2000-07-18 University Of Washington Shared register storage mechanisms for multithreaded computer systems with out-of-order execution
JP3611964B2 (en) * 1998-04-16 2005-01-19 富士通株式会社 Storage device, storage control method, and storage medium
JP3209205B2 (en) 1998-04-28 2001-09-17 日本電気株式会社 Inherit device of register contents in processor
US6496847B1 (en) 1998-05-15 2002-12-17 Vmware, Inc. System and method for virtualizing computer systems
US6189093B1 (en) 1998-07-21 2001-02-13 Lsi Logic Corporation System for initiating exception routine in response to memory access exception by storing exception information and exception bit within architectured register
US6253306B1 (en) 1998-07-29 2001-06-26 Advanced Micro Devices, Inc. Prefetch instruction mechanism for processor
US6920634B1 (en) * 1998-08-03 2005-07-19 International Business Machines Corporation Detecting and causing unsafe latent accesses to a resource in multi-threaded programs
US6223228B1 (en) 1998-09-17 2001-04-24 Bull Hn Information Systems Inc. Apparatus for synchronizing multiple processors in a data processing system
US6205414B1 (en) 1998-10-02 2001-03-20 International Business Machines Corporation Methodology for emulation of multi-threaded processes in a single-threaded operating system
US6700588B1 (en) * 1998-11-09 2004-03-02 Broadcom Corporation Apparatus and method for blending graphics and video surfaces
US6952827B1 (en) 1998-11-13 2005-10-04 Cray Inc. User program and operating system interface in a multithreaded environment
US6286027B1 (en) 1998-11-30 2001-09-04 Lucent Technologies Inc. Two step thread creation with register renaming
US6205543B1 (en) 1998-12-03 2001-03-20 Sun Microsystems, Inc. Efficient handling of a large register file for context switching
US7020879B1 (en) * 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6401155B1 (en) * 1998-12-22 2002-06-04 Philips Electronics North America Corporation Interrupt/software-controlled thread processing
US7275246B1 (en) 1999-01-28 2007-09-25 Ati International Srl Executing programs for a first computer architecture on a computer of a second architecture
US7111290B1 (en) 1999-01-28 2006-09-19 Ati International Srl Profiling program execution to identify frequently-executed portions and to assist binary translation
US6330656B1 (en) 1999-03-31 2001-12-11 International Business Machines Corporation PCI slot control apparatus with dynamic configuration for partitioned systems
JP5285828B2 (en) * 1999-04-09 2013-09-11 ラムバス・インコーポレーテッド Parallel data processor
JP2000305795A (en) 1999-04-20 2000-11-02 Nec Corp Parallel processor
US6542991B1 (en) 1999-05-11 2003-04-01 Sun Microsystems, Inc. Multiple-thread processor with single-thread interface shared among threads
US6986137B1 (en) 1999-09-28 2006-01-10 International Business Machines Corporation Method, system and program products for managing logical processors of a computing environment
US6493741B1 (en) * 1999-10-01 2002-12-10 Compaq Information Technologies Group, L.P. Method and apparatus to quiesce a portion of a simultaneous multithreaded central processing unit
US6738796B1 (en) 1999-10-08 2004-05-18 Globespanvirata, Inc. Optimization of memory requirements for multi-threaded operating systems
US6889319B1 (en) * 1999-12-09 2005-05-03 Intel Corporation Method and apparatus for entering and exiting multiple threads within a multithreaded processor
US20010052053A1 (en) 2000-02-08 2001-12-13 Mario Nemirovsky Stream processing unit for a multi-streaming processor
US7649901B2 (en) 2000-02-08 2010-01-19 Mips Technologies, Inc. Method and apparatus for optimizing selection of available contexts for packet processing in multi-stream packet processing
US6658449B1 (en) 2000-02-17 2003-12-02 International Business Machines Corporation Apparatus and method for periodic load balancing in a multiple run queue system
US6957432B2 (en) 2000-03-21 2005-10-18 Microsoft Corporation Real-time scheduler
US20010034751A1 (en) 2000-04-21 2001-10-25 Shinichiro Eto Real-time OS simulator
US6668308B2 (en) * 2000-06-10 2003-12-23 Hewlett-Packard Development Company, L.P. Scalable architecture based on single-chip multiprocessing
US6480845B1 (en) 2000-06-14 2002-11-12 Bull Hn Information Systems Inc. Method and data processing system for emulating virtual memory working spaces
US20020016869A1 (en) 2000-06-22 2002-02-07 Guillaume Comeau Data path engine
US6591379B1 (en) 2000-06-23 2003-07-08 Microsoft Corporation Method and system for injecting an exception to recover unsaved data
US6941353B1 (en) 2000-06-29 2005-09-06 Auran Holdings Pty Ltd E-commerce system and method relating to program objects
SE522271C2 (en) * 2000-07-05 2004-01-27 Ericsson Telefon Ab L M Method and apparatus in switching node for a telecommunications system
US7031992B2 (en) 2000-09-08 2006-04-18 Quartics, Inc. Hardware function generator support in a DSP
US6728846B2 (en) 2000-12-22 2004-04-27 Bull Hn Information Systems Inc. Method and data processing system for performing atomic multiple word writes
US6907520B2 (en) 2001-01-11 2005-06-14 Sun Microsystems, Inc. Threshold-based load address prediction and new thread identification in a multithreaded microprocessor
US20020103847A1 (en) 2001-02-01 2002-08-01 Hanan Potash Efficient mechanism for inter-thread communication within a multi-threaded computer system
US6643759B2 (en) 2001-03-30 2003-11-04 Mips Technologies, Inc. Mechanism to extend computer memory protection schemes
US6671791B1 (en) * 2001-06-15 2003-12-30 Advanced Micro Devices, Inc. Processor including a translation unit for selectively translating virtual addresses of different sizes using a plurality of paging tables and mapping mechanisms
JP3702814B2 (en) * 2001-07-12 2005-10-05 日本電気株式会社 Multi-thread execution method and parallel processor system
JP3702813B2 (en) * 2001-07-12 2005-10-05 日本電気株式会社 Multi-thread execution method and parallel processor system
JP3630118B2 (en) 2001-07-12 2005-03-16 日本電気株式会社 Thread termination method and apparatus, and parallel processor system
JP3702815B2 (en) * 2001-07-12 2005-10-05 日本電気株式会社 Interprocessor register inheritance method and apparatus
JP3632635B2 (en) * 2001-07-18 2005-03-23 日本電気株式会社 Multi-thread execution method and parallel processor system
US7181600B1 (en) * 2001-08-02 2007-02-20 Mips Technologies, Inc. Read-only access to CPO registers
US7185183B1 (en) * 2001-08-02 2007-02-27 Mips Technologies, Inc. Atomic update of CPO state
US7428485B2 (en) * 2001-08-24 2008-09-23 International Business Machines Corporation System for yielding to a processor
US6779065B2 (en) * 2001-08-31 2004-08-17 Intel Corporation Mechanism for interrupt handling in computer systems that support concurrent execution of multiple threads
US7487339B2 (en) 2001-10-12 2009-02-03 Mips Technologies, Inc. Method and apparatus for binding shadow registers to vectored interrupts
US6877083B2 (en) * 2001-10-16 2005-04-05 International Business Machines Corporation Address mapping mechanism for behavioral memory enablement within a data processing system
US7120762B2 (en) 2001-10-19 2006-10-10 Wisconsin Alumni Research Foundation Concurrent execution of critical sections by eliding ownership of locks
US6957323B2 (en) * 2001-11-14 2005-10-18 Elan Research, Inc. Operand file using pointers and reference counters and a method of use
JP2003167748A (en) * 2001-11-30 2003-06-13 Fujitsu Ltd Multithreaded computer
US7428732B2 (en) * 2001-12-05 2008-09-23 Intel Corporation Method and apparatus for controlling access to shared resources in an environment with multiple logical processors
JP4054572B2 (en) 2001-12-17 2008-02-27 キヤノン株式会社 Application execution system
US7127561B2 (en) 2001-12-31 2006-10-24 Intel Corporation Coherency techniques for suspending execution of a thread until a specified memory access occurs
US6925550B2 (en) 2002-01-02 2005-08-02 Intel Corporation Speculative scheduling of instructions with source operand validity bit and rescheduling upon carried over destination operand invalid bit detection
US7216338B2 (en) 2002-02-20 2007-05-08 Microsoft Corporation Conformance execution of non-deterministic specifications for components
US6922745B2 (en) * 2002-05-02 2005-07-26 Intel Corporation Method and apparatus for handling locks
US20040015684A1 (en) 2002-05-30 2004-01-22 International Business Machines Corporation Method, apparatus and computer program product for scheduling multiple threads for a processor
US20030225816A1 (en) * 2002-06-03 2003-12-04 Morrow Michael W. Architecture to support multiple concurrent threads of execution on an arm-compatible processor
US7334086B2 (en) 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US20050033889A1 (en) 2002-10-08 2005-02-10 Hass David T. Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip
US6971103B2 (en) 2002-10-15 2005-11-29 Sandbridge Technologies, Inc. Inter-thread communications using shared interrupt register
US7073042B2 (en) * 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US7203823B2 (en) * 2003-01-09 2007-04-10 Sony Corporation Partial and start-over threads in embedded real-time kernel
US7152170B2 (en) * 2003-02-20 2006-12-19 Samsung Electronics Co., Ltd. Simultaneous multi-threading processor circuits and computer program products configured to operate at different performance levels based on a number of operating threads and methods of operating
US6977093B2 (en) * 2003-03-24 2005-12-20 Hung Chien-Lung Health food processing process using germinated rice to make health food containing natural eatable fibers, GABA, IP6, and probiotic
DE60323811D1 (en) 2003-04-09 2008-11-13 Jaluna S A operating systems
US7093106B2 (en) * 2003-04-23 2006-08-15 International Business Machines Corporation Register rename array with individual thread bits set upon allocation and cleared upon instruction completion
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) * 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7594089B2 (en) * 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US7849297B2 (en) * 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
WO2005022385A1 (en) 2003-08-28 2005-03-10 Mips Technologies, Inc. Mechanisms for dynamic configuration of virtual processor resources
DE602004017879D1 (en) 2003-08-28 2009-01-02 Mips Tech Inc INTEGRATED MECHANISM FOR SUSPENDING AND FINAL PROCESSOR
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US7836450B2 (en) * 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US6993598B2 (en) 2003-10-09 2006-01-31 International Business Machines Corporation Method and apparatus for efficient sharing of DMA resource
US7558939B2 (en) * 2005-03-08 2009-07-07 Mips Technologies, Inc. Three-tiered translation lookaside buffer hierarchy in a multithreading microprocessor
US7627770B2 (en) 2005-04-14 2009-12-01 Mips Technologies, Inc. Apparatus and method for automatic low power mode invocation in a multi-threaded processor
US7600135B2 (en) 2005-04-14 2009-10-06 Mips Technologies, Inc. Apparatus and method for software specified power management performance using low power virtual threads
US7689867B2 (en) 2005-06-09 2010-03-30 Intel Corporation Multiprocessor breakpoint
US7386636B2 (en) * 2005-08-19 2008-06-10 International Business Machines Corporation System and method for communicating command parameters between a processor and a memory flow controller
US7657683B2 (en) 2008-02-01 2010-02-02 Redpine Signals, Inc. Cross-thread interrupt controller for a multi-thread processor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0725334A1 (en) * 1995-02-03 1996-08-07 International Business Machines Corporation Executing speculative parallel instruction threads
WO2001053935A1 (en) * 2000-01-21 2001-07-26 Intel Corporation Method and apparatus for pausing execution in a processor
US20030126416A1 (en) * 2001-12-31 2003-07-03 Marr Deborah T. Suspending execution of a thread in a multi-threaded processor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
BOLYCHEVSKY A ET AL: "Dynamic scheduling in RISC architectures" IEE PROCEEDINGS: COMPUTERS AND DIGITAL TECHNIQUES, IEE, GB, vol. 143, no. 5, 24 September 1996 (1996-09-24), pages 309-317, XP006006209 ISSN: 1350-2387 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7203100B2 (en) 2004-11-01 2007-04-10 Sun Mircosystems, Inc. Efficient implementation of a read scheme for multi-threaded register file
GB2421325A (en) * 2004-12-17 2006-06-21 Sun Microsystems Inc Setting a thread to a wait state using a wait instruction
GB2421325B (en) * 2004-12-17 2007-01-24 Sun Microsystems Inc System and method for controlling thread suspension in a multithreaded processor
CN110955503A (en) * 2018-09-27 2020-04-03 深圳市创客工场科技有限公司 Task scheduling method and device
CN110955503B (en) * 2018-09-27 2023-06-27 深圳市创客工场科技有限公司 Task scheduling method and device

Also Published As

Publication number Publication date
DE602004017879D1 (en) 2009-01-02
US20050125795A1 (en) 2005-06-09
US7694304B2 (en) 2010-04-06
JP4818918B2 (en) 2011-11-16
US7321965B2 (en) 2008-01-22
JP2007504535A (en) 2007-03-01
EP1658563B1 (en) 2013-06-05
US7676660B2 (en) 2010-03-09
US7424599B2 (en) 2008-09-09
EP1658563A1 (en) 2006-05-24
US20050125629A1 (en) 2005-06-09
EP1660993B1 (en) 2008-11-19
US8145884B2 (en) 2012-03-27
JP4818919B2 (en) 2011-11-16
US20080140998A1 (en) 2008-06-12
JP4740851B2 (en) 2011-08-03
EP1660998A1 (en) 2006-05-31
WO2005022381A3 (en) 2005-06-16
US20100115243A1 (en) 2010-05-06
JP2007504536A (en) 2007-03-01
US20050240936A1 (en) 2005-10-27
US20050120194A1 (en) 2005-06-02
JP2007504539A (en) 2007-03-01
EP1660993A2 (en) 2006-05-31
US7610473B2 (en) 2009-10-27

Similar Documents

Publication Publication Date Title
EP1660993B1 (en) Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7376954B2 (en) Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US20050050305A1 (en) Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7418585B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US8266620B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US9032404B2 (en) Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US9069605B2 (en) Mechanism to schedule threads on OS-sequestered sequencers without operating system intervention
US7849297B2 (en) Software emulation of directed exceptions in a multithreading processor
WO2005022384A1 (en) Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
Kissell MIPS MT: A multithreaded RISC architecture for embedded real-time processing

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480024852.9

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2004786607

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2006524929

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1303/DELNP/2006

Country of ref document: IN

WWP Wipo information: published in national office

Ref document number: 2004786607

Country of ref document: EP