WO2005017741A1 - Reconfigurable instruction set computing - Google Patents

Reconfigurable instruction set computing Download PDF

Info

Publication number
WO2005017741A1
WO2005017741A1 PCT/US2004/010655 US2004010655W WO2005017741A1 WO 2005017741 A1 WO2005017741 A1 WO 2005017741A1 US 2004010655 W US2004010655 W US 2004010655W WO 2005017741 A1 WO2005017741 A1 WO 2005017741A1
Authority
WO
WIPO (PCT)
Prior art keywords
programmable logic
logic device
extension
computer program
instruction
Prior art date
Application number
PCT/US2004/010655
Other languages
French (fr)
Inventor
Jeffrey Mark Arnold
Gareld Howard Banta
Albert R. Wang
Original Assignee
Stretch, Inc.
Johnson, Scott, Daniel
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Stretch, Inc., Johnson, Scott, Daniel filed Critical Stretch, Inc.
Publication of WO2005017741A1 publication Critical patent/WO2005017741A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • G06F9/30043LOAD or STORE instructions; Clear instruction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3816Instruction alignment, e.g. cache line crossing

Definitions

  • the present invention relates generally to the field of programmable computer processors, and more particularly to reconfigurable instruction set computing.
  • Computer processors can generally be sorted into two classes: general purpose processors that can be adapted to a multitude of applications; and application-specific processors that are optimized to serve specific applications.
  • General purpose processors are designed to run a general instruction set, namely a set of instructions that the processor will recognize and execute.
  • Such general instruction sets tend to include a large number of instructions in order to support a wide variety of programs.
  • Application-specific processors are designed to run a more limited instruction set, where the instructions are more tailored or specific to the particular application. While an application-
  • PA2606US specific processor can enable certain programs to execute much faster than when run on a general purpose processor, they are by definition more limited in functionality due to the limited
  • instructions may be added to extend the application-specific processor's instruction set.
  • instructions may be added using a Tensilica Instruction Extension (TIE) language and a TIE compiler from Tensilica, Inc. of Santa Clara, California.
  • TIE Tensilica Instruction Extension
  • a designer defines the new instruction in the TIE language by specifying the characteristics of the instruction such as the field, the opcode, and the operands.
  • a TIE compiler then compiles the source code in the TLB language for the new instruction for simulation, verification, and creation of the necessary files such as dynamic linked libraries.
  • This time period before fabrication is also known as “pre-silicon.”
  • the time period after fabrication is known as "post-silicon.”
  • One problem with the TIE language and the TIE compiler is the instruction set of the processor cannot be extended to include new instructions during this post-silicon period. Furthermore, another problem with the TIE language and the TIE compiler is during this post-silicon period, the characteristics of the instructions cannot be changed or modified. Therefore, during this post-silicon period, the processor is limited only to a finite set of instructions defined in the pre-silicon period and limited to the characteristics of the instructions defined in the pre-silicon period. [07] Some systems have used programmable logic devices (PLD) with processors.
  • PLD programmable logic devices
  • FPGA field-programmable gate array
  • Garp includes a MIPS processor with reconfigurable hardware that are both located on the same die.
  • This Garp system uses a co-processor model of communication between the processor and the reconfigurable array.
  • the reconfigurable hardware in this Garp system is an FPGA that acts as a slave computational unit to the MIPS processor, where the MIPS processor would explicitly hand control to the reconfigurable array and wait until the array task is completed.
  • the reconfigurable array and the MIPS processor share a common path to a cache and memory, there is no direct connection between the processor's datapath and the array.
  • This Garp system is described in a publication entitled "Garp: A MIPS Processor with a Reconfigurable Coprocessor" by John R. Hauser and John Wawrzynek.
  • One example of an FPGA is manufactured by Altera in San Jose, California.
  • Another example of an FPGA is a Virtex-JJ Pro (V2Pro) FPGA manufactured by Xilinx in San Jose, California.
  • This V2Pro FPGA uses a more loosely coupled model of communication in which the FPGA appears as a memory mapped peripheral to the processor(s).
  • One problem with the Garp system and the V2Pro FPGA is the cost of initiating a computation in the programmable fabric. In both the Garp system and the V2Pro FPGA, the processor must execute several instructions to initiate a co-processor computation, which adds overhead to initiate an extension instruction. Also, the processor must wait for the co-processor computation to complete, which prevents other instructions from being executed. [010] Accordingly, what is desired is the ability to write a program in a convenient programming language and to extend an instruction set of a computer processor with instructions tailored to that program so that the program can execute on that computer processor more efficiently.
  • a computer program contains instruction extensions not native to the instruction set of the processor core and is loaded into an instruction memory accessible by the processor core of the computer.
  • the computer program is then detected for containing the instruction extension.
  • the programmable logic device is then configured to execute the instruction extension.
  • the programmable logic device then executes the instruction extension for use by the processor core in processing the computer program.
  • Configuring the programmable logic device is by means of: static configuration by the compiler before execution of the computer program in the processor core; explicit configuration while the application executes by the computer program; or implicit configuration wherein an extension adapter detects instruction extensions and configures the programmable logic device. The extension adapter determines whether the programmable logic device is already configured to execute the instruction extension, obviating unneeded reconfiguration.
  • By alternatively reconfiguring multiple programmable logic devices some embodiments provide concurrent instruction execution on one programmable logic device while another programmable logic device is being reconfigured. Some embodiments utilize a programmable logic device that is partitioned into multiple partial programmable logic devices of equal or unequal size.
  • FIG. 1 is a schematic diagram of an exemplary extensible processor system of the present invention
  • FIG. 2 is a schematic diagram of an Instruction Set Extension Fabric (ISEF) in accordance with the schematic of FIG. 1;
  • ISEF Instruction Set Extension Fabric
  • FIG. 3 illustrates an example of the cluster block implementation illustrated in FIG. 2;
  • FIG. 4 is a schematic diagram illustrating details of the extension adapter of FIG. 1, in accordance with an embodiment of the present invention.
  • FIG. 5 is a schematic diagram illustrating an operation involving the reading of data in accordance with the extension adapter of FIG. 4;
  • FIG. 6 is a flow chart illustrating the compiling of an application of the present invention.
  • FIG. 7 is a flow chart further detailing the method of the compilation illustrated in FIG. 6;
  • FIG. 8(a) and 8(b) illustrate a preferred process for executing an instruction extension in the implicit reconfiguration embodiment of the present invention
  • FIG 9 is a block diagram illustrating the alternating configuration of two ISEF' s in accordance with an embodiment of the present invention.
  • FIG 10 is a timing diagram showing the various time phases during alternating configuration of the two ISEF's of FIG. 9.
  • FIG 11 is a block diagram illustrating partial Instruction Set Extension Fabric reconfiguration in accordance with the present invention. DETAILED DESCRIPTION OF THE INVENTION
  • the present invention provides a method for extending a processor instruction set to include new, extended instructions and for replacing a critical code segment of a computer program with a function that causes the new instruction to execute.
  • general purpose processors typically do not have programmable instruction sets, the present invention will be described with reference to the programmable processing hardware of FIG. 1, though it will be appreciated that the invention is not so limited and can be used in conjunction with other suitable programmable processing hardware.
  • FIG. 1 is a schematic drawing of an exemplary programmable processing system 110 in an exemplary implementation of the invention.
  • the programmable processing , system includes a processor core 120, an Instruction Set Extension Fabric (ISEF) 130, and an extension adapter 140 that couples the ISEF 130 to the processor core 120.
  • the processor core 120 can include optional features such as coprocessors, write buffers, exception handling features, debug handling features, read only memory (ROM), etc.
  • the processor core 120 can include multiple processor cores.
  • the processor core 120 provides standard processing capabilities such as a standard (native) instruction set that provides a set of instructions that the processor core 120 is designed to recognize and execute.
  • Typical instructions include arithmetic functions such as add, subtract, and multiply, as well as load instructions, store instructions, and so forth. These instructions are hard-coded into the silicon and cannot be modified.
  • a suitable processor core 120 is the Xtensa ® V (T1050) processor, from Tensilica, Inc., of Santa Clara, California.
  • Instruction Set Extension Fabric (ISEF) 130 includes programmable logic for enabling application-specific instructions ("instruction extensions") to be stored and executed.
  • the Instruction Set Extension Fabric 130 is a type of programmable logic device. Because it is programmable, the instruction set of Instruction Set Extension Fabric 130 can be readily configured to include instruction extensions that are tailored to a specific application.
  • the programmable logic device (ISEF) 130 runs at a slower clock speed than processor core 120. In these embodiments the cycle length of the programmable logic device 130 can be a multiple of the clock cycle of the processor core 120.
  • Extension adapter 140 provides an interface between the Instruction Set Extension Fabric 130 and the processor core 120. Extension adapter 140 receives instructions and determines whether the instructions should be directed to the Instruction Set Extension Fabric 130 or the processor core 120. In some embodiments extension adapter 140 provides an interface between a plurality of Instruction Set Extension Fabrics 130 and processor cores 120. Extension adapter 140 can be implemented, for example, in Application Specific Integrated Circuit (ASIC) logic. In some embodiments, extension adapter 140 may be integrated within processor core 120 or ISEF 130.
  • ASIC Application Specific Integrated Circuit
  • Extension adapter 140 in combination with ISEF 130 provide logic that allows users to extend the native instruction set defined by the processor core 120. It is noteworthy that the extended instruction execution itself is implemented in one or more of Instruction Set Extension Fabrics 130. Extension adapter 140 interfaces one or more Instruction Set Extension Fabrics 130 to one or more processor core 120 and controls dataflow. Instruction Set Extension Fabric
  • FIG. 2 illustrates one embodiment of an Instruction Set Extension Fabric (ISEF) 130.
  • ISEF 130 includes a plurality of cluster blocks 202 arranged in rows and columns. Data is communicated between cluster blocks 202 by means of a global interconnect 204.
  • the global interconnect 204 also communicates data and dynamic configuration information used or output by ISEF 130 with other devices including extension adapter 140, which data and dynamic configuration information will be described in more detail below.
  • extension adapter 140 data and dynamic configuration information will be described in more detail below.
  • interconnections need not be so limited.
  • only cluster blocks 202 can additionally or alternatively have interconnections such that blocks in adjacent rows and/or columns communicate directly with each other.
  • FIG. 3 illustrates a cluster block arrangement that can be used to implement cluster block 202 in FIG. 2. As shown, it includes a plurality of ALU controller (AC) blocks 302 and function cells 304. The AC blocks 302 provide configuration signals for a respective column 310 of function cells 304. In one example of the invention, cluster block 202 includes four columns of four function cells 304, each column including one AC block 302.
  • ALU controller AC
  • FIG. 3 shows paths for sharing data and dynamic configuration information between vertically or horizontally adjacent function cells 304 within cluster block 202, and with other cluster blocks via global interconnect 204. Also shown are horizontal word lines 308 and vertical word lines 306, by which certain or all of the interior function cells 304 may communicate data with other cluster blocks 202, which word lines partially implement global interconnect 204.
  • One example of the Instruction Set Extension Fabric 130 is described in more detail in U.S. Patent Publication Number US 2001/0049816, which is incorporated herein by reference. A suitable Instruction Set Extension Fabric 130 is available from Stretch, Inc., of Mountain View, California. Extension Adapter
  • extension adapter 140 is shown in greater detail.
  • extension adapter 140 comprises load store module 410 and adapter controller 412.
  • processor core 120 and not extension adapter 140, comprises load/store module 410.
  • Load/store module 410 can be created via a compiler, such as, for example, the Tensilica Instruction Extension (TIE) compiler, which can be obtained from Tensilica, Inc., of Santa Clara, California.
  • TIE is a language that allows a user to describe the functionality of new extended instructions.
  • a designer uses TIE to create a standard set of functions that extend the normal functionality of processor core 120.
  • the TIE c de that a designer writes describes the functionality of a series of resources that aid in the interface between processor core 120 and extension adapter 140.
  • Extension adapter 140 functions such that processor core 120 treats user- defined post-silicon, extended instructions as if they were native instructions to the processor core 120.
  • the extended instruction includes at least one new instruction added post-silicon and a set of pre-silicon instructions.
  • Load/store module 410 interfaces with processor core 120 via interface 414.
  • Register file 420 is coupled to interface 414 via processor control and data interface 421 and via ISEF control and data interface 423.
  • Adapter controller 412 interfaces with processor core 120 via interface 416.
  • Adapter controller 412 interfaces with ISEF 130 via interface 418.
  • load/store module 410 comprises register file 420.
  • Register file 420 is a register file, or collections of registers, that is added by using, for example, the TIE compiler.
  • Register file 420 interfaces with adapter controller 412 via interface 424. In one embodiment, register file 420 is 128 bits wide. In another embodiment, register file 420 is 64 bits wide.
  • register file 420 can be of varying widths. It is contemplated that the system can comprise one or more than one register file 420. Adapter controller 412 accesses register file 420. Adapter controller 412 is then used to interface with ISEF 130.
  • Load store module 410 provides fixed instruction functionality.
  • a set of fixed instructions includes instructions for moving data to and from external memory (not shown), into and out of register file 420.
  • This collection of functionality is defined in one embodiment in the TIE language, and is implemented through Tensilica' s TIE compiler. It is contemplated that languages other than TIE can be used with the present system.
  • Load/store module 410 contains one or more register files 420 and a set of fixed instructions that give register files 420 access to external memory via load and store instmctions. Again, these instructions will be fixed once the silicon is created, and are fully implemented using the standard TIE flow. It is a function of the extension adapter 140 to encapsulate the fixed functionality and manage it with the configurable interface logic.
  • a purpose of load/store module 410 includes defining the functionality of register file 420, which is temporary storage for data that is going to be transferred between processor core 120 and ISEF 130.
  • Load/store module 410 defines not only register file 420, but also defines how to load and store generic instmctions (e.g., Tensilica instructions) of processor core 120 into register file 420.
  • Adapter controller 412 performs the function of interfacing with register file 420.
  • Adapter controller 412 also receives the data from register file 420 and interfaces register file 420 with ISEF 130.
  • load and store instructions are used to move data to and from register file 420.
  • Load instructions issued by the extension adapter 140 retrieve data from memory into register file 420.
  • ISEF 130 instructions operate under the control of extension adapter 140 to retrieve stored data from register file 420 to ISEF 130 for use in ISEF 130 computations or other functional execution.
  • Data resulting from ISEF 130 instruction execution is then returned to register file 420, where store instructions move data from register file 420 to memory via interface 414.
  • ISEF 130 and adapter controller 412 allow a user to add new instructions that change with software on different implementations of the same silicon. For example, a user can add specialized instmctions to perform video or audio encoding/decoding. These instmctions are not hard-wired into processor core 120, but rather are implemented using the programmably configurable logic of ISEF 130.
  • Extension adapter 140 operates as a data and control interface between processor core 120 and ISEF 130 by routing extended instructions (i.e., those instmctions not part of the original processor core 120 native instruction set) to ISEF 130 for execution. Since the logic of ISEF 130 is configurable, it is entirely within the scope of the present invention that the configuration of ISEF 130 can be changed as frequently as needed to accommodate the inclusion of various extended instmctions in application programs being run on the processor core 120.
  • the inputs and outputs to the extended instruction, as executed in ISEF 130 are limited to data transfers between a named register file 420.
  • the ISEF 130 can access a register file in the processor core 120 to allow both reading and writing.
  • the data transfers are between an alternative source indicative of a processor state.
  • this alternative source is a special purpose register.
  • the number of register file 420 inputs to the ISEF 130 computation is a finite number such as three (3), and the number of special purpose register inputs is eight (8) 128-bit registers.
  • the outputs of the ISEF 130 computations are directed to register file 420, to equivalent special purpose registers, and/or by-passed to processor core 120 for use in execution of the subsequent instruction.
  • the number of register file 420 outputs is two (2) and the number is a 128-bit special purpose register outputs is up to eight (8).
  • the extended instruction of such an embodiment does not have direct access to data and instruction memories and caches of the processor core 120. Any data residing in the data and instruction memories or caches of processor core 120 is first brought into the register file 420 using load instructions, before being used by the extended instruction as executed in ISEF 130.
  • the data residing in the data and instruction memories or caches of processor core 120 are brought into equivalent special purpose registers in addition to the register file 420 using load instmctions.
  • load instmctions Such a restriction in the I/O of the extended instruction of this embodiment enables compiler optimization and improved performance.
  • the exact input and output dependencies of the extended instmctions are programmed into the C compiler (discussed with reference to FIG. 7) used in scheduling the extended instruction and in allocating the associated register files 420.
  • extension adapter 140 handles the multiplexing of data among register file(s) 420 and ISEF 130. Extension adapter 140 manages the timing relationships between register reads and register writes, which are functions of instruction execution length.
  • the processing system 110 comprises means for ensuring the proper configuration of ISEF 130 prior to the execution of a specific extended instmction in the ISEF 130.
  • the processing system 110 tries to execute an instruction not included in the instruction set of processor core 120 that is not currently configured in ISEF 130, an exception is generated by the extension adapter 140, resulting in either the proper configuration signals being sent to ISEF 130, or in an alternative process, being initiated to deal with the missing configuration.
  • FIG. 5 illustrates an operation involving the reading of data.
  • Resident instmction table 510 has a description of what extended instructions are adapted to do with respect to the interface to processor core 120. For any instmction that a user creates, those instructions should control processor core 120 in such a way that processor core 120 executes those instmctions in similar fashion to native instmctions included in the original processor core 120 instruction set.
  • Resident instruction table 510 receives instruction description data 512 (from interface 414 of FIG.
  • Configuration information 514 is a sequence of data from resident instmction table 510, some of which goes to processor core 120 via interface 516. Some of configuration information 514 is transmitted to the ReadAddr 518 (read address) input of register file 420 via interface 424. Data from ReadData 520 (read data) of register file 220 is also carried on interface 424. In this example, configuration information 514 includes the address within register file 420 that an extended instmction needs to be sent to ISEF 130 via interface 418. Compiler
  • FIG. 6 is a flow chart illustrating an exemplary embodiment 600 of the method of the invention.
  • the method begins by defining a program in step 610.
  • the program can be defined in a standard programming language that is familiar to computer programmers such as C++.
  • the program is compiled to convert the program from the programming language in which it was written into a machine language that is recognizable by the processor core 120 (FIG. 1). It will be appreciated that the present method is intended to be iterative, as can be seen from FIG. 6, and that successive iterations initially return to step 620.
  • a standard compiler such as a C++ compiler
  • compiles the program in successive iterations an additional extension compiler is also employed, as is discussed elsewhere herein.
  • extension compiler can be implemented as a separate program or may be part of the compilation phase or linking phase of a standard compiler to perform the operations of the extension compiler as described
  • step 630 the compiled program is profiled.
  • Profiling includes executing the compiled program with representative or sample data and determining how much time would be expended executing each of the various operations of the program.
  • Profiling in step 630 is preferably performed using a software simulation tool (not shown) that mimics the operation of the processor core 120.
  • a software simulation tool (not shown) that mimics the operation of the processor core 120.
  • processor simulators are well known in the art, and each simulator is unique to the processor core 120 being simulated.
  • profiling 630 can occur using a hardware emulator (not shown) or some combination of hardware and software. Hardware emulation is particularly useful in applications where specific timing issues are of concern to the designer.
  • step 620 because the method is iterative, the first pass through step 630 is different than in successive iterations.
  • the compiled program is executed or simulated solely on the processor core 120 to provide a baseline against which improvements in successive iterations can be measured. It should be noted that some of the more time consuming operations that are typically identified by profiling involve nested loops.
  • successive programs can take advantage of the existing profiled programs by not performing step 630.
  • a cache can store pre-existing blocks of code, which when matched with the compiled code, results in bypassing step 630.
  • step 640 a determination is made as to the acceptability of the performance of the program.
  • step 650 the method ends. Otherwise, the method continues to step 650.
  • the performance will not be acceptable since no effort has yet been made to optimize the program.
  • performance can be judged against either subjective or objective standards. In some instances the program needs to be optimized so that it can return data according to the timing requirements of other programs with which it interfaces. In other instances merely a faster processing speed is desired from the program. In these latter instances, at each iteration the performance is compared to the performance from the prior iteration to determine whether the most recent iteration returned a further improvement. If no further improvement is achieved by a successive iteration, or if the improvement is sufficiently trivial, the performance is deemed to be acceptable and the method ends.
  • step 650 one or more critical code segments are identified by reviewing the results of the profiling performed in step 630.
  • a critical code segment is a portion of the program's code that took excessive time to execute or failed to meet timing requirements specified for a program in step 630. Typically, those code segments that took the longest time to execute are considered to be the most critical and are addressed first by the method. As noted elsewhere, nested loops are frequently identified as critical code segments. If addressing the most critical code segments does not produce acceptable performance in step 640, then in successive iterations the next most critical code segments are identified in step 650.
  • step 660 the critical code segment identified in step 650 is preferably rewritten as a separate function.
  • the critical code segment can be rewritten as a function, which in the following example is given
  • the function can be written using the same programming language as before.
  • the function does not have to be written from scratch but can instead be selected from a class library (not shown) of pre-defined functions.
  • a class library of pre-defined functions can include functions that might be particularly useful in a certain type of application, such as functions for working With pixel data in video processing applications.
  • markers in C programming, such markers are conventionally referred to as PRAGMAS
  • PRAGMAS markers
  • the rewriting step of 660 can be performed either manually, or by using an automated conversion tool.
  • Such a conversion tool would be similar to a decompiler; rather than compiling a high level instmction into multiple lower level instmctions as in a compiler, the automated conversion tool would convert multiple lower level instmctions of the processor core 120 instruction set into one or more complex extended instructions for implementation in ISEF 130.
  • step 670 the program is revised.
  • the revision includes two operations, designating the function as a code segment to be compiled by an extension compiler and replacing the critical code segment with a statement that calls the function.
  • the function is placed into an extensions file, separate from the program file, that contains the code meant to be compiled by the extension compiler.
  • the function is placed in the program file and demarked in such a way that it can be recognized as intended for the extension compiler so that the standard compiler will ignore it. Demarking the function in this way can be achieved by a flag before the instmction (e.g., # pragma stretch begin) and a flag after the function (e.g., # pragma stretch
  • revising the program also includes replacing the critical code segment with a statement that calls the function.
  • step 670 the method returns to step 620 and the program is again compiled.
  • a pre-processing tool first finds the function and copies it out to an extensions file.
  • FIG. 7 illustrates an exemplary sequence of events that occurs during step 620 to compile an extensions file 700 and a program file 710.
  • the code in the extensions file 700 is compiled by the extension compiler 720.
  • An example of an extension compiler 720 is Stretch C, available from Stretch, Inc. of Mountain View, CA.
  • the extension compiler 720 produces two outputs: a header file 730 and an intermediate file 740 written in a hardware description language such as Verilog HDL.
  • the header file 730 declares a prototype for a specific function used to execute an extended instmction called out by the extension compiler 720 during compilation of the extensions file 700.
  • the header file 730 is a conventional C file that provides instruction information, such as the file name, inputs required, outputs written, and other required instruction parameters.
  • the intermediate file 740 describes how to implement an instruction in the Instruction Set Extension Fabric 130 (FIG. 1) that corresponds to the function.
  • an implementation tool 750 maps the intermediate file 740 to the Instruction Set Extension Fabric 130. More specifically, the implementation tool 750 converts the contents of the intermediate file 740 to ISEF configuration file 760.
  • Implementation tool 750 generates ISEF configuration file 760 consisting of a bit stream that is compiled with program file 710 and header file 730 in standard compiler 770 and incorporated in the executable file 780.
  • This ISEF configuration file 760 contains the data that is used by the executable file 780 to configure ISEF 130 in much the same way that a Field Programmable Gate Array (FPGA) is programmed.
  • FPGA Field Programmable Gate Array
  • step 630 the program is again profiled.
  • the extension adapter 140 (FIG. 1) directs the Instmction Set Extension Fabric 130 to execute the instruction corresponding to the function when the function is called as the executable file 780 ns. Accordingly, the program executes more efficiently, as will be represented by the profile.
  • step 640 the performance is again evaluated, and if acceptable the method ends, otherwise it begins a new iteration at step 650.
  • a critical code segment can alternatively be rewritten by selecting a pre-defined function from a class library.
  • a pre-defined function can alternatively be rewritten by selecting a pre-defined function from a class library.
  • the following example is illustrative of pre-defined functions that might be found in a class library according to an embodiment of the present invention, and of an instruction that would be defined from these functions.
  • Typical graphics applications define a pixel by an 8-bit integer for each of three colors such as red, green, and blue.
  • integers are generally limited to standard bit lengths such as 8, 16, 32 and 64. Accordingly, the ability to create a 24-bit integer, or any integer with a non-standard number of bits, is a beneficial feature of the present invention.
  • ISEF Reconfiguration the processing system 110 uses extended instructions that can be configured into the Instruction Set Extension Fabric 130 to accelerate an executing application program.
  • ISEF reconfiguration advantageously can add extension instructions or modify characteristics of existing extension instmctions after fabrication of the processor.
  • there are no finite limitations on the processing system HO instruction set since new instmction extensions can be generated by the compiler and configured in the ISEF 130 prior to or during execution of the application. Therefore, the embodiments relating to the configuration of the ISEF 130 to execute non-resident instmctions in an application can be thought of as creating a virtual instmction set of the processing system 110.
  • the finite set of instructions can be extended to an unlimited, virtual set of instructions through reconfiguring the ISEF 130 to add new extended instructions. It should be noted that except where reconfiguration does not occur, the term configured and reconfigured are used interchangeably; and that conventionally, the ISEF 130 is initially configured then subsequently reconfigured as explained herein.
  • ISEF 130 configuration Several different modes of ISEF 130 configuration are contemplated as varying embodiments.
  • the most basic of these embodiments is static configuration, where the instruction extension is loaded with the executable file 780, and the ISEF 130 is configured once prior to application execution.
  • the processor core 120 executes all native instmctions, and the instmction extensions are directed to the preconfigured ISEF 130 by the extension adapter 140 for execution.
  • the ISEF 130 does not reconfigure during application execution in this static configuration embodiment.
  • a second embodiment of ISEF 130 configuration is explicit reconfiguration.
  • Explicit reconfiguration is a configuration or reconfiguration of the ISEF 130 in which the executing application program directly initiates the loading of the ISEF 130 configuration memory 206 with instruction extension data in the form of a system call or similar invocation.
  • the application preferably prefetches instruction groups before they are required to optimize application execution performance.
  • the programmer of the application explicitly adds code to the application to load instruction extension data into the ISEF 130 configuration memory 206. The added coded includes the system call or similar invocation to initiate the loading of the ISEF 130 configuration memory with the instruction extension data.
  • a third embodiment for configuring the ISEF 130 is that of implicit reconfiguration. Implicit reconfiguration occurs when the nning application program issues an instruction, which is not part of the resident instruction set. When a nonresident instruction is issued in this embodiment, the extension adapter 140 detects this instruction fault condition and passes the instruction extension to the ISEF 130 for reconfiguration and execution.
  • This model of reconfiguration is referred to as implicit, because the processing system 110 relies on the extension adapter 140 to detect and process the need for the ISEF 130 operation. Programmers of the applications therefore do not need to be aware of the reconfiguration of the ISEF 130 because the reconfiguration does not depend on the programmer-inserted code in the program for reconfiguration. Instead, the reconfiguration of the ISEF 130 occurs when the extension adapter 140 detects the instmction fault condition.
  • FIGs. 8(a) and 8(b) a preferred process is described for executing an instmction extension in the implicit reconfiguration embodiment of the present invention. The process of FIGs. 8(a) and 8(b) begins in step 805 with the execution of a programmed application in the processing system 110.
  • the extension adapter (XAD) 140 receives 810 an instmction extension, i.e. an instmction that is not native to processor core 120.
  • the XAD 140 checks to determine whether the received instmction extension from step 810 is in fact already resident in the ISEF 130. If the instmction extension is resident, that is currently loaded into ISEF 130, the ISEF 130 then executes the instmction extension. If the received instmction extension from step 810 is not resident, the XAD 140 signals 820 processor core 120 that the instmction extension is not resident.
  • processor core 120 issues 825 an instruction fault to the processing system 110 operating system.
  • the processing system 110 operating system then takes steps to appropriately configure the ISEF 130 to execute the received instmction extension in step 810.
  • the XAD 140 is instructed to check 830 whether the ISEF 130 is currently busy executing an instruction. If in fact the ISEF 130 is executing another instmction, then the XAD 140 waits until the ISEF instmction is completed. After any ISEF instmction currently executing completes, then the instmction pipe is flushed 835 and the instruction state is saved in step 840 by the extension adapter XAD 140.
  • the XAD 140 then loads 845 a new configuration into ISEF 130 and then checks 850 to determine whether a stored state exists relating to the new ISEF 130 configuration of step 845. If in fact a stored state does exist in step 850 then the XAD 140 restores 855 the state relating to the new ISEF 130 configuration. After any previously stored state is restored, then the XAD 140 reissues 860 the instmction from the application to the ISEF 130, and the instmction extension executes on the ISEF 130. Subsequently, application processing resumes in step 865.
  • ISEF 130 reconfiguration a fourth embodiment of ISEF 130 reconfiguration is shown in which two or more ISEF's are alternately configured by an extension adapter 140.
  • Extension adapter 140 is connected to ISEFl 910 through interconnect 930.
  • Extension adapter 140 likewise is connected to ISEF2920 through interconnect 940.
  • multiple groups of instructions may be accelerated and mapped to two or more ISEF's 130.
  • ISEFl 910 for example, can be executing a set of instmction extensions, while ISEF2920 is contemporaneously loading a new reconfiguration file in preparation for a set of instruction extensions to be later executed.
  • configuration of one ISEF 130 may overlap execution on the processor core 120, of another ISEF 130.
  • Execution of instmction extensions may be predicted and speculatively loaded into a ISEF 130 while the application is executing on the processor core 120 or in another ISEF 130.
  • a significant benefit of alternating reconfiguration is that the processing system 110 is able to reduce or avoid delays that might be encountered in ISEF 130 configuration by loading, for example, ISEF2 920 while the processing system 110 is using ISEFl 910 for executing an instruction extension.
  • processing may then switch to the preconfigured ISEF2 920 while the contents of ISEFl 910 are flushed and reprogrammed.
  • FIG. 10 a timing diagram is illustrated showing the various timing phases of the processor core 120 and the ISEF's 910 and 920.
  • the processor core 120 is loading 1010 the application within the processing system 110.
  • the application begins execution 1020 on the processor core 120 and starts loading 1025 the first instruction extension into ISEFl 910.
  • the first instruction extension begins executing 1030 on ISEFl 910.
  • the application also predicts the next instmction extension to execute, and speculatively loads 1035 the configuration ISEF2 920.
  • the first instmction extension executing in ISEFl 910 completes 1045 execution and control returns 1040 to the processor core 120 in phase 4.
  • the second instruction begins execution 1055 on ISEF2 920 while the next extension instmction is speculatively loaded 1052 into ISEFl 910.
  • the configuration of ISEFl 910 runs longer than the execution 1055 of the second instruction extension in ISEF2920 in the subsequent instmction extension computation on the processor core 120, so the application stalls 1060 waiting for the completion of the configuration 1060 at the end of phase 5.
  • the third instmction extension begins execution 1062 on ISEFl 910 while the fourth extension instruction is predicted and loaded 1065 in ISEF2920.
  • the previous prediction is determined 1060 to be incorrect and a new configuration is loaded 1070 into ISEF2920.
  • the final loop is executed 1080 on ISEF2.
  • ISEFl 130 containing two Instruction Set Extension Fabrics ISEFl 1110 and ISEF2 1120.
  • a fifth embodiment of ISEFl 130 reconfiguration is a mode in which ISEF 130 is partially configured into two or more Instruction Set Extension Fabrics of equal or differing sizes.
  • ISEFl 1110 may be sized appropriately as needed by a specific instruction extension, and the remainder of ISEF 130 is available for use in the creation and configuration of additional partial ISEF's.
  • These partial ISEF's are preferably available for use in the alternating reconfiguration model explained with reference to FIGs. 9 and 10.
  • FIG. 11 shows ISEF 130 divided into two partial ISEF's of equal size, ISEF 130 shown in FIG.

Abstract

A system and method for adding reconfigurable computational instructions to a reduced instruction set computer (110) utilizing a progammable logic device (130) to execute the reconfigurable computational instructions. A compiler (720) is used to determine a configuration for the programmable logic device (130) and to initiate the configuration of the programmable logic device (130) prior to execution of the program (780) containing the reconfigurable computational instructions.

Description

Reconfigurable Instruction Set Computing
CROSS-REFERENCE TO RELATED APPLICATIONS
[01] This application is a continuation-in-part of U.S. Application Serial Number 10/630,542 filed on July 29, 2003 and titled "Defining Instruction Extensions in a Standard Programming Language", which is incorporated herein by reference. The subject matter of this application is related to U.S. Application Serial Number 10/404,706 filed on March 31, 2003 and titled "Extension Adapter", which is incorporated herein by reference.
BACKGROUND OF THE INVENTION Field of the Invention
[02] The present invention relates generally to the field of programmable computer processors, and more particularly to reconfigurable instruction set computing.
Description of the Prior Art
[03] Computer processors can generally be sorted into two classes: general purpose processors that can be adapted to a multitude of applications; and application-specific processors that are optimized to serve specific applications. General purpose processors are designed to run a general instruction set, namely a set of instructions that the processor will recognize and execute.
Such general instruction sets tend to include a large number of instructions in order to support a wide variety of programs.
[04] Application-specific processors are designed to run a more limited instruction set, where the instructions are more tailored or specific to the particular application. While an application-
PA2606US specific processor can enable certain programs to execute much faster than when run on a general purpose processor, they are by definition more limited in functionality due to the limited
instruction sets they run.
[05] Before the application-specific processor is manufactured, instructions may be added to extend the application-specific processor's instruction set. In one example, instructions may be added using a Tensilica Instruction Extension (TIE) language and a TIE compiler from Tensilica, Inc. of Santa Clara, California. A designer defines the new instruction in the TIE language by specifying the characteristics of the instruction such as the field, the opcode, and the operands. A TIE compiler then compiles the source code in the TLB language for the new instruction for simulation, verification, and creation of the necessary files such as dynamic linked libraries. [06] One problem with the TIE language and the TIE compiler is that instructions can only be added prior to the fabrication of the processor. This time period before fabrication is also known as "pre-silicon." The time period after fabrication is known as "post-silicon." One problem with the TIE language and the TIE compiler is the instruction set of the processor cannot be extended to include new instructions during this post-silicon period. Furthermore, another problem with the TIE language and the TIE compiler is during this post-silicon period, the characteristics of the instructions cannot be changed or modified. Therefore, during this post-silicon period, the processor is limited only to a finite set of instructions defined in the pre-silicon period and limited to the characteristics of the instructions defined in the pre-silicon period. [07] Some systems have used programmable logic devices (PLD) with processors. One example of a programmable logic device is a field-programmable gate array (FPGA). One prior art system called Garp includes a MIPS processor with reconfigurable hardware that are both located on the same die. This Garp system uses a co-processor model of communication between the processor and the reconfigurable array. The reconfigurable hardware in this Garp system is an FPGA that acts as a slave computational unit to the MIPS processor, where the MIPS processor would explicitly hand control to the reconfigurable array and wait until the array task is completed. Although the reconfigurable array and the MIPS processor share a common path to a cache and memory, there is no direct connection between the processor's datapath and the array. This Garp system is described in a publication entitled "Garp: A MIPS Processor with a Reconfigurable Coprocessor" by John R. Hauser and John Wawrzynek. [08] One example of an FPGA is manufactured by Altera in San Jose, California. Another example of an FPGA is a Virtex-JJ Pro (V2Pro) FPGA manufactured by Xilinx in San Jose, California. This V2Pro FPGA uses a more loosely coupled model of communication in which the FPGA appears as a memory mapped peripheral to the processor(s). [09] One problem with the Garp system and the V2Pro FPGA is the cost of initiating a computation in the programmable fabric. In both the Garp system and the V2Pro FPGA, the processor must execute several instructions to initiate a co-processor computation, which adds overhead to initiate an extension instruction. Also, the processor must wait for the co-processor computation to complete, which prevents other instructions from being executed. [010] Accordingly, what is desired is the ability to write a program in a convenient programming language and to extend an instruction set of a computer processor with instructions tailored to that program so that the program can execute on that computer processor more efficiently.
Reconfigurable Instruction Set Computing
BRIEF SUMMARY OF THE INVENTION
[Oil] As general-purpose processors typically do not have programmable instruction sets, the present invention provides in various embodiments systems and methods for adding reconfigurable computational instructions to a reduced instruction set computer. A computer program contains instruction extensions not native to the instruction set of the processor core and is loaded into an instruction memory accessible by the processor core of the computer. The computer program is then detected for containing the instruction extension. The programmable logic device is then configured to execute the instruction extension. The programmable logic device then executes the instruction extension for use by the processor core in processing the computer program.
[012] Configuring the programmable logic device is by means of: static configuration by the compiler before execution of the computer program in the processor core; explicit configuration while the application executes by the computer program; or implicit configuration wherein an extension adapter detects instruction extensions and configures the programmable logic device. The extension adapter determines whether the programmable logic device is already configured to execute the instruction extension, obviating unneeded reconfiguration. [013] By alternatively reconfiguring multiple programmable logic devices, some embodiments provide concurrent instruction execution on one programmable logic device while another programmable logic device is being reconfigured. Some embodiments utilize a programmable logic device that is partitioned into multiple partial programmable logic devices of equal or unequal size. [014] A further understanding of the nature and advantages of the inventions herein may be realized by reference to the remaining portions of the specification and the attached drawings.
BRIEF DESCRIPTION OF DRAWINGS
[015] FIG. 1 is a schematic diagram of an exemplary extensible processor system of the present invention;
[016] FIG. 2 is a schematic diagram of an Instruction Set Extension Fabric (ISEF) in accordance with the schematic of FIG. 1;
[017] FIG. 3 illustrates an example of the cluster block implementation illustrated in FIG. 2;
[018] FIG. 4 is a schematic diagram illustrating details of the extension adapter of FIG. 1, in accordance with an embodiment of the present invention;
[019] FIG. 5 is a schematic diagram illustrating an operation involving the reading of data in accordance with the extension adapter of FIG. 4;
[020] FIG. 6 is a flow chart illustrating the compiling of an application of the present invention;
[021] FIG. 7 is a flow chart further detailing the method of the compilation illustrated in FIG. 6;
[022] FIG. 8(a) and 8(b) illustrate a preferred process for executing an instruction extension in the implicit reconfiguration embodiment of the present invention;
[023] FIG 9 is a block diagram illustrating the alternating configuration of two ISEF' s in accordance with an embodiment of the present invention;
[024] FIG 10 is a timing diagram showing the various time phases during alternating configuration of the two ISEF's of FIG. 9; and
[025] FIG 11 is a block diagram illustrating partial Instruction Set Extension Fabric reconfiguration in accordance with the present invention. DETAILED DESCRIPTION OF THE INVENTION
[026] The present invention provides a method for extending a processor instruction set to include new, extended instructions and for replacing a critical code segment of a computer program with a function that causes the new instruction to execute. As general purpose processors typically do not have programmable instruction sets, the present invention will be described with reference to the programmable processing hardware of FIG. 1, though it will be appreciated that the invention is not so limited and can be used in conjunction with other suitable programmable processing hardware.
[027] FIG. 1 is a schematic drawing of an exemplary programmable processing system 110 in an exemplary implementation of the invention. The programmable processing, system includes a processor core 120, an Instruction Set Extension Fabric (ISEF) 130, and an extension adapter 140 that couples the ISEF 130 to the processor core 120. The processor core 120 can include optional features such as coprocessors, write buffers, exception handling features, debug handling features, read only memory (ROM), etc. In some embodiments, the processor core 120 can include multiple processor cores. The processor core 120 provides standard processing capabilities such as a standard (native) instruction set that provides a set of instructions that the processor core 120 is designed to recognize and execute. Typical instructions include arithmetic functions such as add, subtract, and multiply, as well as load instructions, store instructions, and so forth. These instructions are hard-coded into the silicon and cannot be modified. One example of a suitable processor core 120 is the Xtensa ® V (T1050) processor, from Tensilica, Inc., of Santa Clara, California.
[028] Instruction Set Extension Fabric (ISEF) 130 includes programmable logic for enabling application-specific instructions ("instruction extensions") to be stored and executed. The Instruction Set Extension Fabric 130 is a type of programmable logic device. Because it is programmable, the instruction set of Instruction Set Extension Fabric 130 can be readily configured to include instruction extensions that are tailored to a specific application. In some embodiments the programmable logic device (ISEF) 130 runs at a slower clock speed than processor core 120. In these embodiments the cycle length of the programmable logic device 130 can be a multiple of the clock cycle of the processor core 120.
[029] Extension adapter 140 provides an interface between the Instruction Set Extension Fabric 130 and the processor core 120. Extension adapter 140 receives instructions and determines whether the instructions should be directed to the Instruction Set Extension Fabric 130 or the processor core 120. In some embodiments extension adapter 140 provides an interface between a plurality of Instruction Set Extension Fabrics 130 and processor cores 120. Extension adapter 140 can be implemented, for example, in Application Specific Integrated Circuit (ASIC) logic. In some embodiments, extension adapter 140 may be integrated within processor core 120 or ISEF 130.
[030] Extension adapter 140 in combination with ISEF 130 provide logic that allows users to extend the native instruction set defined by the processor core 120. It is noteworthy that the extended instruction execution itself is implemented in one or more of Instruction Set Extension Fabrics 130. Extension adapter 140 interfaces one or more Instruction Set Extension Fabrics 130 to one or more processor core 120 and controls dataflow. Instruction Set Extension Fabric
[031] FIG. 2 illustrates one embodiment of an Instruction Set Extension Fabric (ISEF) 130. As shown, ISEF 130 includes a plurality of cluster blocks 202 arranged in rows and columns. Data is communicated between cluster blocks 202 by means of a global interconnect 204. As shown, the global interconnect 204 also communicates data and dynamic configuration information used or output by ISEF 130 with other devices including extension adapter 140, which data and dynamic configuration information will be described in more detail below. Although generically shown as permitting any two cluster blocks 202 in ISEF 130 to communicate directly with each other via global interconnect 204, such interconnections need not be so limited. For example, only cluster blocks 202 can additionally or alternatively have interconnections such that blocks in adjacent rows and/or columns communicate directly with each other. [032] Although not necessarily part of ISEF 130, and preferably separately provided, also shown is configuration memory 206. Configuration memory 206 stores static configurations for ISEF 130. The term "memory" is not intended to be construed as limiting. Rather, configuration memory 206 can have various implementations including CMOS static random access memory (SRAM), fused links and slow speed electrically erasable read only memory (EEPROM). [033] FIG. 3 illustrates a cluster block arrangement that can be used to implement cluster block 202 in FIG. 2. As shown, it includes a plurality of ALU controller (AC) blocks 302 and function cells 304. The AC blocks 302 provide configuration signals for a respective column 310 of function cells 304. In one example of the invention, cluster block 202 includes four columns of four function cells 304, each column including one AC block 302.
[034] FIG. 3 shows paths for sharing data and dynamic configuration information between vertically or horizontally adjacent function cells 304 within cluster block 202, and with other cluster blocks via global interconnect 204. Also shown are horizontal word lines 308 and vertical word lines 306, by which certain or all of the interior function cells 304 may communicate data with other cluster blocks 202, which word lines partially implement global interconnect 204. [035] One example of the Instruction Set Extension Fabric 130 is described in more detail in U.S. Patent Publication Number US 2001/0049816, which is incorporated herein by reference. A suitable Instruction Set Extension Fabric 130 is available from Stretch, Inc., of Mountain View, California. Extension Adapter
[036] Referring to FIG. 4, extension adapter 140 is shown in greater detail. In one embodiment, extension adapter 140 comprises load store module 410 and adapter controller 412. In another embodiment, processor core 120, and not extension adapter 140, comprises load/store module 410.
[037] Load/store module 410 can be created via a compiler, such as, for example, the Tensilica Instruction Extension (TIE) compiler, which can be obtained from Tensilica, Inc., of Santa Clara, California. TIE is a language that allows a user to describe the functionality of new extended instructions. A designer uses TIE to create a standard set of functions that extend the normal functionality of processor core 120. The TIE c de that a designer writes describes the functionality of a series of resources that aid in the interface between processor core 120 and extension adapter 140. Extension adapter 140 functions such that processor core 120 treats user- defined post-silicon, extended instructions as if they were native instructions to the processor core 120. In some embodiments, the extended instruction includes at least one new instruction added post-silicon and a set of pre-silicon instructions.
[038] Load/store module 410 interfaces with processor core 120 via interface 414. Register file 420 is coupled to interface 414 via processor control and data interface 421 and via ISEF control and data interface 423. Adapter controller 412 interfaces with processor core 120 via interface 416. Adapter controller 412 interfaces with ISEF 130 via interface 418. [039] In an exemplary embodiment according to the present invention, load/store module 410 comprises register file 420. Register file 420 is a register file, or collections of registers, that is added by using, for example, the TIE compiler. Register file 420 interfaces with adapter controller 412 via interface 424. In one embodiment, register file 420 is 128 bits wide. In another embodiment, register file 420 is 64 bits wide. However, register file 420 can be of varying widths. It is contemplated that the system can comprise one or more than one register file 420. Adapter controller 412 accesses register file 420. Adapter controller 412 is then used to interface with ISEF 130.
[040] Load store module 410 provides fixed instruction functionality. A set of fixed instructions includes instructions for moving data to and from external memory (not shown), into and out of register file 420. This collection of functionality is defined in one embodiment in the TIE language, and is implemented through Tensilica' s TIE compiler. It is contemplated that languages other than TIE can be used with the present system. Load/store module 410 contains one or more register files 420 and a set of fixed instructions that give register files 420 access to external memory via load and store instmctions. Again, these instructions will be fixed once the silicon is created, and are fully implemented using the standard TIE flow. It is a function of the extension adapter 140 to encapsulate the fixed functionality and manage it with the configurable interface logic.
[041] A purpose of load/store module 410 includes defining the functionality of register file 420, which is temporary storage for data that is going to be transferred between processor core 120 and ISEF 130. Load/store module 410 defines not only register file 420, but also defines how to load and store generic instmctions (e.g., Tensilica instructions) of processor core 120 into register file 420. Adapter controller 412 performs the function of interfacing with register file 420. Adapter controller 412 also receives the data from register file 420 and interfaces register file 420 with ISEF 130.
[042] In one exemplary methodology, standard load and store instructions are used to move data to and from register file 420. Load instructions issued by the extension adapter 140 retrieve data from memory into register file 420. ISEF 130 instructions operate under the control of extension adapter 140 to retrieve stored data from register file 420 to ISEF 130 for use in ISEF 130 computations or other functional execution. Data resulting from ISEF 130 instruction execution is then returned to register file 420, where store instructions move data from register file 420 to memory via interface 414.
[043] ISEF 130 and adapter controller 412 allow a user to add new instructions that change with software on different implementations of the same silicon. For example, a user can add specialized instmctions to perform video or audio encoding/decoding. These instmctions are not hard-wired into processor core 120, but rather are implemented using the programmably configurable logic of ISEF 130. Extension adapter 140 operates as a data and control interface between processor core 120 and ISEF 130 by routing extended instructions (i.e., those instmctions not part of the original processor core 120 native instruction set) to ISEF 130 for execution. Since the logic of ISEF 130 is configurable, it is entirely within the scope of the present invention that the configuration of ISEF 130 can be changed as frequently as needed to accommodate the inclusion of various extended instmctions in application programs being run on the processor core 120.
[044] In one embodiment of the present invention, the inputs and outputs to the extended instruction, as executed in ISEF 130, are limited to data transfers between a named register file 420. In some embodiments, the ISEF 130 can access a register file in the processor core 120 to allow both reading and writing. In another embodiment, in addition to the data transfers between the named register file 420, the data transfers are between an alternative source indicative of a processor state. One example of this alternative source is a special purpose register. In such an embodiment, the number of register file 420 inputs to the ISEF 130 computation is a finite number such as three (3), and the number of special purpose register inputs is eight (8) 128-bit registers.
[045] In some embodiments, the outputs of the ISEF 130 computations are directed to register file 420, to equivalent special purpose registers, and/or by-passed to processor core 120 for use in execution of the subsequent instruction. In the above embodiment, the number of register file 420 outputs is two (2) and the number is a 128-bit special purpose register outputs is up to eight (8). The extended instruction of such an embodiment does not have direct access to data and instruction memories and caches of the processor core 120. Any data residing in the data and instruction memories or caches of processor core 120 is first brought into the register file 420 using load instructions, before being used by the extended instruction as executed in ISEF 130. In some embodiments, the data residing in the data and instruction memories or caches of processor core 120 are brought into equivalent special purpose registers in addition to the register file 420 using load instmctions. Such a restriction in the I/O of the extended instruction of this embodiment enables compiler optimization and improved performance. The exact input and output dependencies of the extended instmctions are programmed into the C compiler (discussed with reference to FIG. 7) used in scheduling the extended instruction and in allocating the associated register files 420. [046] It is noteworthy that extension adapter 140 handles the multiplexing of data among register file(s) 420 and ISEF 130. Extension adapter 140 manages the timing relationships between register reads and register writes, which are functions of instruction execution length. [047] It is also noteworthy that the processing system 110 comprises means for ensuring the proper configuration of ISEF 130 prior to the execution of a specific extended instmction in the ISEF 130. In one example, if the system tries to execute an instruction not included in the instruction set of processor core 120 that is not currently configured in ISEF 130, an exception is generated by the extension adapter 140, resulting in either the proper configuration signals being sent to ISEF 130, or in an alternative process, being initiated to deal with the missing configuration.
[048] In keeping with some embodiments according to the present invention, FIG. 5 illustrates an operation involving the reading of data. Resident instmction table 510 has a description of what extended instructions are adapted to do with respect to the interface to processor core 120. For any instmction that a user creates, those instructions should control processor core 120 in such a way that processor core 120 executes those instmctions in similar fashion to native instmctions included in the original processor core 120 instruction set. Resident instruction table 510 receives instruction description data 512 (from interface 414 of FIG. 4) as a sequence of binary numbers (e.g., a 24-bit sequence) that is decoded by resident instruction table 510 and converted into an address that points to a location in resident instmction table 510. [049] If the instruction description data 512 describes a normal add, subtract, etc. contained in the native instmction set of processor core 120, then resident instmction table 510 does not do anything with the instruction. However, if the instmction description data 512 describes an extended instruction that ISEF 130 is to execute, then resident instmction table 510 returns configuration information 514 back to processor core 120 to indicate this is a valid instmction. Extension adapter 140 will thereafter operate on the extended instruction in cooperation with ISEF 130 so that to processor core 120 it appears that the extended instmction is identical in form to a native instmction of processor core 120.
[050] Configuration information 514 is a sequence of data from resident instmction table 510, some of which goes to processor core 120 via interface 516. Some of configuration information 514 is transmitted to the ReadAddr 518 (read address) input of register file 420 via interface 424. Data from ReadData 520 (read data) of register file 220 is also carried on interface 424. In this example, configuration information 514 includes the address within register file 420 that an extended instmction needs to be sent to ISEF 130 via interface 418. Compiler
[051] FIG. 6 is a flow chart illustrating an exemplary embodiment 600 of the method of the invention. The method begins by defining a program in step 610. The program can be defined in a standard programming language that is familiar to computer programmers such as C++. [052] Thereafter, in step 620, the program is compiled to convert the program from the programming language in which it was written into a machine language that is recognizable by the processor core 120 (FIG. 1). It will be appreciated that the present method is intended to be iterative, as can be seen from FIG. 6, and that successive iterations initially return to step 620. Whereas in the first pass through step 620 a standard compiler, such as a C++ compiler, compiles the program, in successive iterations an additional extension compiler is also employed, as is discussed elsewhere herein. One skilled in the art can appreciate that the extension compiler can be implemented as a separate program or may be part of the compilation phase or linking phase of a standard compiler to perform the operations of the extension compiler as described
herein.
[053] Next, in step 630 the compiled program is profiled. Profiling includes executing the compiled program with representative or sample data and determining how much time would be expended executing each of the various operations of the program. Profiling in step 630 is preferably performed using a software simulation tool (not shown) that mimics the operation of the processor core 120. Such processor simulators are well known in the art, and each simulator is unique to the processor core 120 being simulated. Alternatively, profiling 630 can occur using a hardware emulator (not shown) or some combination of hardware and software. Hardware emulation is particularly useful in applications where specific timing issues are of concern to the designer.
[054] As in step 620, because the method is iterative, the first pass through step 630 is different than in successive iterations. In the first pass through step 630 the compiled program is executed or simulated solely on the processor core 120 to provide a baseline against which improvements in successive iterations can be measured. It should be noted that some of the more time consuming operations that are typically identified by profiling involve nested loops. In some embodiments, successive programs can take advantage of the existing profiled programs by not performing step 630. Further, in some embodiments, a cache can store pre-existing blocks of code, which when matched with the compiled code, results in bypassing step 630. [055] In step 640 a determination is made as to the acceptability of the performance of the program. If the performance is acceptable then the method ends. Otherwise, the method continues to step 650. Generally, in the first pass through step 640 the performance will not be acceptable since no effort has yet been made to optimize the program. In successive iterations, performance can be judged against either subjective or objective standards. In some instances the program needs to be optimized so that it can return data according to the timing requirements of other programs with which it interfaces. In other instances merely a faster processing speed is desired from the program. In these latter instances, at each iteration the performance is compared to the performance from the prior iteration to determine whether the most recent iteration returned a further improvement. If no further improvement is achieved by a successive iteration, or if the improvement is sufficiently trivial, the performance is deemed to be acceptable and the method ends.
[056] In step 650 one or more critical code segments are identified by reviewing the results of the profiling performed in step 630. A critical code segment is a portion of the program's code that took excessive time to execute or failed to meet timing requirements specified for a program in step 630. Typically, those code segments that took the longest time to execute are considered to be the most critical and are addressed first by the method. As noted elsewhere, nested loops are frequently identified as critical code segments. If addressing the most critical code segments does not produce acceptable performance in step 640, then in successive iterations the next most critical code segments are identified in step 650.
[057] Next, in step 660, the critical code segment identified in step 650 is preferably rewritten as a separate function. An example is illustrative of this process. The following original code segment written in C++ includes a nested loop as the critical code segment: a = 0 for (i = 0; i < 100; i ++) {for (j = 0; j < 8; j ++) {a + = x[i +j] * y[j];} z[i] = a » k;} The critical code segment can be rewritten as a function, which in the following example is given
the name "inner": int inner (short*x, short*y)
{for (j = 0; j < 8; j ++)
Figure imgf000020_0001
return a » k;}
Advantageously, the function can be written using the same programming language as before. In some embodiments the function does not have to be written from scratch but can instead be selected from a class library (not shown) of pre-defined functions. A class library of pre-defined functions can include functions that might be particularly useful in a certain type of application, such as functions for working With pixel data in video processing applications.
[058] In an alternative embodiment, in step 660, markers (in C programming, such markers are conventionally referred to as PRAGMAS) are used to demark the beginning and ending of a section of code to be rewritten. Once identified, the demarked section of code is replaced by one, or alternatively, multiple instmctions. It should be apparent to those of ordinary skill in the art that the rewriting step of 660 can be performed either manually, or by using an automated conversion tool. Such a conversion tool would be similar to a decompiler; rather than compiling a high level instmction into multiple lower level instmctions as in a compiler, the automated conversion tool would convert multiple lower level instmctions of the processor core 120 instruction set into one or more complex extended instructions for implementation in ISEF 130.
[059] Once the critical code segment has been rewritten as a function in step 660, in step 670 the program is revised. The revision includes two operations, designating the function as a code segment to be compiled by an extension compiler and replacing the critical code segment with a statement that calls the function. In some embodiments the function is placed into an extensions file, separate from the program file, that contains the code meant to be compiled by the extension compiler. In other embodiments the function is placed in the program file and demarked in such a way that it can be recognized as intended for the extension compiler so that the standard compiler will ignore it. Demarking the function in this way can be achieved by a flag before the instmction (e.g., # pragma stretch begin) and a flag after the function (e.g., # pragma stretch
end).
[060] As noted, revising the program also includes replacing the critical code segment with a statement that calls the function. Continuing with the prior example, the original code segment that includes the critical code segment can be rewritten by replacing the critical code segment with the statement {z[i] = inner (x + i, y);} as follows: a = 0 for (i = 0; i < 100; i ++)
{z[i] = inner (x + i, y);}
Once the program has been revised in step 670 the method returns to step 620 and the program is again compiled. In those embodiments in which the function has been placed in the program file and demarked from the remaining code, a pre-processing tool first finds the function and copies it out to an extensions file.
[061] FIG. 7 illustrates an exemplary sequence of events that occurs during step 620 to compile an extensions file 700 and a program file 710. Initially, the code in the extensions file 700 is compiled by the extension compiler 720. An example of an extension compiler 720 is Stretch C, available from Stretch, Inc. of Mountain View, CA. The extension compiler 720 produces two outputs: a header file 730 and an intermediate file 740 written in a hardware description language such as Verilog HDL. The header file 730 declares a prototype for a specific function used to execute an extended instmction called out by the extension compiler 720 during compilation of the extensions file 700. The header file 730 is a conventional C file that provides instruction information, such as the file name, inputs required, outputs written, and other required instruction parameters. The intermediate file 740 describes how to implement an instruction in the Instruction Set Extension Fabric 130 (FIG. 1) that corresponds to the function. Next, an implementation tool 750 maps the intermediate file 740 to the Instruction Set Extension Fabric 130. More specifically, the implementation tool 750 converts the contents of the intermediate file 740 to ISEF configuration file 760. Implementation tool 750 generates ISEF configuration file 760 consisting of a bit stream that is compiled with program file 710 and header file 730 in standard compiler 770 and incorporated in the executable file 780. This ISEF configuration file 760 contains the data that is used by the executable file 780 to configure ISEF 130 in much the same way that a Field Programmable Gate Array (FPGA) is programmed. [062] When the extension adapter 140 encounters a processor core 120 instmction that is not part of the native set, but is rather an extended instruction generated by extension compiler 720, the processor core 120 sends a configuration bit stream to the ISEF 130 to appropriately configure the ISEF 130 to execute the extended instruction. Thus, the executable file 780 can call the function and the Instruction Set Extension Fabric 130 contains an instmction that can perform the function.
[063] Thereafter, in step 630 the program is again profiled. In this and subsequent iterations of the method, in contrast to the first pass through step 630, the extension adapter 140 (FIG. 1) directs the Instmction Set Extension Fabric 130 to execute the instruction corresponding to the function when the function is called as the executable file 780 ns. Accordingly, the program executes more efficiently, as will be represented by the profile. Next, in step 640 the performance is again evaluated, and if acceptable the method ends, otherwise it begins a new iteration at step 650.
[064] Returning to step 660, a critical code segment can alternatively be rewritten by selecting a pre-defined function from a class library. The following example is illustrative of pre-defined functions that might be found in a class library according to an embodiment of the present invention, and of an instruction that would be defined from these functions. Typical graphics applications define a pixel by an 8-bit integer for each of three colors such as red, green, and blue. According to the present invention, a class library for graphics applications can include a pre-defined function for red, for example, that defines an unsigned 8-bit declared integer, R, by the function se_uint<8> R; and another pre-defined function would define for the pixel an unsigned 24-bit declared integer, P, by the function se_uint<24> P = (B, G, R); where B and G correspond to blue and green, respectively. In the C++ programming language integers are generally limited to standard bit lengths such as 8, 16, 32 and 64. Accordingly, the ability to create a 24-bit integer, or any integer with a non-standard number of bits, is a beneficial feature of the present invention. Without the ability to define a pixel as a 24-bit integer, one would have to define the pixel as a 32-bit integer, but at the expense of having to carry 8 unused bits. [065] The advantage of not having to carry unused bits can be further seen when a number of pixels are assigned to a register with a pre-defined width. For instance, a register, W, that has a 128-bit width can accommodate four 32-bit pixels, but the same register can handle five 24-bit pixels. Expressed as an instruction for a programmable logic device 130, assigning five 24-bit pixels to register W would be expressed as WR W = (P4, P3, P2, PI, P0). ISEF Reconfiguration [066] In some embodiments for ISEF reconfiguration, the processing system 110 uses extended instructions that can be configured into the Instruction Set Extension Fabric 130 to accelerate an executing application program. ISEF reconfiguration advantageously can add extension instructions or modify characteristics of existing extension instmctions after fabrication of the processor. In some embodiments, there are no finite limitations on the processing system HO instruction set, since new instmction extensions can be generated by the compiler and configured in the ISEF 130 prior to or during execution of the application. Therefore, the embodiments relating to the configuration of the ISEF 130 to execute non-resident instmctions in an application can be thought of as creating a virtual instmction set of the processing system 110. The finite set of instructions can be extended to an unlimited, virtual set of instructions through reconfiguring the ISEF 130 to add new extended instructions. It should be noted that except where reconfiguration does not occur, the term configured and reconfigured are used interchangeably; and that conventionally, the ISEF 130 is initially configured then subsequently reconfigured as explained herein.
[067] Several different modes of ISEF 130 configuration are contemplated as varying embodiments. The most basic of these embodiments is static configuration, where the instruction extension is loaded with the executable file 780, and the ISEF 130 is configured once prior to application execution. In the static configuration embodiment,. the processor core 120 executes all native instmctions, and the instmction extensions are directed to the preconfigured ISEF 130 by the extension adapter 140 for execution. The ISEF 130 does not reconfigure during application execution in this static configuration embodiment.
[068] A second embodiment of ISEF 130 configuration is explicit reconfiguration. Explicit reconfiguration is a configuration or reconfiguration of the ISEF 130 in which the executing application program directly initiates the loading of the ISEF 130 configuration memory 206 with instruction extension data in the form of a system call or similar invocation. In one explicit reconfiguration embodiment, the application preferably prefetches instruction groups before they are required to optimize application execution performance. In the explicit reconfiguration embodiments, the programmer of the application explicitly adds code to the application to load instruction extension data into the ISEF 130 configuration memory 206. The added coded includes the system call or similar invocation to initiate the loading of the ISEF 130 configuration memory with the instruction extension data. Alternative embodiments featuring explicit reconfiguration permit direct memory access (DMA), allowing the prefetch to take place concurrently with the application execution. In an embodiment where multiple ISEF's 130 are used, this DMA capability enables the application to be executing from one ISEF instruction group, while the next group of instructions are being loaded into a second ISEF. [069] A third embodiment for configuring the ISEF 130 is that of implicit reconfiguration. Implicit reconfiguration occurs when the nning application program issues an instruction, which is not part of the resident instruction set. When a nonresident instruction is issued in this embodiment, the extension adapter 140 detects this instruction fault condition and passes the instruction extension to the ISEF 130 for reconfiguration and execution. This model of reconfiguration is referred to as implicit, because the processing system 110 relies on the extension adapter 140 to detect and process the need for the ISEF 130 operation. Programmers of the applications therefore do not need to be aware of the reconfiguration of the ISEF 130 because the reconfiguration does not depend on the programmer-inserted code in the program for reconfiguration. Instead, the reconfiguration of the ISEF 130 occurs when the extension adapter 140 detects the instmction fault condition. [070] Referring now to FIGs. 8(a) and 8(b), a preferred process is described for executing an instmction extension in the implicit reconfiguration embodiment of the present invention. The process of FIGs. 8(a) and 8(b) begins in step 805 with the execution of a programmed application in the processing system 110. During processing, the extension adapter (XAD) 140 receives 810 an instmction extension, i.e. an instmction that is not native to processor core 120. In step 815, the XAD 140 checks to determine whether the received instmction extension from step 810 is in fact already resident in the ISEF 130. If the instmction extension is resident, that is currently loaded into ISEF 130, the ISEF 130 then executes the instmction extension. If the received instmction extension from step 810 is not resident, the XAD 140 signals 820 processor core 120 that the instmction extension is not resident. Upon receiving the signal in step 820 from the XAD 140, processor core 120 issues 825 an instruction fault to the processing system 110 operating system. The processing system 110 operating system then takes steps to appropriately configure the ISEF 130 to execute the received instmction extension in step 810. [071] Referring now to Figure 8(b), once the operating system receives the instmction fault from processor core 120 in step 825, the XAD 140 is instructed to check 830 whether the ISEF 130 is currently busy executing an instruction. If in fact the ISEF 130 is executing another instmction, then the XAD 140 waits until the ISEF instmction is completed. After any ISEF instmction currently executing completes, then the instmction pipe is flushed 835 and the instruction state is saved in step 840 by the extension adapter XAD 140. The XAD 140 then loads 845 a new configuration into ISEF 130 and then checks 850 to determine whether a stored state exists relating to the new ISEF 130 configuration of step 845. If in fact a stored state does exist in step 850 then the XAD 140 restores 855 the state relating to the new ISEF 130 configuration. After any previously stored state is restored, then the XAD 140 reissues 860 the instmction from the application to the ISEF 130, and the instmction extension executes on the ISEF 130. Subsequently, application processing resumes in step 865.
[072] Referring now to FIG. 9, a fourth embodiment of ISEF 130 reconfiguration is shown in which two or more ISEF's are alternately configured by an extension adapter 140. Extension adapter 140 is connected to ISEFl 910 through interconnect 930. Extension adapter 140, likewise is connected to ISEF2920 through interconnect 940. In the alternating reconfiguration model, multiple groups of instructions may be accelerated and mapped to two or more ISEF's 130. In this alternating reconfiguration mode, ISEFl 910, for example, can be executing a set of instmction extensions, while ISEF2920 is contemporaneously loading a new reconfiguration file in preparation for a set of instruction extensions to be later executed. In this way, configuration of one ISEF 130 may overlap execution on the processor core 120, of another ISEF 130. Execution of instmction extensions may be predicted and speculatively loaded into a ISEF 130 while the application is executing on the processor core 120 or in another ISEF 130. A significant benefit of alternating reconfiguration is that the processing system 110 is able to reduce or avoid delays that might be encountered in ISEF 130 configuration by loading, for example, ISEF2 920 while the processing system 110 is using ISEFl 910 for executing an instruction extension. Once the used ISEFl 910 configuration is completed, processing may then switch to the preconfigured ISEF2 920 while the contents of ISEFl 910 are flushed and reprogrammed.
[073] Referring now to FIG. 10, a timing diagram is illustrated showing the various timing phases of the processor core 120 and the ISEF's 910 and 920. During phase 1, the processor core 120 is loading 1010 the application within the processing system 110. In phase 2 of FIG. 10, the application begins execution 1020 on the processor core 120 and starts loading 1025 the first instruction extension into ISEFl 910. During phase 3, the first instruction extension begins executing 1030 on ISEFl 910. The application also predicts the next instmction extension to execute, and speculatively loads 1035 the configuration ISEF2 920. The first instmction extension executing in ISEFl 910 completes 1045 execution and control returns 1040 to the processor core 120 in phase 4. In phase 5, the second instruction begins execution 1055 on ISEF2 920 while the next extension instmction is speculatively loaded 1052 into ISEFl 910. The configuration of ISEFl 910 runs longer than the execution 1055 of the second instruction extension in ISEF2920 in the subsequent instmction extension computation on the processor core 120, so the application stalls 1060 waiting for the completion of the configuration 1060 at the end of phase 5. In phase 6, the third instmction extension begins execution 1062 on ISEFl 910 while the fourth extension instruction is predicted and loaded 1065 in ISEF2920. At the beginning of phase 7, the previous prediction is determined 1060 to be incorrect and a new configuration is loaded 1070 into ISEF2920. Once the correct configuration is complete the final loop is executed 1080 on ISEF2. In phase 8, the application finishes 1090 on the processor core 120 in phase 9.
[074] Referring now to FIG. 11, a graphic is shown of ISEF 130 containing two Instruction Set Extension Fabrics ISEFl 1110 and ISEF2 1120. A fifth embodiment of ISEFl 130 reconfiguration is a mode in which ISEF 130 is partially configured into two or more Instruction Set Extension Fabrics of equal or differing sizes. In this reconfiguration embodiment, ISEFl 1110 may be sized appropriately as needed by a specific instruction extension, and the remainder of ISEF 130 is available for use in the creation and configuration of additional partial ISEF's. These partial ISEF's are preferably available for use in the alternating reconfiguration model explained with reference to FIGs. 9 and 10. Although FIG. 11 shows ISEF 130 divided into two partial ISEF's of equal size, ISEF 130 shown in FIG. 11 could readily be subdivided into any number of sizes and partitions for use in operating with one or more processor cores 120. [075] It will be obvious to those skilled in this art that the operation of the XAD 140 and the ISEF 130 is similar with respect to instructions as the handling of data in virtual memory. [076] In the foregoing specification, the invention is described with reference to specific embodiments thereof, but those skilled in the art will recognize that the invention is not limited thereto. Various features and aspects of the above-described invention may be used individually or jointly. Further, the invention can be utilized in any number of environments and applications beyond those described herein without departing from the broader spirit and scope of the specification. Accordingly, the specification and drawings are to be regarded as illustrative rather than restrictive.

Claims

CLAIMS 1. A method of adding reconfigurable computational instructions to a reduced instruction set computer, the method comprising the steps of: loading a computer program into a memory accessible by a processor core of the reduced instruction set computer, the computer program containing at least one instruction extension not native to an instmction set of the processor core; detecting that the computer program contains the instmction extension; configuring a programmable logic device to execute the instmction extension; and executing the instruction extension on the programmable logic device for use by the processor core in processing the computer program.
2. The method of claim 1, further comprising the step of compiling the computer program prior to loading the computer program into the memory.
3. The method of claim 2, wherein the step of compiling the computer program further comprises the steps of: determining a configuration of the programmable logic device; and initiating the step of configuring the programmable logic device prior to execution of the computer program by the processor core.
4. The method of claim 1, wherein during execution of the computer program, the computer program directly initiates the step of configuring the programmable logic device.
5. The method of claim 4, wherein the computer program directly initiates the step of configuring the programmable logic device using a system call.
6. The method of claim 1, wherein the step of configuring the programmable logic device occurs concurrently with the execution of the computer program.
7. The method of claim 1, wherein the step of detecting that the computer program contains the instruction extension occurs when an extension adapter detects an instmction fault condition and passes the instruction extension to the programmable logic device for configuring.
8. The method of claim 1, further comprising the steps of: flushing an instmction pipe in the programmable logic device; and saving an instmction state of the programmable logic device.
9. The method of claim 1, further comprising the step of restoring a stored state of the programmable logic device based on a new configuration of the programmable logic device.
10. A system for adding reconfigurable computational instmctions to a reduced instruction set computer, the system comprising: an instruction memory configured to store a computer program comprising a reduced set of computational instructions and at least one instruction extension; a processor core coupled to the instruction memory and configured to execute the reduced set of instmctions of the computer program; an extension adapter coupled to the processor core and configured to detect the instruction extension in the computer program; and a configurable programmable logic device coupled to the extension adapter and configured to receive configuration instructions from the extension adapter in response to the detection of the instruction extension.
11. The system of claim 10, further comprising a compiler configured to optimize the computer program by replacing one or more of the computer program instructions with instmction extensions.
12. The system of claim 11, wherein the compiler is further configured to determine a configuration of the programmable logic device and initiate configuration of the programmable logic device prior to execution of the computer program by the processor core.
13. The system of claim 10, wherein the extension adapter is configured to detect the instmction extension when the extension adapter detects an instmction fault condition and passes the instruction extension to the programmable logic device for configuring.
14. The system of claim 10, wherein during execution of the computer program, the computer program directly initiates the step of configuring the programmable logic device.
15. The system of claim 14, wherein the computer program directly initiates the step of configuring the programmable logic device using a system call.
16. The system of claim 10, wherein the programmable logic device is further configured to flush an instmction pipe in the programmable logic device and save an instruction state of the programmable logic device.
17. The system of claim 10, wherein the programmable logic device is further configured to restore a stored state of the programmable logic device based on a new configuration of the programmable logic device.
18. A system for adding reconfigurable computational instructions to a reduced instruction set computer, the system comprising: means for loading a computer program into a memory accessible by a processor core of the reduced instmction set computer, the computer program containing at least one instruction extension not native to an instmction set of the processor core; means for detecting that the computer program contains the instruction extension; means for configuring a programmable logic device to execute the instmction extension; and means for executing the instmction extension on the programmable logic device for use by the processor core in processing the computer program.
19. The system of claim 18, further comprising means for compiling the computer program prior to loading the computer program into the memory.
20. The system of claim 19, wherein the compilation means determines the configuration of the programmable logic device and initiates configuration prior to execution of the computer program by the processor core.
21. The system of claim 18, wherein during execution of the computer program, the computer program directly initiates the step of configuring the programmable logic device.
22. The system of claim 21, wherein the computer program directly initiates the step of configuring the programmable logic device using a system call.
23. The system of claim 18, wherein the means for configuring operates concurrently with the execution of the computer program.
24. The system of claim 18, wherein the detecting means comprises an extension adapter for detecting an instruction fault condition and passing the instmction extension to the programmable logic device for configuring.
25. The system of claim 18, wherein the configuring means comprises, an extension adapter for detecting that the programmable logic device is not busy executing an instmction extension.
26. The system of claim 18, wherein the executing means is for flushing an instruction pipe in the programmable logic device and saving an instruction state of the programmable logic device.
27. The system of claim 18, wherein the executing means is for restoring a stored state of the programmable logic device based on a new configuration of the programmable logic device.
28. A system for adding reconfigurable computational instructions to a reduced instruction set computer, the system comprising: an instmction memory for storing a computer program comprising a reduced set of computational instructions and at least two instruction extensions; a processor core coupled to the instruction memory and configured to execute the reduced set of computational instructions of the computer program; an extension adapter coupled to the processor core and configured to detect the at least two instruction extensions in the computer program; a first configurable programmable logic device coupled to the extension adapter and configured to receive first configuration instructions from the extension adapter in response to the detection of a first instruction extension; and a second configurable programmable logic device coupled to the extension adapter and configured to receive second configuration instructions from the extension adapter in response to the detection of a second instmction extension.
29. The system of claim 28, wherein the first and second configurable programmable logic devices are formed from a configurable programmable logic device divided into at least two partitions.
30. The system of claim 29, wherein the at least two partitions are of differing size.
31. The system of claim 29, wherein the at least two partitions are of equal size.
32. The system of claim 29, wherein at least one of the partitions are of a size corresponding to the instmction extension to be executed by that programmable logic device.
33. The system of claim 28, wherein the processor core is further configured to predict the next instmction extension for the first programmable logic device and to speculatively load the next instmction extension into the first programmable logic device.
34. The system of claim 28, further comprising a compiler for optimizing the computer program by replacing one or more of the computer program instructions comprising computational instructions of the reduced instmction set with instruction extensions.
35. The system of claim 28, wherein the compiler is further configured to determine a configuration of the programmable logic device and initiate configuration of the programmable logic device prior to execution of the computer program by the processor core.
36. The system of claim 28, wherein the extension adapter is configured to detect the instruction extension when the extension adapter detects an instmction fault condition and passes the instmction extension to the first programmable logic device for configuring.
37. The system of claim 28, wherein the first programmable logic device is further configured to flush an instmction pipe in the first programmable logic device and save an instmction state of the first programmable logic device.
38. The system of claim 28, wherein the first programmable logic device is further configured to restore a stored state of the first programmable logic device based on a new configuration of the first programmable logic device.
39. A method for alternating the configuration of a plurality of programmable logic devices in a computing system having reconfigurable instructions, comprising the steps of: loading a computer program into a memory accessible by a processor core of the computer, the computer program containing at least two instruction extensions not native to the instmction set of the processor core; detecting that the computer program contains at least two instruction extensions; configuring a first programmable logic device to execute a first instmction extension; executing the first instmction extension on the first programmable logic device for use by the processor core in processing the computer program; configuring a second programmable logic device to execute a second instruction extension; and executing the second instruction extension on the second programmable logic device for use by the processor core in processing the computer program.
40. The method of claim 39, wherein during execution, the computer program directly initiates the configuration of the first or second programmable logic device.
41. The method of claim 39, wherein the time period for configuring the first programmable logic device overlaps with the time period for executing the instruction extension on the second programmable logic device.
42. The method of claim 39, further comprising the step of compiling the computer program prior to loading the computer program into the memory.
43. The method of claim 42, wherein the step of compiling the computer program further comprises: determining the configuration of the first and second programmable logic devices; and initiating configuration prior to execution of the computer program by the processor core.
44. The method of claim 39, wherein the detecting step occurs when an extension adapter detects an instruction fault condition and passes the instruction extension to one of the programmable logic devices for configuring.
45. The method of claim 39, further comprising the steps of: predicting a next instruction extension for one of the programmable logic devices; and speculatively loading the next instruction extension into one of the programmable logic devices.
46. The method of claim 45, further comprising the step of determining the prediction to be incorrect and loading a new configuration.
47. The method of claim 39, further comprising the steps of: flushing an instmction pipe in one of the programmable logic devices; and saving an instmction state of one of the programmable logic devices.
48. The method of claim 39, further comprising the step of restoring a stored state of one of the programmable logic devices based on a new configuration of one of the programmable logic devices.
PCT/US2004/010655 2003-07-29 2004-04-07 Reconfigurable instruction set computing WO2005017741A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/630,542 2003-07-29
US10/630,542 US7373642B2 (en) 2003-07-29 2003-07-29 Defining instruction extensions in a standard programming language
US10/732,392 2003-12-09

Publications (1)

Publication Number Publication Date
WO2005017741A1 true WO2005017741A1 (en) 2005-02-24

Family

ID=34103868

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/010655 WO2005017741A1 (en) 2003-07-29 2004-04-07 Reconfigurable instruction set computing

Country Status (2)

Country Link
US (6) US7373642B2 (en)
WO (1) WO2005017741A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109558169A (en) * 2018-11-28 2019-04-02 中国电子科技集团公司第四十七研究所 A kind of microprocessor instruction set on-line reconfiguration method

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7613900B2 (en) 2003-03-31 2009-11-03 Stretch, Inc. Systems and methods for selecting input/output configuration in an integrated circuit
US7581081B2 (en) 2003-03-31 2009-08-25 Stretch, Inc. Systems and methods for software extensible multi-processing
US7590829B2 (en) * 2003-03-31 2009-09-15 Stretch, Inc. Extension adapter
US8001266B1 (en) 2003-03-31 2011-08-16 Stretch, Inc. Configuring a multi-processor system
US7418575B2 (en) * 2003-07-29 2008-08-26 Stretch, Inc. Long instruction word processing with instruction extensions
US7373642B2 (en) 2003-07-29 2008-05-13 Stretch, Inc. Defining instruction extensions in a standard programming language
US7237055B1 (en) * 2003-10-22 2007-06-26 Stretch, Inc. System, apparatus and method for data path routing configurable to perform dynamic bit permutations
US7526632B1 (en) 2003-10-22 2009-04-28 Stretch, Inc. System, apparatus and method for implementing multifunctional memory in reconfigurable data path processing
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
US20050289323A1 (en) * 2004-05-19 2005-12-29 Kar-Lik Wong Barrel shifter for a microprocessor
US7278122B2 (en) * 2004-06-24 2007-10-02 Ftl Systems, Inc. Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
US7167971B2 (en) * 2004-06-30 2007-01-23 International Business Machines Corporation System and method for adaptive run-time reconfiguration for a reconfigurable instruction set co-processor architecture
JP4527571B2 (en) * 2005-03-14 2010-08-18 富士通株式会社 Reconfigurable processing unit
US7840000B1 (en) * 2005-07-25 2010-11-23 Rockwell Collins, Inc. High performance programmable cryptography system
US7539852B2 (en) * 2005-08-29 2009-05-26 Searete, Llc Processor resource management
US7739524B2 (en) * 2005-08-29 2010-06-15 The Invention Science Fund I, Inc Power consumption management
US8423824B2 (en) 2005-08-29 2013-04-16 The Invention Science Fund I, Llc Power sparing synchronous apparatus
US8181004B2 (en) 2005-08-29 2012-05-15 The Invention Science Fund I, Llc Selecting a resource management policy for a resource available to a processor
US8255745B2 (en) * 2005-08-29 2012-08-28 The Invention Science Fund I, Llc Hardware-error tolerant computing
US7779213B2 (en) * 2005-08-29 2010-08-17 The Invention Science Fund I, Inc Optimization of instruction group execution through hardware resource management policies
US7493516B2 (en) * 2005-08-29 2009-02-17 Searete Llc Hardware-error tolerant computing
US8516300B2 (en) * 2005-08-29 2013-08-20 The Invention Science Fund I, Llc Multi-votage synchronous systems
US8214191B2 (en) * 2005-08-29 2012-07-03 The Invention Science Fund I, Llc Cross-architecture execution optimization
US7627739B2 (en) * 2005-08-29 2009-12-01 Searete, Llc Optimization of a hardware resource shared by a multiprocessor
US20070050608A1 (en) * 2005-08-29 2007-03-01 Searete Llc, A Limited Liability Corporatin Of The State Of Delaware Hardware-generated and historically-based execution optimization
US7877584B2 (en) * 2005-08-29 2011-01-25 The Invention Science Fund I, Llc Predictive processor resource management
US8209524B2 (en) * 2005-08-29 2012-06-26 The Invention Science Fund I, Llc Cross-architecture optimization
US7725693B2 (en) 2005-08-29 2010-05-25 Searete, Llc Execution optimization using a processor resource management policy saved in an association with an instruction group
US20070050605A1 (en) * 2005-08-29 2007-03-01 Bran Ferren Freeze-dried ghost pages
US7647487B2 (en) * 2005-08-29 2010-01-12 Searete, Llc Instruction-associated processor resource optimization
US7653834B2 (en) * 2005-08-29 2010-01-26 Searete, Llc Power sparing synchronous apparatus
US20070073925A1 (en) * 2005-09-28 2007-03-29 Arc International (Uk) Limited Systems and methods for synchronizing multiple processing engines of a microprocessor
US20070106883A1 (en) * 2005-11-07 2007-05-10 Choquette Jack H Efficient Streaming of Un-Aligned Load/Store Instructions that Save Unused Non-Aligned Data in a Scratch Register for the Next Instruction
US7757224B2 (en) * 2006-02-02 2010-07-13 Microsoft Corporation Software support for dynamically extensible processors
US7870544B2 (en) * 2006-04-05 2011-01-11 International Business Machines Corporation Insuring maximum code motion of accesses to DMA buffers
US7856545B2 (en) * 2006-07-28 2010-12-21 Drc Computer Corporation FPGA co-processor for accelerated computation
US7856546B2 (en) * 2006-07-28 2010-12-21 Drc Computer Corporation Configurable processor module accelerator using a programmable logic device
US7529909B2 (en) * 2006-12-28 2009-05-05 Microsoft Corporation Security verified reconfiguration of execution datapath in extensible microcomputer
US7971132B2 (en) * 2007-01-05 2011-06-28 Dialogic Corporation Universal multimedia engine and method for producing the same
US8429623B2 (en) * 2007-01-16 2013-04-23 Oracle America Inc. Processing engine for enabling a set of code intended for a first platform to be executed on a second platform
US7979674B2 (en) * 2007-05-16 2011-07-12 International Business Machines Corporation Re-executing launcher program upon termination of launched programs in MIMD mode booted SIMD partitions
US7814295B2 (en) * 2007-05-18 2010-10-12 International Business Machines Corporation Moving processing operations from one MIMD booted SIMD partition to another to enlarge a SIMD partition
US7831803B2 (en) * 2007-07-19 2010-11-09 International Business Machines Corporation Executing multiple instructions multiple date (‘MIMD’) programs on a single instruction multiple data (‘SIMD’) machine
US8156307B2 (en) * 2007-08-20 2012-04-10 Convey Computer Multi-processor system having at least one processor that comprises a dynamically reconfigurable instruction set
US8095735B2 (en) * 2008-08-05 2012-01-10 Convey Computer Memory interleave for heterogeneous computing
US8122229B2 (en) * 2007-09-12 2012-02-21 Convey Computer Dispatch mechanism for dispatching instructions from a host processor to a co-processor
US9015399B2 (en) 2007-08-20 2015-04-21 Convey Computer Multiple data channel memory module architecture
US9710384B2 (en) 2008-01-04 2017-07-18 Micron Technology, Inc. Microprocessor architecture having alternative memory access paths
US8561037B2 (en) * 2007-08-29 2013-10-15 Convey Computer Compiler for generating an executable comprising instructions for a plurality of different instruction sets
US8176477B2 (en) 2007-09-14 2012-05-08 International Business Machines Corporation Method, system and program product for optimizing emulation of a suspected malware
US8780128B2 (en) * 2007-12-17 2014-07-15 Nvidia Corporation Contiguously packed data
US7872523B2 (en) * 2008-07-01 2011-01-18 Mks Instruments, Inc. Radio frequency (RF) envelope pulsing using phase switching of switch-mode power amplifiers
GB2462860B (en) * 2008-08-22 2012-05-16 Advanced Risc Mach Ltd Apparatus and method for communicating between a central processing unit and a graphics processing unit
US8205066B2 (en) * 2008-10-31 2012-06-19 Convey Computer Dynamically configured coprocessor for different extended instruction set personality specific to application program with shared memory storing instructions invisibly dispatched from host processor
US20100115233A1 (en) * 2008-10-31 2010-05-06 Convey Computer Dynamically-selectable vector register partitioning
US8392895B2 (en) * 2009-01-13 2013-03-05 Mediatek Inc. Firmware extension method and firmware builder
US8423745B1 (en) 2009-11-16 2013-04-16 Convey Computer Systems and methods for mapping a neighborhood of data to general registers of a processing element
US9063805B2 (en) 2009-11-25 2015-06-23 Freescale Semiconductor, Inc. Method and system for enabling access to functionality provided by resources outside of an operating system environment
AT509740B1 (en) 2010-05-10 2012-03-15 Josef Kraft HOLSTER FOR RECORDING A HAND FIREARM
US8896610B2 (en) * 2011-02-18 2014-11-25 Texas Instruments Incorporated Error recovery operations for a hardware accelerator
US8751710B2 (en) 2012-05-08 2014-06-10 Entegra Technologies, Inc. Reconfigurable modular computing device
US10430190B2 (en) 2012-06-07 2019-10-01 Micron Technology, Inc. Systems and methods for selectively controlling multithreaded execution of executable code segments
US9104532B2 (en) 2012-12-14 2015-08-11 International Business Machines Corporation Sequential location accesses in an active memory device
US8954939B2 (en) * 2012-12-31 2015-02-10 Microsoft Corporation Extending a development environment
WO2014169477A1 (en) * 2013-04-19 2014-10-23 中国科学院自动化研究所 Processor with polymorphic instruction set architecture
CN103235717B (en) * 2013-04-19 2016-04-06 中国科学院自动化研究所 There is the processor of polymorphic instruction set architecture
US9720661B2 (en) * 2014-03-31 2017-08-01 International Businesss Machines Corporation Selectively controlling use of extended mode features
US9658821B2 (en) 2014-09-29 2017-05-23 International Business Machines Corporation Single operation array index computation
US10503506B2 (en) * 2015-10-19 2019-12-10 Arm Limited Apparatus and method for accessing data in a cache in response to an unaligned load instruction
US9734126B1 (en) 2016-10-10 2017-08-15 International Business Machines Corporation Post-silicon configurable instruction behavior based on input operands
US10817369B2 (en) 2017-04-21 2020-10-27 Arm Limited Apparatus and method for increasing resilience to faults
US10289332B2 (en) * 2017-04-21 2019-05-14 Arm Limited Apparatus and method for increasing resilience to faults
RU2659742C1 (en) 2017-08-17 2018-07-03 Акционерное общество "Лаборатория Касперского" Method for emulating the execution of files comprising instructions, different from machine instructions
US10133871B1 (en) * 2017-12-13 2018-11-20 Booz Allen Hamilton Inc. Method and system for identifying functional attributes that change the intended operation of a compiled binary extracted from a target system
US10922203B1 (en) * 2018-09-21 2021-02-16 Nvidia Corporation Fault injection architecture for resilient GPU computing
US11030147B2 (en) 2019-03-27 2021-06-08 International Business Machines Corporation Hardware acceleration using a self-programmable coprocessor architecture
US11392316B2 (en) * 2019-05-24 2022-07-19 Texas Instruments Incorporated System and method for predication handling

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US166038A (en) * 1875-07-27 Improvement in lap-boards
US541628A (en) * 1895-06-25 Nut-lock
US662233A (en) * 1900-05-31 1900-11-20 William Haylor Stovepipe.
US2926036A (en) * 1958-03-27 1960-02-23 Herbert G Wimberley Fisherman's knot tying tool
US5293489A (en) 1985-01-24 1994-03-08 Nec Corporation Circuit arrangement capable of centralizing control of a switching network
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4766569A (en) 1985-03-04 1988-08-23 Lattice Semiconductor Corporation Programmable logic array
US4635261A (en) 1985-06-26 1987-01-06 Motorola, Inc. On chip test system for configurable gate arrays
US4783738A (en) 1986-03-13 1988-11-08 International Business Machines Corporation Adaptive instruction processing by array processor having processor identification and data dependent status registers in each processing element
US4766659A (en) 1986-03-19 1988-08-30 Duane Cronenwett Method of making a cartridge for use in injecting a liquid into a tree
NL8800071A (en) 1988-01-13 1989-08-01 Philips Nv DATA PROCESSOR SYSTEM AND VIDEO PROCESSOR SYSTEM, PROVIDED WITH SUCH A DATA PROCESSOR SYSTEM.
US4893311A (en) 1988-04-25 1990-01-09 Motorola, Inc. CMOS implementation of a built-in self test input generator (BISTIG)
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
WO1991005375A1 (en) 1989-09-29 1991-04-18 Syracuse University Method and apparaus for simulating an interconnection network
US5274782A (en) 1990-08-27 1993-12-28 International Business Machines Corporation Method and apparatus for dynamic detection and routing of non-uniform traffic in parallel buffered multistage interconnection networks
US5255221A (en) 1991-04-02 1993-10-19 At&T Bell Laboratories Fully configurable versatile field programmable function element
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5260611A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
US5258668A (en) 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5274581A (en) 1992-05-08 1993-12-28 Altera Corporation Look up table implementation of fast carry for adders and counters
US6026452A (en) 1997-02-26 2000-02-15 Pitts; William Michael Network distributed site cache RAM claimed as up/down stream request/reply channel for storing anticipated data and meta data
US5471628A (en) 1992-06-30 1995-11-28 International Business Machines Corporation Multi-function permutation switch for rotating and manipulating an order of bits of an input data byte in either cyclic or non-cyclic mode
US5684980A (en) 1992-07-29 1997-11-04 Virtual Computer Corporation FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions
US5517627A (en) 1992-09-18 1996-05-14 3Com Corporation Read and write data aligner and method
JP3100478B2 (en) * 1992-10-27 2000-10-16 株式会社トプコン Laser rotary irradiation device with reciprocating laser scanning system
US5247782A (en) * 1992-11-04 1993-09-28 The Pillsbury Company Dough cutting and packing apparatus
US5357152A (en) 1992-11-10 1994-10-18 Infinite Technology Corporation Logic system of logic networks with programmable selected functions and programmable operational controls
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5414377A (en) 1992-12-21 1995-05-09 Xilinx, Inc. Logic block with look-up table for configuration and memory
AU5550194A (en) 1993-09-27 1995-04-18 Giga Operations Corporation Implementation of a selected instruction set cpu in programmable hardware
US5488612A (en) 1993-10-04 1996-01-30 International Business Machines, Corporation Method and apparatus for field testing field programmable logic arrays
US5682493A (en) 1993-10-21 1997-10-28 Sun Microsystems, Inc. Scoreboard table for a counterflow pipeline processor with instruction packages and result packages
US5436574A (en) 1993-11-12 1995-07-25 Altera Corporation Universal logic module with arithmetic capabilities
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
GB9403030D0 (en) 1994-02-17 1994-04-06 Austin Kenneth Re-configurable application specific device
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
WO1996013902A1 (en) 1994-11-01 1996-05-09 Virtual Machine Works, Inc. Programmable multiplexing input/output port
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5619665A (en) 1995-04-13 1997-04-08 Intrnational Business Machines Corporation Method and apparatus for the transparent emulation of an existing instruction-set architecture by an arbitrary underlying instruction-set architecture
US5794062A (en) * 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US5636224A (en) 1995-04-28 1997-06-03 Motorola Inc. Method and apparatus for interleave/de-interleave addressing in data communication circuits
US5850564A (en) 1995-05-03 1998-12-15 Btr, Inc, Scalable multiple level tab oriented interconnect architecture
US5802278A (en) 1995-05-10 1998-09-01 3Com Corporation Bridge/router architecture for high performance scalable networking
US5822588A (en) * 1995-06-09 1998-10-13 Sun Microsystem, Inc. System and method for checking the use of synchronization locks in a multi-threaded target program
US5696956A (en) 1995-11-08 1997-12-09 Digital Equipment Corporation Dynamically programmable reduced instruction set computer with programmable processor loading on program number field and program number register contents
US5819064A (en) 1995-11-08 1998-10-06 President And Fellows Of Harvard College Hardware extraction technique for programmable reduced instruction set computers
US5726584A (en) 1996-03-18 1998-03-10 Xilinx, Inc. Virtual high density programmable integrated circuit having addressable shared memory cells
US5986465A (en) 1996-04-09 1999-11-16 Altera Corporation Programmable logic integrated circuit architecture incorporating a global shareable expander
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5943150A (en) 1996-09-30 1999-08-24 Regents Of The University Of California Massively parallel processor networks with optical express channels
US5977793A (en) 1996-10-10 1999-11-02 Altera Corporation Programmable logic device with hierarchical interconnection resources
US5982195A (en) 1997-02-20 1999-11-09 Altera Corporation Programmable logic device architectures
US5920202A (en) 1997-02-26 1999-07-06 Xilinx, Inc. Configurable logic element with ability to evaluate five and six input functions
US5963050A (en) 1997-02-26 1999-10-05 Xilinx, Inc. Configurable logic element with fast feedback paths
AUPO648397A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Improvements in multiprocessor architecture operation
JPH1145138A (en) * 1997-07-25 1999-02-16 Mitsubishi Electric Corp High-speed bus circuit system
US6026478A (en) 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6219628B1 (en) 1997-08-18 2001-04-17 National Instruments Corporation System and method for configuring an instrument to perform measurement functions utilizing conversion of graphical programs into hardware implementations
US6167502A (en) 1997-10-10 2000-12-26 Billions Of Operations Per Second, Inc. Method and apparatus for manifold array processing
US5999734A (en) 1997-10-21 1999-12-07 Ftl Systems, Inc. Compiler-oriented apparatus for parallel compilation, simulation and execution of computer programs and hardware models
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
WO1999057649A2 (en) * 1998-05-04 1999-11-11 Intermec Ip Corporation Automatic data collection device having a network communications capability
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
US6327651B1 (en) 1998-09-08 2001-12-04 International Business Machines Corporation Wide shifting in the vector permute unit
DE19843640A1 (en) 1998-09-23 2000-03-30 Siemens Ag Procedure for configuring a configurable hardware block
US6467009B1 (en) 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6282633B1 (en) * 1998-11-13 2001-08-28 Tensilica, Inc. High data density RISC processor
US6857013B2 (en) * 1999-01-29 2005-02-15 Intermec Ip.Corp. Remote anomaly diagnosis and reconfiguration of an automatic data collection device platform over a telecommunications network
WO2000049496A1 (en) 1999-02-15 2000-08-24 Koninklijke Philips Electronics N.V. Data processor with a configurable functional unit and method using such a data processor
US6557092B1 (en) 1999-03-29 2003-04-29 Greg S. Callen Programmable ALU
US6622233B1 (en) 1999-03-31 2003-09-16 Star Bridge Systems, Inc. Hypercomputer
US6374403B1 (en) 1999-08-20 2002-04-16 Hewlett-Packard Company Programmatic method for reducing cost of control in parallel processes
DE19947892C2 (en) * 1999-10-05 2003-11-13 Infineon Technologies Ag Process for the implementation of interface definitions and intermediate format table therefor
US6353541B1 (en) * 1999-10-20 2002-03-05 Micron Pc, Llc Processor and circuit board retaining apparatus and method
US6415424B1 (en) 1999-11-09 2002-07-02 International Business Machines Corporation Multiprocessor system with a high performance integrated distributed switch (IDS) controller
US6831690B1 (en) 1999-12-07 2004-12-14 Symagery Microsystems, Inc. Electrical sensing apparatus and method utilizing an array of transducer elements
US6426648B1 (en) 1999-12-30 2002-07-30 RUPP CHARLé R. Carry lookahead for programmable logic array
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
US6874110B1 (en) 2000-05-11 2005-03-29 Stretch, Inc. Apparatus and method for self testing programmable logic arrays
US6698015B1 (en) * 2000-06-13 2004-02-24 Cisco Technology, Inc. Apparatus and method for improving performance of critical code execution
US6292388B1 (en) 2000-06-28 2001-09-18 Adaptive Silicon, Inc. Efficient and robust random access memory cell suitable for programmable logic configuration control
US6418045B2 (en) 2000-06-28 2002-07-09 Adaptive Silicon, Inc. Efficient and robust random access memory cell suitable for programmable logic configuration control
US6795900B1 (en) 2000-07-20 2004-09-21 Silicon Graphics, Inc. Method and system for storing data at input/output (I/O) interfaces for a multiprocessor system
US6986127B1 (en) 2000-10-03 2006-01-10 Tensilica, Inc. Debugging apparatus and method for systems of configurable processors
JP3664473B2 (en) * 2000-10-04 2005-06-29 インターナショナル・ビジネス・マシーンズ・コーポレーション Program optimization method and compiler using the same
US7254142B2 (en) 2000-10-31 2007-08-07 Metro Packet Systems Inc. Method of adjusting a bandwidth capacity of a dynamic channel
US6857110B1 (en) 2001-01-30 2005-02-15 Stretch, Inc. Design methodology for merging programmable logic into a custom IC
US6883084B1 (en) 2001-07-25 2005-04-19 University Of New Mexico Reconfigurable data path processor
US6744274B1 (en) 2001-08-09 2004-06-01 Stretch, Inc. Programmable logic core adapter
US7043659B1 (en) * 2001-08-31 2006-05-09 Agilent Technologies, Inc. System and method for flexible processing of management policies for managing network elements
JP3528922B2 (en) 2001-08-31 2004-05-24 日本電気株式会社 Array type processor, data processing system
EP1436721B1 (en) 2001-09-17 2010-01-13 Finlasin Technology LLC Digital signal processor for wireless baseband processing
GB2382175A (en) 2001-11-20 2003-05-21 Hewlett Packard Co Reconfigurable processor
US6799236B1 (en) * 2001-11-20 2004-09-28 Sun Microsystems, Inc. Methods and apparatus for executing code while avoiding interference
US20040208602A1 (en) 2001-12-01 2004-10-21 James Plante Free space optical communications link tolerant of atmospheric interference
US6721866B2 (en) * 2001-12-21 2004-04-13 Intel Corporation Unaligned memory operands
US7200735B2 (en) 2002-04-10 2007-04-03 Tensilica, Inc. High-performance hybrid processor with configurable execution units
US6963962B2 (en) 2002-04-11 2005-11-08 Analog Devices, Inc. Memory system for supporting multiple parallel accesses at very high frequencies
US6732354B2 (en) 2002-04-23 2004-05-04 Quicksilver Technology, Inc. Method, system and software for programming reconfigurable hardware
US7937559B1 (en) * 2002-05-13 2011-05-03 Tensilica, Inc. System and method for generating a configurable processor supporting a user-defined plurality of instruction sizes
WO2004010320A2 (en) 2002-07-23 2004-01-29 Gatechance Technologies, Inc. Pipelined reconfigurable dynamic instruciton set processor
US7086047B1 (en) 2002-12-04 2006-08-01 Xilinx, Inc. Determining hardware generated by high level language compilation through loop optimizations
EP1443417A1 (en) 2003-01-31 2004-08-04 STMicroelectronics S.r.l. A reconfigurable signal processor with embedded flash memory device
US7178062B1 (en) * 2003-03-12 2007-02-13 Sun Microsystems, Inc. Methods and apparatus for executing code while avoiding interference
US7269616B2 (en) 2003-03-21 2007-09-11 Stretch, Inc. Transitive processing unit for performing complex operations
US7590829B2 (en) 2003-03-31 2009-09-15 Stretch, Inc. Extension adapter
US7000211B2 (en) 2003-03-31 2006-02-14 Stretch, Inc. System and method for efficiently mapping heterogeneous objects onto an array of heterogeneous programmable logic resources
US7373642B2 (en) 2003-07-29 2008-05-13 Stretch, Inc. Defining instruction extensions in a standard programming language
US7412684B2 (en) 2004-05-28 2008-08-12 Peter Pius Gutberlet Loop manipulation in a behavioral synthesis tool

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
YE, A. ET AL.: "CHIMAERA: A High Performance Architecture with a Tightly-Coupled Reconfigurable Functional Unit", INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE IEEE, 10 June 2000 (2000-06-10) - 14 June 2000 (2000-06-14), pages 225 - 235, XP001003062 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109558169A (en) * 2018-11-28 2019-04-02 中国电子科技集团公司第四十七研究所 A kind of microprocessor instruction set on-line reconfiguration method

Also Published As

Publication number Publication date
US20050273581A1 (en) 2005-12-08
US20100005338A1 (en) 2010-01-07
US7421561B2 (en) 2008-09-02
US7284114B2 (en) 2007-10-16
US20050027970A1 (en) 2005-02-03
US20050027944A1 (en) 2005-02-03
US7373642B2 (en) 2008-05-13
US7610475B2 (en) 2009-10-27
US6954845B2 (en) 2005-10-11
US20050027971A1 (en) 2005-02-03
US20050169550A1 (en) 2005-08-04

Similar Documents

Publication Publication Date Title
US6954845B2 (en) Reconfigurable instruction set computing
US7565631B1 (en) Method and system for translating software binaries and assembly code onto hardware
Cronquist et al. Specifying and compiling applications for RaPiD
Cardoso et al. Macro-based hardware compilation of Java/sup TM/bytecodes into a dynamic reconfigurable computing system
US8448150B2 (en) System and method for translating high-level programming language code into hardware description language code
US5696956A (en) Dynamically programmable reduced instruction set computer with programmable processor loading on program number field and program number register contents
US20070219771A1 (en) Branching and Behavioral Partitioning for a VLIW Processor
US20070129926A1 (en) Hardware acceleration system for simulation of logic and memory
Yu et al. Vector processing as a soft-core CPU accelerator
US10733343B2 (en) System and method for the design of digital hardware
Prakash et al. Cfu playground: Full-stack open-source framework for tiny machine learning (tinyml) acceleration on fpgas
Chattopadhyay et al. LISA: A uniform ADL for embedded processor modeling, implementation, and software toolsuite generation
Lanzagorta et al. Introduction to reconfigurable supercomputing
La Rosa et al. Hardware/software design space exploration for a reconfigurable processor
Stitt et al. Techniques for synthesizing binaries to an advanced register/memory structure
Zaretsky et al. Overview of the FREEDOM compiler for mapping DSP software to FPGAs
Reese Uncle (unified NCL environment)
Al Kadi et al. Integer computations with soft GPGPU on FPGAs
Tanaka et al. A code selection method for SIMD processors with PACK instructions
Yenimol Hardware/software co-design of domain-specific risc-v processor for graph applications
Bell et al. Computations and Compilers
Cowley Extending the Capabilities of Von Neumann with a Dataflow Sub-ISA
Vanderbauwhede et al. MORA: High-Level FPGA Programming Using a Many-Core Framework
Ast et al. Xputer: ASIC or Standard Circuit?
Thongkaew et al. Register-Based Process Virtual Machine Acceleration Using Hardware Extension with Hybrid Execution

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)
122 Ep: pct application non-entry in european phase