WO2005000552A2 - Method to reduce adhesion between a conformable region and a pattern of a mold - Google Patents

Method to reduce adhesion between a conformable region and a pattern of a mold Download PDF

Info

Publication number
WO2005000552A2
WO2005000552A2 PCT/US2004/018857 US2004018857W WO2005000552A2 WO 2005000552 A2 WO2005000552 A2 WO 2005000552A2 US 2004018857 W US2004018857 W US 2004018857W WO 2005000552 A2 WO2005000552 A2 WO 2005000552A2
Authority
WO
WIPO (PCT)
Prior art keywords
conformable
composition
recited
mold
forming
Prior art date
Application number
PCT/US2004/018857
Other languages
French (fr)
Other versions
WO2005000552A3 (en
Inventor
Byung-Jin Choi
Frank Y. Xu
Nicholas A. Stacey
Van N. Truskett
Michael P.C. Watts
Original Assignee
Molecular Imprints, Inc.
Board Of Regents, The University Of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=33517095&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2005000552(A2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Molecular Imprints, Inc., Board Of Regents, The University Of Texas System filed Critical Molecular Imprints, Inc.
Priority to EP04776543.3A priority Critical patent/EP1633545B1/en
Priority to JP2006517254A priority patent/JP4791357B2/en
Priority to KR1020057024135A priority patent/KR101202653B1/en
Publication of WO2005000552A2 publication Critical patent/WO2005000552A2/en
Publication of WO2005000552A3 publication Critical patent/WO2005000552A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to patterning substrates in furtherance of the formation of structures.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro- fabrication has had a sizeable impact is in the processing of integrated circuits . As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important . Micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technplogy, mechanical systems and the like.
  • FIG. 6 An exemplary micro-fabrication technique is shown in United States patent number 6,334,960 to Willson et al .
  • Willson et al disclose a method of forming a relief image in a structure.
  • the method includes providing a substrate having a transfer layer.
  • the transfer layer is covered with a polymerizable fluid composition.
  • a mold makes mechanical contact with the polymerizable fluid.
  • the mold includes a relief structure, and the polymerizable fluid composition fills the relief structure.
  • the polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold.
  • the mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer.
  • An important characteristic with accurately forming the pattern in the polymeric material is to reduce, if not prevent, adhesion of trie polymeric material, and/or, transfer layer, to "the mold. These are referred to as release characteristics. In this manner, the pattern recorded in the polymeric material and/or transfer layer is not distorted during separation of the mold therefrom. To improve the release characteristics, Willson et al . form a release layer on the surface of the mold.
  • the release layer is typically hydrophobic and/or has low surface energy.
  • the release layer adheres to the mold and to either the transfer layer or the polymeric material. Providing the transfer layer with improved release characteristics minimizes distortions in the pattern recorded into the polymeric material and/or the transfer layer that are attributable to mold separation.
  • This type of release layer is referred to, for purposes of the present discussion, as an a pxriori release layer, i.e., a release layer that is solidified to the mold.
  • Another prior art attempt to improve release characteristics is described by Bender et al. in Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues, Microeletronic Engineering 61-62 (2002), pp. 407-413.
  • Bender et al. employ a mold having an a priori release layer in conjunction with a fluorine-treated UV curable material .
  • a UV curable layer is applied to a substrate by spin-coating a 200 CPS UV curable fluid to form a UV curable layer.
  • the UV curable layer is enriched with fluorine groups to improve the release characteristics.
  • a priori release layers typically have a limited operational life. As a result, a single mold may be coated multiple times with an a priori release layer. This can result in several hours of clown-time for a given mold, reducing throughput. Additionally, the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed. [0007] There is a need, therefore, to improve the release characteristics of a mold employed in imprint lithography processes.
  • the present invention provicies a method to reduce adhesion between a substrate and a pattern of a mold.
  • the method features forming a conformable material on the substrate and contacting the conformable material with the surface.
  • a conditioned layer is formed from the conformable material.
  • the conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first is greater than the second affinity.
  • a subset of the second sub- portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised.
  • Fig. 1 is a perspective view of a lithographic system in accordance with the present invention
  • Fig. 2 is a simplified elevation view of a lithographic system shown in Fig. 1
  • Fig. 3 is a simplified representation of material from which an imprinting layer, shown in Fig. 2, is comprised before being polymerized and cross-linked
  • Fig. 4 is a simplified representation of cross- linked polymer material into which the material shown in Fig. 3 is transformed after being subjected to radiation
  • Fig. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in Fig. 1, after patterning of the imprinting layer;
  • FIG. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention.
  • FIG. 7 is a simplified elevation view of imprint material disposed on a substrate in accordance with an alternate embodiment
  • Fig. 8 is a simplified elevation view of imprint material, shown in Fig. 6, after contact with the mold.
  • Fig. 9 is a flow diagram showing pattern priming in accordance with an alternate embodiment of the present invention.
  • Fig. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced- apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X and " Y axes. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.
  • a template 26 having a mold 28 thereon.
  • Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b.
  • the plurality of features defines an original pattern that is to be transferred into a substrate 31 positioned on motion stage 20.
  • Substrate 31 may comprise of a bare wafer or a wafer -with one or more layers disposed thereon.
  • imprint head 18 is adapted to move along the Z axis and vary a distance "d" between mold 28 and substrate 31. In this manner, the features on mold 28 may be imprinted into a conformable region of substrate 31, discussed more fully below.
  • a conformable region such as an imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. It should be understood that the conformable region may be formed using any known technique to produce conformable material on such as a hot embossing process disclosed in United States patent number 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al.
  • LADI laser assisted direct imprinting
  • conformable region consists of imprinting layer 34 being deposited as a plurality of spaced—apart discrete droplets 36 of material 36a on substrate 31, discussed more fully below.
  • Imprinting layer 34 is formed from a material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern.
  • Material 36a is shown in Fig. 4 as being cross-linked at points 36b, forming cross-linked polymer material 36c.
  • imprint head 18 reduces the distance "d" to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32.
  • distance d" is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a.
  • material 36a is provided with the requisite properties to completely fill recessions 28a while covering surface 32 with a contiguous formation of material 36a.
  • sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum distance "d", has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness, t 2 .
  • Thicknesses "ti" and w t 2 " may be any thickness desired, dependent upon the application.
  • ti is selected so as to be no greater than twice the width u of sub-portions 34a, i.e., ti ⁇ 2u, shown more clearly in Fig. 5.
  • radiation source 22 produces actinic radiation that polymerizes and crosslinks material 36a, forming polymer material 36c in which a substantial portion thereof is cross-linked.
  • material 36a transforms to material 36c, which is a solid, forming imprinting layer 134, shown in Fig. 5.
  • material 36c is solidified to provide side 34c of imprinting layer 134 with a shape conforming to a shape of a surface 28c of mold 28, with imprinting layer 134 having recesses 30.
  • imprint head 18, shown, in Fig. 2 is moved to increase distance "d" so that mold 28 and imprinting layer 134 are spaced-apart.
  • substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31, providing a patterned surface (not shown) .
  • the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31, as desired.
  • imprinting layer 134 may be provided with an etch differential with respect to photoresist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 134, using known techniques.
  • etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134.
  • exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • an exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed.
  • the selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired.
  • the plurality of features on mold 28 are shown as recessions 28a extending along a direction parallel to protrusions 28b that provide a cross-section of mold 28 with a shape of a battlement.
  • recessions 28a and protrusions 28b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers .
  • the pattern , produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1.
  • one embodiment of mold 28 has recessions 28a defining an aspect ratio in a range of 1:1 to 10:1.
  • protrusions 28b have a width Wi in a range of about 10 nm to about 5000 ⁇ m
  • recessions 28a have a width W 2 in a range of 10 nm to about 5000 ⁇ m.
  • mold 28 and/or template 26 may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the liJ e.
  • material 36a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36. The combined volume of droplets 36 is such that the material 36a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed.
  • imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation.
  • radiation such as ultraviolet radiation.
  • material 36a have certain characteristics to facilitate rapid and even spreading of material 36a in droplets -36 over surface 32 so that all thicknesses ti are substantially uniform and all thicknesses t 2 are substantially uniform.
  • the desirable characteristics include having a low viscosity, e . g . , in a range of 0.5 to 5 centepoise (csp) , as well as the ability to wet surface of substrate 31 and/or mold 28 and to avoid subsequent pit or hole formation after polymerization.
  • imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub- portions 34b, shown in Fig. 5.
  • the constituent components that form material 36a to provide the aforementioned characteristics may differ. This results from substrate 31 heing formed from a number of different materials. As a result, the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed.
  • substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury tellizride, and composites thereof. Additionally, substrate 31 may include one or more layers in sub-portion 34b, e.g., dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
  • an exemplary composition for material 36a is as follows:
  • COMPOSITION 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-l-phenyl-propan-l-one
  • isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprised approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy- 2-methyl-l-phenyl-propan-l-one comprised approximately 3%.
  • the initiator is sold under the trade name DAROCUR ® 1173 by CIBA ® of Tarrytown, New York.
  • Th.e above- identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition.
  • COMPOSITION 1 is typically employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, i.e. an a priori release layer.
  • the composition from which material 36a is formed may include an additive that reduces the surface tension of COMPOSITION 1.
  • material 36a may include, as an additive, a surfactant.
  • a surfactant is defined as any molecule, one tail of which is hydrophobic.
  • Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure.
  • This provides material 36a with the following composition:
  • COMPOSITION 2 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-l-one R f CH 2 CH 2 0 (CH 2 CH 2 0) X H,
  • the ZONYL ® FSO-lOO additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZON ⁇ L ® FSO-lOO may be greater than 1%.
  • An advantage provided by COMPOSITION 2 is that it abrogates the need for an a priori release layer, i.e., a separate hydrophobic and/or low surface energy release layer disposed on mold 28. Specifically, COMPOSITION 2 provides desirable release properties to mold 28 and imprinting layer 34 so that material 36c, shown in Fig. 4, does not adhere to mold 28 with sufficient force to distort the pattern recorded therein.
  • interface 136a defines a first interfacial energy step associated therewith
  • second interface 137a defines a second interfacial energy step, with the first interfacial energy step being greater than the second interfacial energy step.
  • the first interfacial energy step is defined by the difference in surface energy of mold 28 and surface tension of material 36c in region 136.
  • the second interfacial surface energy is defined by the adhesion of material 36c associated with region 136 for material 36c associated with region 137.
  • an additional advantage provided by COMPOSITION 2 is that the time required to wet mold 28 and, therefore, spread droplets 36 may be reduced. Specifically, by abrogating the need to have an a priori release layer on mold 28, the surface of mold 28 may be provided with a high surface energy, e.g., 60 to 250 milli-Newtons/meter . The wettability of the surface of mold 28 for COMPOSITION 2, as defined by the contact angle method, may be in a range of 10 degrees or less. This minimizes the time required to fill the features of the pattern on mold 28.
  • the ZONYL ® FSO-lOO additive provides COMPOSITION 2 with a wettability, as defined by the contact angle method, in a range of 75 to 90 degrees, thereby augmenting the wettability of mold 28, thereby further reducing the time required to spread droplets 36.
  • COMPOSITION 2 may be employed with an a priori release layer, such as those known in the prior art, to further improve release properties.
  • Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface.
  • An exemplary additive is a surfactant.
  • mold 28 was exposed to a mixture that included approximately 0.1% or more of ZONYL ® FSO-lOO with the remainder comprising isopropyl alcohol (IPA) .
  • Exposure of the pattern may be achieved by virtually any manner known in the art, including dipping the pattern into a volume of the conditioning mixture, wiping the pattern with a cloth saturated with the conditioning mixture and spraying a stream of the conditioning mixture onto the surface.
  • the IPA in the conditioning mixture is then allowed to evaporate before using the mold 28. In this manner, the IPA facilitates removing, from the pattern, undesired contaminants while leaving the additive, thereby conditioning the surface of the pattern.
  • the conditioning mixture may be employed with COMPOSITION 2 to augment improvement of the release properties provided by COMPOSITION 2.
  • the additive in the conditioning mixture may be the same or differ from the additive in COMPOSITION 2.
  • the conditioning mixture may be employed with COMPOSITION 1, or any other polymerizable material suitable for imprint lithography, as well as other imprint processes such as the hot embossing and laser assisted imprint processes.
  • Another technique for conditioning the pattern of mold 28 employs pattern priming. Pattern priming is achieved by selectively contacting the conformable region with the pattern a sufficient number of times to accurately reproduce, in the conformable region, a pattern complementary to the initial pattern. Specifically, it was found that by repeatably contacting imprint material 36a, shown in Fig. 3, the complementary pattern formed improves with each successive imprint.
  • the pattern priming technique may be employed in combination with the aforementioned conditioning mixture and either COMPOSITION 1 or COMPOSITION 2 and, or with COMPOSITION 2, alone, i.e., COMPOSITION 2 without use of the conditioning mixture. It is believed that the number of imprints required to be produced before an accurate complementary reproduction of the pattern occurs is inversely proportional to the quantity of additive in COMPOSITION 2. Specifically, it is believed that by increasing the quantity of the additive in COMPOSITION 2 that the number of imprints required before an accurate complementary reproduction of the pattern occurs . [0040] Referring to Figs.
  • imprint priming would include forming a conformable material on a first substrate, defining a priming substrate at step 300.
  • mold 28 contacts the conformable region a sufficient number of times to accurately reproduce, in the conformable material on the priming substrate, the pattern on mold 28.
  • mold 28 is placed in contact with a first sub- portion of the conformable material. Thereafter, the first sub-portion is polymerized and mold 28 is spaced- part therefrom. Mold 28 is then placed in contact with a second sub-portion of the conformable material, which is spaced-apart from the first sub-portion.
  • the conformable material associated with the second sub-portion is polymerized and the process is repeated until an accurate pattern is recorded in the conformable material that is complementary to the pattern on mold 28. In this manner a primed mold is generated.
  • the primed mold is placed in contact with conformable material on a second substrate, referred to as a process substrate. Thereafter, the pattern may be recorded therein by polymerizing the conformable material using well known imprint lithography techniques. In this manner, the primed mold may be employed to complete the patterning of the process substrate.

Abstract

The present invention provides a method to reduce adhesion between a conformable region on a substrate and a pattern of a mold, which selectively comes into contact with the conformable region. The method features forming a conformable material on the substrate and contacting the conformable material with the surface. A conditioned layer is formed from the conformable material. The conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first affinity is greater than the second affinity. In this fashion, upon separation of the mold from the conditioned layer, a subset of the second sub-portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised.

Description

METHOD TO REDUCE ADHESION BETWEEN A CONFORMABLE REGΣON AND A PATTERN OP A MOLD BACKGROUND OF THE INVENTION [0001] The field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to patterning substrates in furtherance of the formation of structures. [0002] Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro- fabrication has had a sizeable impact is in the processing of integrated circuits . As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important . Micro-fabrication provides greater process control while allowing a reduction in the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technplogy, mechanical systems and the like.
[0003] An exemplary micro-fabrication technique is shown in United States patent number 6,334,960 to Willson et al . Willson et al . disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold. The mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. [0004] An important characteristic with accurately forming the pattern in the polymeric material is to reduce, if not prevent, adhesion of trie polymeric material, and/or, transfer layer, to "the mold. These are referred to as release characteristics. In this manner, the pattern recorded in the polymeric material and/or transfer layer is not distorted during separation of the mold therefrom. To improve the release characteristics, Willson et al . form a release layer on the surface of the mold. The release layer is typically hydrophobic and/or has low surface energy. The release layer adheres to the mold and to either the transfer layer or the polymeric material. Providing the transfer layer with improved release characteristics minimizes distortions in the pattern recorded into the polymeric material and/or the transfer layer that are attributable to mold separation. This type of release layer is referred to, for purposes of the present discussion, as an a pxriori release layer, i.e., a release layer that is solidified to the mold. [0005] Another prior art attempt to improve release characteristics is described by Bender et al. in Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues, Microeletronic Engineering 61-62 (2002), pp. 407-413. Specifically, Bender et al. employ a mold having an a priori release layer in conjunction with a fluorine-treated UV curable material . To that end, a UV curable layer is applied to a substrate by spin-coating a 200 CPS UV curable fluid to form a UV curable layer. The UV curable layer is enriched with fluorine groups to improve the release characteristics. [0006] A priori release layers, however, typically have a limited operational life. As a result, a single mold may be coated multiple times with an a priori release layer. This can result in several hours of clown-time for a given mold, reducing throughput. Additionally, the molecular structure of the a priori release layer may limit the minimization of the minimum feature dimension that is printed. [0007] There is a need, therefore, to improve the release characteristics of a mold employed in imprint lithography processes.
SUMMARY OF THE INVENT?ION [0008] The present invention provicies a method to reduce adhesion between a substrate and a pattern of a mold. The method features forming a conformable material on the substrate and contacting the conformable material with the surface. A conditioned layer is formed from the conformable material. The conditioned layer has first and second sub-portions, with the first sub-portion being solidified and the second sub-portion having a first affinity for the surface and a second affinity for the first sub-portion. The first is greater than the second affinity. In this fashion, upon separation of the mold from the conditioned layer, a subset of the second sub- portion maintains contact with the mold, thereby reducing the probability that a pattern formed in the conditioned layer becomes compromised. These and other embodiments are described herein.
BRIEF DESCRIPTION OF THE DRAWINGS [0009] Fig. 1 is a perspective view of a lithographic system in accordance with the present invention; [0010] Fig. 2 is a simplified elevation view of a lithographic system shown in Fig. 1; [0011] Fig. 3 is a simplified representation of material from which an imprinting layer, shown in Fig. 2, is comprised before being polymerized and cross-linked; [0012] Fig. 4 is a simplified representation of cross- linked polymer material into which the material shown in Fig. 3 is transformed after being subjected to radiation; [0013] Fig. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in Fig. 1, after patterning of the imprinting layer;
[0014] Fig. 6 is a simplified elevation view of imprint material disposed on a substrate in accordance with the present invention;
[0015] Fig. 7 is a simplified elevation view of imprint material disposed on a substrate in accordance with an alternate embodiment;
[0016] Fig. 8 is a simplified elevation view of imprint material, shown in Fig. 6, after contact with the mold; and
[0017] Fig. 9 is a flow diagram showing pattern priming in accordance with an alternate embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION [0018] Fig. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced- apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X and "Y axes. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22. [0019] Referring to both Figs. 1 and 2, connected to imprint head 18 is a template 26 having a mold 28 thereon. Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b. The plurality of features defines an original pattern that is to be transferred into a substrate 31 positioned on motion stage 20. Substrate 31 may comprise of a bare wafer or a wafer -with one or more layers disposed thereon. To that end, imprint head 18 is adapted to move along the Z axis and vary a distance "d" between mold 28 and substrate 31. In this manner, the features on mold 28 may be imprinted into a conformable region of substrate 31, discussed more fully below. Radiation source 22 is located so that ιαold 28 is positioned between radiation source 22 and substrate 31. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22. [0020] Referring to both Figs. 2 and 3, a conformable region, such as an imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. It should be understood that the conformable region may be formed using any known technique to produce conformable material on such as a hot embossing process disclosed in United States patent number 5,772,905 to Chou, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002. In the present embodiment, however, conformable region consists of imprinting layer 34 being deposited as a plurality of spaced—apart discrete droplets 36 of material 36a on substrate 31, discussed more fully below. Imprinting layer 34 is formed from a material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. Material 36a is shown in Fig. 4 as being cross-linked at points 36b, forming cross-linked polymer material 36c.
[0021] Referring to Figs. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, imprint head 18 reduces the distance "d" to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading droplets 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32. In one embodiment, distance d" is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and fill recessions 28a.
[0022] To facilitate filling of recessions 28a, material 36a is provided with the requisite properties to completely fill recessions 28a while covering surface 32 with a contiguous formation of material 36a. In the present embodiment, sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum distance "d", has been reached, leaving sub-portions 34a with a thickness ti, and sub-portions 34b with a thickness, t2. Thicknesses "ti" and wt2" may be any thickness desired, dependent upon the application. Typically, ti is selected so as to be no greater than twice the width u of sub-portions 34a, i.e., ti < 2u, shown more clearly in Fig. 5.
[0023] Referring to Figs. 2, 3 and 4, after a desired distance Λλd" has been reached, radiation source 22 produces actinic radiation that polymerizes and crosslinks material 36a, forming polymer material 36c in which a substantial portion thereof is cross-linked. As a result, material 36a transforms to material 36c, which is a solid, forming imprinting layer 134, shown in Fig. 5. Specifically, material 36c is solidified to provide side 34c of imprinting layer 134 with a shape conforming to a shape of a surface 28c of mold 28, with imprinting layer 134 having recesses 30. After imprinting layer 134 is transformed to consist of material 36c, shown in Fig. 4, imprint head 18, shown, in Fig. 2, is moved to increase distance "d" so that mold 28 and imprinting layer 134 are spaced-apart.
[0024] Referring to Fig. 5, additional processing may be employed to complete the patterning of substrate 31. For example, substrate 31 and imprinting layer 134 may be etched to transfer the pattern of imprinting layer 134 into substrate 31, providing a patterned surface (not shown) . To facilitate etching, the material from which imprinting layer 134 is formed may be varied to define a relative etch rate with respect to substrate 31, as desired. [0025] To that end, imprinting layer 134 may be provided with an etch differential with respect to photoresist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 134, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form substrate 31 and imprinting layer 134. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like. [0026] Referring to both Figs. 1 and 2, an exemplary radiation source 22 may produce ultraviolet radiation; however, any known radiation source may be employed. The selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired. Furthermore, the plurality of features on mold 28 are shown as recessions 28a extending along a direction parallel to protrusions 28b that provide a cross-section of mold 28 with a shape of a battlement. However, recessions 28a and protrusions 28b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers . [0027] Referring to Figs. 1, 2 and 5, the pattern , produced by the present patterning technique may be transferred into substrate 31 to provide features having aspect ratios as great as 30:1. To that end, one embodiment of mold 28 has recessions 28a defining an aspect ratio in a range of 1:1 to 10:1. Specifically, protrusions 28b have a width Wi in a range of about 10 nm to about 5000 μm, and recessions 28a have a width W2 in a range of 10 nm to about 5000 μm. As a result, mold 28 and/or template 26, may be formed from various conventional materials, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, hardened sapphire and the liJ e. [0028] Referring to Figs. 1, 2 and 3, the characteristics of material 36a are important to efficiently pattern substrate 31 in light of the unique deposition process employed. As mentioned above, material 36a is deposited on substrate 31 as a plurality of discrete and spaced-apart droplets 36. The combined volume of droplets 36 is such that the material 36a is distributed appropriately over an area of surface 32 where imprinting layer 34 is to be formed. As a result, imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set into imprinting layer 34 by exposure to radiation, such as ultraviolet radiation. As a result of the deposition process, it is desired that material 36a have certain characteristics to facilitate rapid and even spreading of material 36a in droplets -36 over surface 32 so that all thicknesses ti are substantially uniform and all thicknesses t2 are substantially uniform. The desirable characteristics include having a low viscosity, e . g . , in a range of 0.5 to 5 centepoise (csp) , as well as the ability to wet surface of substrate 31 and/or mold 28 and to avoid subsequent pit or hole formation after polymerization. With these characteristics satisfied, imprinting layer 34 may be made sufficiently thin while avoiding formation of pits or holes in the thinner regions, such as sub- portions 34b, shown in Fig. 5. [0029] The constituent components that form material 36a to provide the aforementioned characteristics may differ. This results from substrate 31 heing formed from a number of different materials. As a result, the chemical composition of surface 32 varies dependent upon the material from which substrate 31 is formed. For example, substrate 31 may be formed from silicon, plastics, gallium arsenide, mercury tellizride, and composites thereof. Additionally, substrate 31 may include one or more layers in sub-portion 34b, e.g., dielectric layer, metal layer, semiconductor layer, planarization layer and the like.
[0030] Referring to Figs. 1, 2 and 3, an exemplary composition for material 36a is as follows:
COMPOSITION 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-l-phenyl-propan-l-one
In an exemplary composition, isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprised approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy- 2-methyl-l-phenyl-propan-l-one comprised approximately 3%. The initiator is sold under the trade name DAROCUR® 1173 by CIBA® of Tarrytown, New York. Th.e above- identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition. To provide suitable release properties, COMPOSITION 1 is typically employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, i.e. an a priori release layer. [0031] To improve the release properties of mold 28 and imprinting layer 34 and to ensure that imprinting layer 34 does not adhere to mold 28, the composition from which material 36a is formed may include an additive that reduces the surface tension of COMPOSITION 1. To that end, material 36a may include, as an additive, a surfactant. For purposes of this invention a surfactant is defined as any molecule, one tail of which is hydrophobic. Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure. An exemplary surfactant is available under the trade name ZONYL® FSO-lOO from DUPONT™ that has a general structure of RχR2 where Ri = F(CF2CF2)Y, with y being in a range of 1 to 7, inclusive and R2 = CH2CH20(CH2CH20)xH, where X is in a range of 0 to 15, inclusive. This provides material 36a with the following composition:
COMPOSITION 2 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-l-one RfCH2CH20 (CH2CH20) XH,
The ZONYL® FSO-lOO additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONΥL® FSO-lOO may be greater than 1%. [0032] An advantage provided by COMPOSITION 2 is that it abrogates the need for an a priori release layer, i.e., a separate hydrophobic and/or low surface energy release layer disposed on mold 28. Specifically, COMPOSITION 2 provides desirable release properties to mold 28 and imprinting layer 34 so that material 36c, shown in Fig. 4, does not adhere to mold 28 with sufficient force to distort the pattern recorded therein. It is believed that the ZONYL® FSO-lOO becomes concentrated in a first region of material 36a, shown in Fig. 3. The polymerizable compound becomes concentrated in a second region of material 36a. [0033] Referring to Fig. 6 droplets 36 would have a higher concentration of the ZONYL® FSO-lOO additive in region 136, compared with region 137, in which, the polymerizable compound1 is concentrated. Were spin-on techniques employed, the additive would be concentrated in a region 236, and the polymerizable compound being concentrated in region 237, shown in Fig. 7. [0034] Referring to Figs. 3, 4 and 8, regardless of the deposition process involved, upon contact with material 36a and exposure to actinic radiation, material 36a is transformed to material 36c and a first interface 136a is defined between region 136 and mold 28. A second interface 137a is formed between regions 136 and 137. It is believed that some portion of material 36c associated with region 136, if not all, has an affinity for the mold 28 that is greater than the attraction between that portion and the material 36c associated with region 137. As a result, upon separation of mold 28 from material 36c, a sub-section, or all of sub-portions 3 a and 34b, shown in Fig. 5, separates from region 137, thereby minimizing damage to the pattern recorded in material 36c due to adhesion forces between mold 28 and material 36c. [0035] Specifically, interface 136a defines a first interfacial energy step associated therewith, and second interface 137a defines a second interfacial energy step, with the first interfacial energy step being greater than the second interfacial energy step. The first interfacial energy step is defined by the difference in surface energy of mold 28 and surface tension of material 36c in region 136. The second interfacial surface energy is defined by the adhesion of material 36c associated with region 136 for material 36c associated with region 137. In the present example, COMPOSITION 2 provides region 136 with a surface tension in a range of 20-35 milli-Newtons/meter, with one milli-Joule/cm2 = 1 milli- Newton/meter . As a result, the interfacial surface energy step at interface 136a is sufficiently large to overcome the interfacial energy step at interface 137. [0036] Referring to Fig. 2, an additional advantage provided by COMPOSITION 2 is that the time required to wet mold 28 and, therefore, spread droplets 36 may be reduced. Specifically, by abrogating the need to have an a priori release layer on mold 28, the surface of mold 28 may be provided with a high surface energy, e.g., 60 to 250 milli-Newtons/meter . The wettability of the surface of mold 28 for COMPOSITION 2, as defined by the contact angle method, may be in a range of 10 degrees or less. This minimizes the time required to fill the features of the pattern on mold 28. Further, the ZONYL® FSO-lOO additive provides COMPOSITION 2 with a wettability, as defined by the contact angle method, in a range of 75 to 90 degrees, thereby augmenting the wettability of mold 28, thereby further reducing the time required to spread droplets 36. Of course, COMPOSITION 2 may be employed with an a priori release layer, such as those known in the prior art, to further improve release properties. [0037] Another manner by which to improve the release properties of mold 28 includes conditioning the pattern of mold 28 by exposing the same to a conditioning mixture including an additive that will remain on mold 28 to reduce the surface energy of the mold surface. An exemplary additive is a surfactant.
[0038] In a specific example, mold 28 was exposed to a mixture that included approximately 0.1% or more of ZONYL® FSO-lOO with the remainder comprising isopropyl alcohol (IPA) . Exposure of the pattern may be achieved by virtually any manner known in the art, including dipping the pattern into a volume of the conditioning mixture, wiping the pattern with a cloth saturated with the conditioning mixture and spraying a stream of the conditioning mixture onto the surface. The IPA in the conditioning mixture is then allowed to evaporate before using the mold 28. In this manner, the IPA facilitates removing, from the pattern, undesired contaminants while leaving the additive, thereby conditioning the surface of the pattern. The conditioning mixture may be employed with COMPOSITION 2 to augment improvement of the release properties provided by COMPOSITION 2. The additive in the conditioning mixture may be the same or differ from the additive in COMPOSITION 2. Alternatively, the conditioning mixture may be employed with COMPOSITION 1, or any other polymerizable material suitable for imprint lithography, as well as other imprint processes such as the hot embossing and laser assisted imprint processes. [0039] Another technique for conditioning the pattern of mold 28 employs pattern priming. Pattern priming is achieved by selectively contacting the conformable region with the pattern a sufficient number of times to accurately reproduce, in the conformable region, a pattern complementary to the initial pattern. Specifically, it was found that by repeatably contacting imprint material 36a, shown in Fig. 3, the complementary pattern formed improves with each successive imprint. After a sufficient number of imprints, an accurate complementary reproduction of the pattern in mold 28 is formed. The pattern priming technique may be employed in combination with the aforementioned conditioning mixture and either COMPOSITION 1 or COMPOSITION 2 and, or with COMPOSITION 2, alone, i.e., COMPOSITION 2 without use of the conditioning mixture. It is believed that the number of imprints required to be produced before an accurate complementary reproduction of the pattern occurs is inversely proportional to the quantity of additive in COMPOSITION 2. Specifically, it is believed that by increasing the quantity of the additive in COMPOSITION 2 that the number of imprints required before an accurate complementary reproduction of the pattern occurs . [0040] Referring to Figs. 2 and 9, in operation, imprint priming would include forming a conformable material on a first substrate, defining a priming substrate at step 300. At step 302, mold 28 contacts the conformable region a sufficient number of times to accurately reproduce, in the conformable material on the priming substrate, the pattern on mold 28. In one example, mold 28 is placed in contact with a first sub- portion of the conformable material. Thereafter, the first sub-portion is polymerized and mold 28 is spaced- part therefrom. Mold 28 is then placed in contact with a second sub-portion of the conformable material, which is spaced-apart from the first sub-portion. The conformable material associated with the second sub-portion is polymerized and the process is repeated until an accurate pattern is recorded in the conformable material that is complementary to the pattern on mold 28. In this manner a primed mold is generated. At step 304 the primed mold is placed in contact with conformable material on a second substrate, referred to as a process substrate. Thereafter, the pattern may be recorded therein by polymerizing the conformable material using well known imprint lithography techniques. In this manner, the primed mold may be employed to complete the patterning of the process substrate.
[0041] The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents .

Claims

WHAT IS CLAIMED IS: 1. A method of reducing adhesion forces between a substrate and a mold having a patterned region with a surface, said method comprising: forming a conformable material on said substrate; contacting said conformable material with said surface; and forming a conditioned layer from said conformable material having first and second sub- portions, with said first sub-portion being solidified and said second sub-portion having a first affinity for said surface and a second affinity for said first sub- portion, with said first affinity being greater than said second affinity.
2. The method as recited in claim 1 wherein forming said conformable material further includes forming said conditioned layer while said surface is in contact with said conformable material and further including separating said surface from said conditioned layer with a subset of said second sub-portion maintaining contact with said conformable material so that said subset becomes spaced-apart from said first sub-portion.
3. The method as recited in claim 1 further including conditioning said surface to be hydrophilic before contacting said conformable material.
4. The method as recited in claim 1 further including exposing said surface to a conditioning agent having a surfactant before contacting said conformable material .
5. The method as recited in claim 4 wherein exposing said surface further includes formulating said conditioning agent with a mixture of isopropyl alcohol and ZONYL® FSO-lOO.
6. The method as recited in claim 1 wherein forming said conformable material further includes depositing a composition including a polymerizable compound and a surfactant and contacting said conformable material further includes forming an interface between said surface and said composition, with a substantial portion of said interface comprising said surfactant.
7. The method as recited in claim 1 wherein forming said conformable aterial further includes depositing a composition comprising isobornyl acrylate, n-hexyl acrylate, ethylene glycol diacrylate and 2- hydroxy-2-methyl-l-phenyl—propan-1-one and RχR2.
8. The method as recited in claim 1 wherein forming said conformable material further includes depositing a plurality of drops of a conformable composition upon said substrate.
9. The method as recited in claim 1 wherein forming said conformable material further includes depositing said conformable composition upon said substrate employing spin- coating techniques.
10. The method as recited in claim 1 further including sequentially contacting said conformable composition a sufficient number of times to accurately reproduce, in said conformable material, a pattern complementary to a shape of said patterned region.
11. A method of reducing adhesion forces between a conformable material on a substrate and a mold having an initial pattern, said method comprising: forming said conformable material on said substrate by depositing, upon said substrate, a. conformable composition having a polymerizable compound and an additive, with said additive being concentrated in a first region of said conformable composition with said polymerizable compound being concentrated in a second region of said conformable composition; contacting said conformable material -with said mold, with said first region being positioned between said second region and said mold defining a first interfacial energy step between said mold and said first region; and solidifying said polymerizable compound, defining a second interfacial energy step between said first region and said second region, with said first interfacial energy step being greater than said second interfacial energy step.
12. The method as recited in claim 11 further including conditioning said mold to be hydrophilic.
13. The method as recited in claim 11 further including conditioning said mold by exposing said mold to a mixture of isopropyl alcohol and a surfactant .
14. The method as recited in claim 11 wherein forming said conformable material further includes depositing a plurality of drops of said conformable composition upon said substrate.
15. The method as recited in claim 11 wherein forming said conformable material further includes depositing said conformable composition upon said substrate employing spin-coating techniques .
16. The method as recited in claim 11 further including sequentially contacting said conformable composition a sufficient number of times to accurately reproduce, in said conformable composition, a recorded pattern complementary to said initial pattern.
17. The method as recited in claim 11 wherein forming said conformable material further includes forming said conformable composition from isobornyl acrylate, n-hexyl acrylate, ethylene glycol diacrylate and 2-hydroxy-2-methyl-l-phenyl-propan-l-one and RιR2.
18. A method of reducing adhesion forces between a conformable region on a substrate and a mold having an initial pattern formed therein, said method comprising: forming said conformable region on said substrate; sequentially contacting and solidifying a sufficient number of differing sub-portions of said conformable region with said mold to accurately reproduce, in an additional sub-portion of said conformable region, a recorded pattern complementary to said initial pattern.
19. The method as recited in claim 18 further including conditioning said mold by exposing said initial pattern to a mixture of isopropyl alcohol and a surfactant .
20. The method as recited in claim 18 wherein forming said conformable region further includes depositing a composition having a polymerizable compound and a surfactant.
21. The method, as recited in claim 20 wherein depositing said composition further includes forming said composition with said polymerizable compound comprising isobornyl acrylate, n-hexyl acrylate, ethylene glycol diacrylate and 2-hydroxy-2-methyl—1-phenyl-propan-l-one and said surfactant comprising RιR2
22. The method as recited in claim 20 wherein forming said conformable region further includes depositing said composition having said polymerizable compound and said surfactant, with said surfactant being concentrated in a first region of said composition and said polymerizable compound being concentrated in a second region of said composition , with said first region being located between said second region and said mold.
23. The method as recited in claim 18 wherein forming said conformable region further includes depositing a plurality of drops of a conformable composition upon said substrate.
24. The method as recited in claim 18 wherein forming said conformable region further includes depositing said conformable composition upon said substrate employing spin-coating te chniques .
25. A method for forming a recorded pattern on a process substrate employing a mol having a surface containing an initial pattern, said method comprising: forming a conformable material on a priming substrate; generating a primed mold by sequentially contacting and solidifying a sufficient number of differing sub-portions of said conformable material with said mold to accurately reproduce, in said conformable material on said priming substrate, said recorded pattern; and contacting, with said primed mold, conformable material on said process substrate to form a transferred pattern, complementary to said initial pattern.
26. The method as recited in claim 25 wherein forming said conformable material further includes depositing, upon said substrate, a composition having a polymerizable compound and a surfactant.
27. The method as recited in claim 25 wherein forming said conformable material f rther includes depositing a composition having a polymerizable compound and an additive, with said additive being concentrated in a first region of said composition and said polymerizable compound being concentrated in a se cond region of said composition.
28. The method as recited in claim 27 wherein forming said conformable material further includes depositing a composition comprising isobornyl acrylate, n-hexyl acrylate, ethylene glycol diacrylate and 2- hydroxy-2-methyl-l-phenyl-propan-l-one and Ri
29. The method as recited in claim 27 wherein forming said conformable material further includes depositing a plurality of drops of said conformable material upon said substrate.
30. The method as recited in claim 27 wherein forming said conformable material further includes depositing said conformable material employing spin- coating techniques.
PCT/US2004/018857 2003-06-17 2004-06-10 Method to reduce adhesion between a conformable region and a pattern of a mold WO2005000552A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP04776543.3A EP1633545B1 (en) 2003-06-17 2004-06-10 Method to reduce adhesion between a conformable region and a pattern of a mold
JP2006517254A JP4791357B2 (en) 2003-06-17 2004-06-10 Method for reducing adhesion between a molded area and a mold pattern
KR1020057024135A KR101202653B1 (en) 2003-06-17 2004-06-10 Method to reduce adhesion between a conformable region and a pattern of a mold

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/463,396 2003-06-17
US10/463,396 US7157036B2 (en) 2003-06-17 2003-06-17 Method to reduce adhesion between a conformable region and a pattern of a mold

Publications (2)

Publication Number Publication Date
WO2005000552A2 true WO2005000552A2 (en) 2005-01-06
WO2005000552A3 WO2005000552A3 (en) 2005-06-30

Family

ID=33517095

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/018857 WO2005000552A2 (en) 2003-06-17 2004-06-10 Method to reduce adhesion between a conformable region and a pattern of a mold

Country Status (8)

Country Link
US (2) US7157036B2 (en)
EP (1) EP1633545B1 (en)
JP (1) JP4791357B2 (en)
KR (1) KR101202653B1 (en)
CN (1) CN100572032C (en)
MY (1) MY136832A (en)
TW (1) TWI358608B (en)
WO (1) WO2005000552A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100870606B1 (en) * 2006-06-09 2008-11-25 캐논 가부시끼가이샤 Processing apparatus and device manufacturing method
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
KR20160013166A (en) 2013-06-27 2016-02-03 후지필름 가부시키가이샤 Inkjet discharge method, pattern formation method, and pattern
US9868846B2 (en) 2011-07-12 2018-01-16 Fujifilm Corporation Curable composition for imprints, patterning method and pattern
US10175576B2 (en) 2012-09-27 2019-01-08 Fujifilm Corporation Curable composition for photo imprints, method for forming pattern, fine pattern, and method for manufacturing semiconductor device
US10504739B2 (en) 2013-09-30 2019-12-10 Fujifilm Corporation Curable composition for optical imprinting and pattern forming method
US10514599B2 (en) 2014-08-14 2019-12-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
US10935884B2 (en) 2017-03-08 2021-03-02 Canon Kabushiki Kaisha Pattern forming method and methods for manufacturing processed substrate, optical component and quartz mold replica as well as coating material for imprint pretreatment and set thereof with imprint resist
US11281097B2 (en) 2017-03-08 2022-03-22 Canon Kabushiki Kaisha Method for forming pattern by using photo-nanoimprint technology, imprint apparatus, and curable composition
US11327397B2 (en) 2017-03-08 2022-05-10 Canon Kabushiki Kaisha Pattern forming method, coating material for imprint pretreatment and substrate pretreatment method

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP4393244B2 (en) * 2004-03-29 2010-01-06 キヤノン株式会社 Imprint device
JP4792028B2 (en) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド Fluid distribution and drop-on-demand distribution technology in nanoscale manufacturing technology
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
JP4904742B2 (en) * 2004-09-16 2012-03-28 旭硝子株式会社 Pattern forming method and article having pattern
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7281919B2 (en) * 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
KR100758699B1 (en) * 2005-08-29 2007-09-14 재단법인서울대학교산학협력재단 Method for forming high aspect ratio nanostructure and method for forming nano pattern using the same
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
EP1957249B1 (en) * 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US8944804B2 (en) * 2006-01-04 2015-02-03 Liquidia Technologies, Inc. Nanostructured surfaces for biomedical/biomaterial applications and processes thereof
US7613538B2 (en) * 2006-07-24 2009-11-03 Hewlett-Packard Development Company, L.P. Compensation for distortion in contact lithography
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US7854877B2 (en) * 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
JP5727788B2 (en) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド Porous templates and imprint stacks for nanoimprint lithography
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112310A1 (en) * 2008-10-30 2010-05-06 Molecular Imprints, Inc. Substrate Patterning
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
JP2010171338A (en) * 2009-01-26 2010-08-05 Toshiba Corp Pattern generation method, and pattern formation method
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110031650A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
NL2004945A (en) * 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
EP3293573A1 (en) 2009-08-26 2018-03-14 Molecular Imprints, Inc. Functional nanoparticles
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
US20110084417A1 (en) 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
WO2011066450A2 (en) 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US8691134B2 (en) * 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
US20110180127A1 (en) 2010-01-28 2011-07-28 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
EP2529274B1 (en) 2010-01-29 2014-10-08 Canon Nanotechnologies, Inc. Nanoimprint lithography processes for forming nanoparticles
TW201144091A (en) 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
KR101772993B1 (en) 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 Templates having high contrast alignment marks
US20110193251A1 (en) * 2010-02-09 2011-08-11 Molecular Imprints, Inc. Process Gas Confinement for Nano-Imprinting
KR101960362B1 (en) 2010-04-27 2019-03-20 캐논 나노테크놀로지즈 인코퍼레이티드 Separation control substrate/template for nanoimprint lithography
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP5618663B2 (en) * 2010-07-15 2014-11-05 株式会社東芝 Imprint template and pattern forming method
TWI538011B (en) 2010-09-24 2016-06-11 分子壓模公司 High contrast alignment marks through multiple stage imprinting
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
CN103282303A (en) 2010-11-05 2013-09-04 分子制模股份有限公司 Nanoimprint lithography formation of functional nanoparticles using dual release layers
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
WO2012149029A2 (en) 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
JP5611912B2 (en) * 2011-09-01 2014-10-22 株式会社東芝 Imprint resist material, pattern forming method, and imprint apparatus
WO2013048577A1 (en) * 2011-09-26 2013-04-04 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
CN104221127B (en) 2011-12-19 2017-04-12 佳能纳米技术公司 Fabrication of seamless large area master templates for imprint lithography
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5932501B2 (en) 2012-06-06 2016-06-08 キヤノン株式会社 Curable composition and pattern forming method using the same
US9170485B2 (en) 2013-03-15 2015-10-27 Canon Nanotechnologies, Inc. Nano imprinting with reusable polymer template with metallic or oxide coating
US9651862B2 (en) 2013-07-12 2017-05-16 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
JP6328001B2 (en) 2013-08-30 2018-05-23 キヤノン株式会社 Curable composition for imprint, film, method for producing film
EP3066524A1 (en) 2013-11-08 2016-09-14 Canon Nanotechnologies, Inc. Low contact imprint lithography template chuck system for improved overlay correction
US9193198B2 (en) 2013-11-20 2015-11-24 Eastman Kodak Company PDMS imprinting stamp with embedded flexure
US9513543B2 (en) 2013-11-20 2016-12-06 Eastman Kodak Company Method for forming a non-deformable patterned template
US10124529B2 (en) 2013-12-10 2018-11-13 Canon Nanotechnologies, Inc. Imprint lithography template and method for zero-gap imprinting
US10696104B2 (en) 2013-12-18 2020-06-30 Bridgestone Americas Tire Operations, Llc Tires and other objects having an aerodynamic/hydrodynamic surface treatment
WO2015103232A1 (en) 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
KR102305247B1 (en) 2013-12-31 2021-09-27 캐논 나노테크놀로지즈 인코퍼레이티드 Asymmetric template shape modulation for partial field imprinting
CN107075661B (en) * 2014-09-26 2020-03-17 韩国机械研究院 Substrate formed with a plurality of nanogaps and method for preparing the same
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170066208A1 (en) * 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
KR102285063B1 (en) 2016-01-25 2021-08-04 캐논 가부시끼가이샤 Pattern forming method, processing board manufacturing method, optical component manufacturing method, circuit board manufacturing method, electronic component manufacturing method, imprint mold manufacturing method
JP6380445B2 (en) * 2016-03-30 2018-08-29 大日本印刷株式会社 Nanoimprint method
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10578965B2 (en) 2016-03-31 2020-03-03 Canon Kabushiki Kaisha Pattern forming method
US10754243B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10754245B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US10288999B2 (en) * 2016-12-20 2019-05-14 Canon Kabushiki Kaisha Methods for controlling extrusions during imprint template replication processes
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US11422291B2 (en) 2017-05-09 2022-08-23 Heptagon Micro Optics Pte. Ltd. Method for conditioning a replication tool and related method for manufacturing a multitude of devices
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US11448958B2 (en) 2017-09-21 2022-09-20 Canon Kabushiki Kaisha System and method for controlling the placement of fluid resist droplets
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US10895806B2 (en) 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10788749B2 (en) 2017-11-30 2020-09-29 Canon Kabushiki Kaisha System and method for improving the throughput of a nanoimprint system
US10663869B2 (en) 2017-12-11 2020-05-26 Canon Kabushiki Kaisha Imprint system and imprinting process with spatially non-uniform illumination
US10409178B2 (en) 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
JP7023744B2 (en) * 2018-02-28 2022-02-22 キヤノン株式会社 Imprint method and manufacturing method
US11249405B2 (en) 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10739675B2 (en) 2018-05-31 2020-08-11 Canon Kabushiki Kaisha Systems and methods for detection of and compensation for malfunctioning droplet dispensing nozzles
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11294277B2 (en) 2018-07-25 2022-04-05 Canon Kabushiki Kaisha Process of imprinting a substrate with fluid control features
JP2020035924A (en) * 2018-08-30 2020-03-05 キオクシア株式会社 Original plate
US10976657B2 (en) 2018-08-31 2021-04-13 Canon Kabushiki Kaisha System and method for illuminating edges of an imprint field with a gradient dosage
US11131923B2 (en) 2018-10-10 2021-09-28 Canon Kabushiki Kaisha System and method of assessing surface quality by optically analyzing dispensed drops
US11281095B2 (en) 2018-12-05 2022-03-22 Canon Kabushiki Kaisha Frame curing template and system and method of using the frame curing template
US10754078B2 (en) 2018-12-20 2020-08-25 Canon Kabushiki Kaisha Light source, a shaping system using the light source and an article manufacturing method
US11243466B2 (en) 2019-01-31 2022-02-08 Canon Kabushiki Kaisha Template with mass velocity variation features, nanoimprint lithography apparatus that uses the template, and methods that use the template
US11442359B2 (en) 2019-03-11 2022-09-13 Canon Kabushiki Kaisha Method of separating a template from a shaped film on a substrate
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
US11181819B2 (en) 2019-05-31 2021-11-23 Canon Kabushiki Kaisha Frame curing method for extrusion control
US11402749B2 (en) 2019-06-19 2022-08-02 Canon Kabushiki Kaisha Drop pattern correction for nano-fabrication
US11373861B2 (en) 2019-07-05 2022-06-28 Canon Kabushiki Kaisha System and method of cleaning mesa sidewalls of a template
US11164302B2 (en) 2019-08-08 2021-11-02 Canon Kabushiki Kaisha Systems and methods for classifying images of an imprinted film
US11549020B2 (en) 2019-09-23 2023-01-10 Canon Kabushiki Kaisha Curable composition for nano-fabrication
US11429022B2 (en) 2019-10-23 2022-08-30 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11327409B2 (en) 2019-10-23 2022-05-10 Canon Kabushiki Kaisha Systems and methods for curing an imprinted field
US11215921B2 (en) 2019-10-31 2022-01-04 Canon Kabushiki Kaisha Residual layer thickness compensation in nano-fabrication by modified drop pattern
US11550216B2 (en) 2019-11-25 2023-01-10 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11366384B2 (en) 2019-12-18 2022-06-21 Canon Kabushiki Kaisha Nanoimprint lithography system and method for adjusting a radiation pattern that compensates for slippage of a template
US11567401B2 (en) 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11126079B1 (en) 2020-04-09 2021-09-21 Canon Kabushiki Kaisha Nano-fabrication system with cleaning system for cleaning a faceplate of a dispenser and method of cleaning the faceplate
US11262651B2 (en) 2020-05-28 2022-03-01 Canon Kabushiki Kaisha System for detecting accumulated material on a faceplate of a dispenser and method of inspecting the faceplate
US11262652B2 (en) 2020-06-25 2022-03-01 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11774849B2 (en) 2020-09-22 2023-10-03 Canon Kabushiki Kaisha Method and system for adjusting edge positions of a drop pattern
US11747731B2 (en) 2020-11-20 2023-09-05 Canon Kabishiki Kaisha Curing a shaped film using multiple images of a spatial light modulator
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11614693B2 (en) 2021-06-30 2023-03-28 Canon Kabushiki Kaisha Method of determining the initial contact point for partial fields and method of shaping a surface
JP2023034120A (en) * 2021-08-30 2023-03-13 キヤノン株式会社 Molding apparatus, molding method and article manufacturing method

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4639897A (en) * 1983-08-31 1987-01-27 Rca Corporation Priority encoded spare element decoder
US4514439A (en) 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4585829A (en) * 1983-09-30 1986-04-29 Union Carbide Corporation Internal mold release for reaction injection molded polyurethanes
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (en) 1984-06-26 1991-09-12 Asahi Glass Co Ltd TRANSPARENT HEAVY DIRTING ITEM WITH LOW REFLECTION.
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (en) 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
JPH01163027A (en) 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd Method and device for molding optical element
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
DE3805631A1 (en) 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
EP0394741B1 (en) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Process for producing etch resistant structures
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
US6054034A (en) * 1990-02-28 2000-04-25 Aclara Biosciences, Inc. Acrylic microchannels and their use in electrophoretic applications
JP3197010B2 (en) 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
DE69217574T2 (en) 1991-05-17 1997-06-12 Asahi Glass Co Ltd Surface treated substrate
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0555654A (en) 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
EP0624404B1 (en) * 1993-05-14 1998-08-05 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512121A (en) * 1994-09-07 1996-04-30 Brown, Jr.; Arthur K. Method of manufacturing a mop
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6335149B1 (en) * 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
EP1003078A3 (en) * 1998-11-17 2001-11-07 Corning Incorporated Replicating a nanoscale pattern
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
JP3802732B2 (en) * 2000-05-12 2006-07-26 信越化学工業株式会社 Resist material and pattern forming method
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
DE10062203A1 (en) * 2000-12-13 2002-06-20 Creavis Tech & Innovation Gmbh Metallic embossing tool or embossing roller, used for embossing hydrophobic polymers to provide a surface structure to the polymer, is rendered hydrophobic before the first embossing step
US6783719B2 (en) * 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
CN100347608C (en) * 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
JP2003109915A (en) * 2001-09-28 2003-04-11 National Institute Of Advanced Industrial & Technology Method and device for performing in-print lithography in releasable atmosphere
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP1633545A4 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7837921B2 (en) 2004-01-23 2010-11-23 Molecular Imprints, Inc. Method of providing desirable wetting and release characteristics between a mold and a polymerizable composition
KR100870606B1 (en) * 2006-06-09 2008-11-25 캐논 가부시끼가이샤 Processing apparatus and device manufacturing method
US9868846B2 (en) 2011-07-12 2018-01-16 Fujifilm Corporation Curable composition for imprints, patterning method and pattern
US10175576B2 (en) 2012-09-27 2019-01-08 Fujifilm Corporation Curable composition for photo imprints, method for forming pattern, fine pattern, and method for manufacturing semiconductor device
KR20160013166A (en) 2013-06-27 2016-02-03 후지필름 가부시키가이샤 Inkjet discharge method, pattern formation method, and pattern
US9862847B2 (en) 2013-06-27 2018-01-09 Fujifilm Corporation Inkjet discharge method, pattern formation method, and pattern
US10504739B2 (en) 2013-09-30 2019-12-10 Fujifilm Corporation Curable composition for optical imprinting and pattern forming method
US10514599B2 (en) 2014-08-14 2019-12-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
US10935884B2 (en) 2017-03-08 2021-03-02 Canon Kabushiki Kaisha Pattern forming method and methods for manufacturing processed substrate, optical component and quartz mold replica as well as coating material for imprint pretreatment and set thereof with imprint resist
US11281097B2 (en) 2017-03-08 2022-03-22 Canon Kabushiki Kaisha Method for forming pattern by using photo-nanoimprint technology, imprint apparatus, and curable composition
US11327397B2 (en) 2017-03-08 2022-05-10 Canon Kabushiki Kaisha Pattern forming method, coating material for imprint pretreatment and substrate pretreatment method

Also Published As

Publication number Publication date
US20060279024A1 (en) 2006-12-14
TW200506513A (en) 2005-02-16
EP1633545B1 (en) 2013-08-07
WO2005000552A3 (en) 2005-06-30
KR101202653B1 (en) 2012-11-20
EP1633545A2 (en) 2006-03-15
US20040256764A1 (en) 2004-12-23
CN100572032C (en) 2009-12-23
CN1805838A (en) 2006-07-19
MY136832A (en) 2008-11-28
KR20060024420A (en) 2006-03-16
US7157036B2 (en) 2007-01-02
JP4791357B2 (en) 2011-10-12
EP1633545A4 (en) 2009-05-06
JP2006528088A (en) 2006-12-14
TWI358608B (en) 2012-02-21

Similar Documents

Publication Publication Date Title
EP1633545B1 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
US7442336B2 (en) Capillary imprinting technique
US7906060B2 (en) Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20050160934A1 (en) Materials and methods for imprint lithography
US7122482B2 (en) Methods for fabricating patterned features utilizing imprint lithography
US8066930B2 (en) Forming a layer on a substrate
US7179079B2 (en) Conforming template for patterning liquids disposed on substrates
EP2261280B1 (en) Process for imprint lithography
US20050156357A1 (en) Planarization method of patterning a substrate
US20030235787A1 (en) Low viscosity high resolution patterning material
US7261830B2 (en) Applying imprinting material to substrates employing electromagnetic fields
Watts et al. Method to reduce adhesion between a conformable region and a pattern of a mold

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004776543

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2006517254

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004816523X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020057024135

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004776543

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057024135

Country of ref document: KR