WO2004114395A2 - Dual damascene interconnect structures having different materials for line and via conductors - Google Patents

Dual damascene interconnect structures having different materials for line and via conductors Download PDF

Info

Publication number
WO2004114395A2
WO2004114395A2 PCT/EP2004/051046 EP2004051046W WO2004114395A2 WO 2004114395 A2 WO2004114395 A2 WO 2004114395A2 EP 2004051046 W EP2004051046 W EP 2004051046W WO 2004114395 A2 WO2004114395 A2 WO 2004114395A2
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric material
layer
opening
conductor
top surface
Prior art date
Application number
PCT/EP2004/051046
Other languages
French (fr)
Other versions
WO2004114395A3 (en
Inventor
Jeffrey Gambino
Edward Cooney, Iii
Anthony Stamper
Thomas Motsiff
Michael Lane
Andrew Simon
Original Assignee
International Business Machines Corporation
Ibm United Kingdom Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation, Ibm United Kingdom Limited filed Critical International Business Machines Corporation
Priority to JP2006516136A priority Critical patent/JP2009514186A/en
Priority to EP04741743A priority patent/EP1649510A2/en
Publication of WO2004114395A2 publication Critical patent/WO2004114395A2/en
Publication of WO2004114395A3 publication Critical patent/WO2004114395A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to the formation of back-end-of-line (BEOL) interconnect structures in integrated circuits. More particularly, this invention relates to new methods of forming dual damascene interconnect structures using materials for the vias or studs which are different from those used for the line conductors.
  • BEOL back-end-of-line
  • the semiconductor industry roadmap calls for lowering the dielectric constant on the insulation surrounding multi-level on-chip interconnects.
  • the dielectric constant must be lowered so as to reduce the parasitic capacitive load to the integrated circuits, as well as to reduce the capacitive coupling between neighboring interconnects.
  • the strength of vias may be improved using a refractory metal instead of copper for the via, or by increasing the thickness of the refractory metal liner surrounding the via as compared to the line conductor.
  • formation of interconnect structures having different materials or different liner thicknesses for the vias and lines could be achieved only by using a series of single damascene fabrication steps. Specifically, a via-level dielectric layer is first deposited, then a via opening is formed in the dielectric material, and then the via is filled with a first conductive material. Excess conductive material is removed by, e.g., chemical mechanical polishing (CMP) to make the top surface of the via coplanar with the top surface of the via-level dielectric.
  • CMP chemical mechanical polishing
  • the line- level dielectric is next deposited, a trench opening is formed in the line-level dielectric overlying the via, and the trench is filled with a second conductive material. Again, excess conductive material must be removed by, e.g., CMP to make the top surface of the line conductor coplanar with the top surface of the line-level dielectric.
  • an interconnect structure formed on a substrate comprises: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; and a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein.
  • the second conductor comprises at least one first portion and at least one second portion, the second portion being formed of a material different from the first portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material.
  • the interconnect structure further comprises: a first conductive liner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second conductive liner disposed between the second portion and the second dielectric material and between the second portion and the first portion, the second liner being formed of a material different from the first liner.
  • the interconnect structure comprises: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein; a first conductive liner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second liner disposed between the second portion and the second dielectric material, the second liner having a thickness less than the thickness of the first liner.
  • the second conductor comprises at least one first portion and at least one second portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material.
  • the interconnect structure further comprises: a conductive liner disposed between the second conductor and the second dielectric material and between the second conductor and the first conductor.
  • an interconnect structure on a substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material.
  • the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; filling the first opening with a first conductive material; removing a top portion of the first conductive material; forming at least one second opening in the second layer of dielectric material, the second opening overlying the first conductive material, and the second opening having a lateral extent greater than that of the first opening; and filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
  • the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; fo ⁇ ning at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; depositing a first conductive liner on the bottom and sidewalls of the first opening; filling the first opening with a sacrificial material; forming at least one second opening in the second layer of dielectric material, the second opening overlying the first opening, and the second opening having a lateral extent greater than that of the first opening; removing the sacrificial material; depositing a second conductive liner on the bottom and sidewalls of the second opening and on the first liner; and filling the second opening with a conductive material, thereby foirning a second conductor, wherein the second conductor has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
  • the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opening having a lateral extent greater than that of the first opeiiing; depositing a first conductive liner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material and the first liner from the second opening; depositing a second conductive liner on the bottom and sidewalls of the second opening; filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material
  • the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opemng extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opemng having a lateral extent greater than that of the first opening; depositing a conductive liner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material from the second opening; and filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of
  • Figure 1 illustrates an interconnect structure according to a first embodiment of the invention, in which the via is formed of a material different from that of the line conductor, and the via and line conductors are each fully surrounded on the bottom and sidewalls by separate liners;
  • Figure 2 illustrates an interconnect structure according to a second embodiment of the invention, in which the via is surrounded by a liner having a thickness greater than the liner surrounding the line conductor;
  • Figure 3 illustrates an interconnect structure according to a third embodiment of the invention, in which the via is formed of a material different from that of the line conductor, and the via and line conductors are surrounded on the bottom and sidewalls by a liner, but the liner does not separate the via from the line conductor;
  • Figures 4(a)-4(f) illustrate a method for forming the interconnect structure shown in
  • Figures 5(a)-5(f) illustrate a method for forming the interconnect structure shown in
  • Figures 6(a)-6(f) illustrate a method for forming the interconnect structure shown in
  • Figures 7(a)-7(f) illustrate a method for forming the interconnect structure shown in
  • the vias are made stronger by using a different material for the via conductor, or a different material for the via liner, or a thicker via liner, or a combination of these characteristics.
  • refractory metals are used in the vias while copper is used in the trenches. Refractory metal is mechanically stronger than copper, and therefore can withstand the stresses that are often present during thermal cycling.
  • a first embodiment of the invention is shown in Figure 1, which includes a first dielectric layer 10. At least one first conductor 13 is embedded in the first dielectric layer 10 and has a top surface coplanar with the top surface of layer 10.
  • a hardmask layer 11 may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11.
  • a conductive liner 12 is also optionally disposed between conductor 13 and dielectric layer 10.
  • a second dielectric layer 15 overlies first dielectric layer 10.
  • a cap layer
  • Second dielectric layer 15 has at least one second conductor embedded therein.
  • the second conductor comprises at least one first portion 19, the via or stud, and at least one second portion 21, the line conductor.
  • the first portion 19 overlies and is in electrical contact with the first conductor 13.
  • the second portion 21 overlies and has a lateral extent greater than that of the first portion 19, and the second portion 21 has a top surface coplanar with the top surface of the second dielectric layer 15.
  • a hardmask layer 16 may be deposited on second dielectric layer 15, in which case the second portion 21 has a top surface coplanar with the top surface of hardmask layer 16.
  • a liner 18 optionally surrounds a bottom and sidewalls of first portion 19, and a liner 20 optionally surrounds a bottom and sidewalls of second portion 21.
  • tungsten or some other refractory metal is used for the first portion 19 of the second conductor, i.e. for the via or stud.
  • Refractory metals are mechanically stronger than copper, and therefore can withstand the stresses that can occur during thermal cycling.
  • Copper may be used for the first conductor 13 and for the second portion 21 of the second conductor, i.e. for the line conductor.
  • the material used for the liner 18 su ⁇ ounding the bottom and sidewalls of the first portion 19 is preferably titanium nitride, tantalum, tantalum nitride or tungsten.
  • the liners 12 and 20 may be formed of tantalum and/or tantalum nitride.
  • the dielectric layers 10 and 14 may be formed of any suitable dielectric material, although low-k dielectric materials are preferred. Suitable dielectric materials include carbon-doped silicon dioxide materials; fluorinated silicate glass (FSG); organic polymeric thermoset materials; silicon oxycarbide; SiCOH dielectrics; fluorine doped silicon oxide; spin-on glasses; silsesquioxanes, including hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and mixtures or copolymers of HSQ and MSQ; benzocyclobutene (BCB) -based polymer dielectrics, and any siUcon-containing low-k dielectric.
  • HSQ hydrogen silsesquioxane
  • MSQ methyl silsesquioxane
  • BCB benzocyclobutene
  • spin-on low-k films with SiCOH-type composition using silsesquioxane chemistry examples include HOSPTM (available from Honeywell), JSR 5109 and 5108 (available from Japan Synthetic Rubber), ZirkonTM (available from Shipley Microelectronics, a division of Rohm and Haas), and porous low-k (ELk) materials (available from Applied Materials).
  • HOSPTM available from Honeywell
  • JSR 5109 and 5108 available from Japan Synthetic Rubber
  • ZirkonTM available from Shipley Microelectronics, a division of Rohm and Haas
  • porous low-k (ELk) materials available from Applied Materials
  • carbon-doped silicon dioxide materials, or organosilanes examples include Black DiamondTM (available from Applied Materials) and CoralTM (available from Novellus).
  • An example of an HSQ material is FOxTM (available from Dow Corning).
  • preferred dielectric materials are organic polymeric thermoset materials, consisting essentially of carbon, oxygen and hydrogen.
  • Preferred dielectric materials include the low-k polyarylene ether polymeric material known as SiLKTM (available from The Dow Chemical Company), and the low-k polymeric material known as FLARETM (available from Honeywell).
  • Hardmask layers 11 and 16 may be formed of silicon carbide, silicon nitride and/or silicon dioxide.
  • the second portion 1 of the second conductor i.e. the line conductor, preferably has a total thickness of about 100 to 5000 nm, more preferably about 300 nm.
  • the first portion 19 of the second conductor, i.e. the via preferably has a height of about 100 to 5000 nm, more preferably about 300 run.
  • the via liner 18 preferably has a thickness of about 2 to 50 nm, more preferably about 20 nm, and the trench liner 20 preferably has a thickness of about 2 to 50 run, more preferably about 10 nm.
  • a second embodiment of the invention is shown in Figure 2, and differs from the embodiment shown in Figure 1 in that the second conductor is formed entirely of the same material, preferably copper.
  • the via or stud is not formed of a refractory metal.
  • the via or stud is surrounded on the bottom and sidewalls with a liner which is thicker than the liner surrounding the line conductor.
  • the via or stud is surrounded by a liner comprising first liner 18 and second liner 20, while the line conductor is surrounded only by second Hner 20.
  • First liner 18 may be formed of the same material as second liner 20, or the first liner 18 may be formed of a material different from the second liner 20.
  • the second portion 21 of the second conductor i.e. the line conductor, preferably has a total thickness of about 100 to 5000 nm, more preferably about 300 nm.
  • the first portion 19 of the second conductor, i.e. the via preferably has a height of about 100 to 5000 nm, more preferably about 300 nm.
  • the liners 18 and 20 in the via preferably have a combined thickness of about 2 to 50 nm, more preferably about 30 nm, and the trench liner 20 preferably has a thickness of about 2 to 50 nm, more preferably about 10 nm.
  • a third embodiment of the invention is shown in Figure 3, and differs from the embodiment shown in Figure 1 in that first portion 19 and second portion 21 of the second conductor are both surrounded by liner 18.
  • first portion 19 and second portion 21 do not have separate liners, as in the embodiment shown in Figure 1. Rather, a single liner 18 surrounds the bottom and sidewalls of both first portion 19 and second portion 21.
  • the second portion 21 of the second conductor i.e. the line conductor
  • the first portion 19 of the second conductor, i.e. the via preferably has a height of about 100 to 5000 run, more preferably about 300 nm.
  • the liner 18 in the via and trench preferably has a thickness of about 2 to 50 nm, more preferably about 20 nm.
  • the starting point for the method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein.
  • First conductor 13 has a top surface which is coplanar with atop surface of dielectric layer 10.
  • a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11.
  • a conductive liner 12 is disposed between conductor 13 and dielectric layer 10.
  • the method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 4(a).
  • Hardmask layers 16 and 17 may be formed of silicon carbide (SiC), silicon nitride (SiN), and/or silicon dioxide (SiO ).
  • At least one first opening 19' is formed in dielectric layer 15 and hardmask layers 16 and 17, thereby exposing first conductor 13, as shown in Figure 4(b). Opening 19' may be formed by any suitable technique, mcluding conventional lithography and reactive ion etching (RIE).
  • RIE reactive ion etching
  • Opening 19' is then filled with a first conductive material 19, as shown in Figure
  • Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by chemical vapor deposition (CVD). When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF 6 , to minimize the risk of fluorine attacking the low-k dielectric material 15.
  • the refractory metal 19 may be deposited by any suitable technique, including chemical vapor deposition (CVD) or by ionized-metal physical vapor deposition (T-PVD).
  • CVD chemical vapor deposition
  • T-PVD ionized-metal physical vapor deposition
  • W or TiN deposition the preferred method is CVD.
  • Ta or TaN deposition the prefe ⁇ ed method is I-PVD.
  • the I-PVD process uses alternate cycles of deposition and partial etchback to fill the via with metal.
  • small features such as vias can be almost entirely filled with Ta (or TaN), while at the same time leaving a Ihin layer (about 5 to 25 nm) of Ta in the bottom of the trench. This is possible because the ratio of deposition to etchback increases as feature size slmnks.
  • a Ta deposition plus etchback could be used.
  • a Ta layer of about 5 to 30 nm is deposited at a magnetron power of about 2 to 60 killowatts, pressure of about 1 to 10 millitorr, and wafer bias of zero to about 200 watts.
  • an etchback process is run (preferably in the same chamber) with a magnetron power of about 2 to 30 killowatts, pressure of about 1 to 10 millitorr, and wafer bias of about 200 to 1200 watts.
  • metal is sputtered from the bottom of the via onto the sidewalls and thus thickens the sidewalls. Additional deposition and etchback cycles can be used to further increase the thickness of metal on the sidewalls of the via, until the via is completely (or nearly completely) filled with metal.
  • Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by physical vapor deposition (PVD) or by CVD. Following deposition of liner material 18 and conductive material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • first conductive material 19 and liner 18 is removed, as shown in Figure 4(d).
  • the tungsten 19 and liner 18 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using hydrogen peroxide (H O ), or elecfroetching.
  • Second opening 21' is formed in dielectric material 15 and hardmask layers 16 and 17, as shown in Figure 4(e). Second opening 21' overlies first conductive material 19, and has a lateral extent greater than that of first opening 19'. Second opening 21' may be formed by any suitable technique, including conventional lithography and RTJE.
  • opening 21' is filled with a second conductive material 21, as shown as
  • a second conductive liner 20 may be deposited on the bottom and sidewalls of opening 21'.
  • Conductive material 21 is preferably copper, and may be deposited by copper plating, which typically involves copper seed deposition by PVD, copper plating, and then CMP.
  • the embodiment shown in Figure 1 may alternatively be formed using the method illustrated in Figures 6(a)-6(f). This method differs from the method shown in Figures 4(a)-4(f) in that the via and trench openings 19' and 21' are both formed prior to filling with first conductive material 19.
  • the starting point for the method is again a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein.
  • First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10.
  • a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11.
  • a conductive liner 12 is disposed between conductor 13 and dielectric layer 10.
  • the method begins with deposition of second dielectric layer 15 and hardmask layers 16 and 17, as shown in Figure 6(a). At least one first opening 21' is formed in hardmask layer 17. Then, at least one second opening 19' is formed in hardmask layer 16 and partially into dielectric layer 15, as shown in Figure 6(b). Opening 19' is formed beneath opening 21', and has a lateral extent less than that of opening 21'. Openings 19' and 21' may be formed by any suitable technique, including conventional lithography and RTJE.
  • openings 19' and 21' are extended into dielectric layer 15, as shown in Figure
  • the trench 21' and via 19' may be formed using, for example, RIE. Opening 21' extends partially into dielectric layer 15, and opening 19' extends fully through dielectric layer 15, thereby exposing conductor 13.
  • Openings 19' and 21' are then filled with a first conductive material 19, as shown in
  • a conductive liner 18 may be deposited on the bottom and sidewalls of the openings.
  • Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by CVD. When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF , to nrMmize the risk of fluorine attacking
  • Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by PVD or by CVD. Following deposition of liner material 18 and conductive material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
  • first conductive material 19 and liner 18 is removed, as shown in Figure 6(e), thereby forming opening 21".
  • the tungsten 19 and liner 18 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using H O , or electroetching.
  • opening 21" is filled with a second conductive material 21, as shown in
  • a second conductive liner 20 may be deposited on the bottom and sidewalls of opening 21".
  • Conductive material 21 is preferably copper, and may be deposited by copper plating.
  • the starting point for the method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein.
  • First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10.
  • a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11.
  • a conductive liner 12 is disposed between conductor 13 and dielectric layer 10.
  • the method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 5(a).
  • At least one first opening 19' is formed in dielectric layer 15 and hardmask layers 16 and 17, thereby exposing first conductor 13, as shown in Figure 5(b). Opening 19' may be formed by any suitable technique, including conventional holography and reactive ion etching (RIE).
  • RIE reactive ion etching
  • a liner 18 is then deposited on the bottom and sidewalls of opening 19', as shown in Figure 5(c). Liner 18 may also be deposited on hardmask layer 17. Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and preferably has a thickness of about 5 to 100 nm.
  • opening 19' is filled with a sacrificial material 22, such as anti-reflective coating (ARC), as shown in Figure 5(d).
  • a sacrificial material 22 such as anti-reflective coating (ARC), as shown in Figure 5(d).
  • ARC anti-reflective coating
  • a layer of ARC 22 may be deposited on liner 18 and in opening 19', then a layer of photoresist 23 may be deposited on ARC 22.
  • Opening 21 ' is then formed in photoresist 23 and ARC 22, and this opening is transferred to liner 18 and hardmask layer 17.
  • photoresist 23 and ARC 22 are then stripped from the surface of the substrate, and ARC 22 is removed from opening 19'.
  • the refractory metal liner 18 protects the low-k dielectric material 15 during resist strip. Liner 18 is then etched back from the surface of the substrate, and opening 21' is transferred to hardmask layer 16 and dielectric layer 15.
  • a second liner 20 is deposited on the bottom and sidewalls of openings 19' and 21', and then these openings are filled with conductive material 21, as shown in Figure 5(f).
  • the top surface of conductor 21 is made coplanar with the top surface of dielectric layer 15 or hardmask layer 16 using, for example, CMP.
  • the via or stud portion of the second conductor is surrounded on the bottom and sidewalls by a liner which is thicker than the liner surrounding the line conductor. In other words, the via or stud portion is surrounded by a dual thickness Hner comprising first Hner 18 and second Hner 20, while the line conductor is surrounded only by second Hner 20.
  • This method differs from the method shown in Figures 6(a)-6(f) in that the Hner 18 is not removed during the step of removing a top portion of first conductive material 19, as shown in Figure 7(e).
  • the starting point for this method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein.
  • First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10.
  • a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11.
  • a conductive Hner 12 is disposed between conductor 13 and dielectric layer 10.
  • the method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 7(a). At least one first opening 21' is formed in hardmask layer 17. Then, at least one second opening 19' is formed in hardmask layer 16 and partially into dielectric layer 15, as shown in Figure 7(b). Opening 19' is formed beneath opening 21', and has a lateral extent less than that of opening 21'. Openings 19' and 21' may be formed by any suitable technique, mcluding conventional Hthography and RIE.
  • openings 19' and 21' are extended into dielectric layer 15, as shown in Figure
  • the trench 21' and via 19' may be formed using, for example, RIE. Opening 21' extends partially into dielectric layer 15, and opening 19' extends fully through dielectric layer 15, thereby exposing conductor 13.
  • Openings 19' and 21' are then filled with a first conductive material 19, as shown in
  • a conductive Hner 18 may be deposited on the bottom and sidewalls of the openings.
  • Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by CVD. When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF , to minimize the risk of fluorine attacking the low-k dielectric material 15.
  • Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by PVD or by CVD. Following deposition of liner material 18 and conductor material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
  • first conductive material 19 is removed, but the Hner 18 is not removed, as shown in Figure 6(e), thereby fo ⁇ ning opening 21".
  • the tungsten 19 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using H O , or electtoetching.
  • the refractory metal Hner 18 protects the low-k dielectric material 15 during tungsten etchback.
  • opening 21" is filled with a second conductive material 21, as shown in
  • a second conductive Hner need not be deposited on the bottom and sidewalls of opening 21" prior to depositing conductive material 21.
  • a second conductive Hner (not shown) may be deposited over Hner 18 and conductive material 19, prior to depositing conductive material 21.
  • the second conductive Hner would preferably be formed of tantalum nitride and/or tantalum, and would have a thickness of about 1 to 10 nm.
  • a sputter clean may be used to remove contaminants from the surface of first liner 18 prior to depositing the second Hner.
  • Conductive material 21 is preferably copper, and may be deposited by copper plating.
  • the first embodiment is shown in two variations in Figures 4(a)-4(f) and 5(a)-5(f).
  • the via opening is formed first, and the via is filled with a first conductive material such as a refractory metal, prior to forming the trench opening.
  • the second embodiment is shown in two variations in Figures 6(a)-6(f) and 7(a)-7(f).
  • both the via and trench openings are formed first, and these openings are then filled with the first conductive material such as a refractory metal.
  • the two variations shown for this second embodiment comprise forming the via opening first and then forming the trench opening, but the second embodiment could also be practiced by forming the trench opening first and then forming the via opening.
  • a thick refractory metal in the via for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance.
  • This is achieved in Figures 1 and 3, for example, by using a refractory metal for first conductive material 19.
  • this is achieved by using a refractory metal for both liners 18 and 20, thereby forming a thick refractory metal Hner for the via or stud and a Ihin refractory metal Hner for the line conductor.
  • the refractory metal Hner also protects the low-k dielectric material 15 during photoresist strip, as in Figure 5(e), and during tungsten etchback, as in Figure 7(e).

Abstract

Methods are disclosed for forming dual damascene back-end-of-line (BEOL) interconnect structures using materials for the vias or studs which are different from those used for the line conductors, or using materials for the via liner which are different from those used for the trench liner, or having a via liner thickness different from that of the trench liner. Preferably, a thick refractory metal is used in the vias for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance.

Description

Description
DUAL DAMASCENE INTERCONNECT STRUCTURES HAVING DIFFERENT
MATERIALS FOR LINE AND VIA CONDUCTORS
Technical Field
[001] This invention relates to the formation of back-end-of-line (BEOL) interconnect structures in integrated circuits. More particularly, this invention relates to new methods of forming dual damascene interconnect structures using materials for the vias or studs which are different from those used for the line conductors. Background Art
[002] The semiconductor industry roadmap calls for lowering the dielectric constant on the insulation surrounding multi-level on-chip interconnects. The dielectric constant must be lowered so as to reduce the parasitic capacitive load to the integrated circuits, as well as to reduce the capacitive coupling between neighboring interconnects.
[003] Reducing dielectric constant often comes with a concomitant reduction in insulator mechanical properties such as modulus, hardness, thermal conductivity and fracture toughness. Significant stresses can develop in the structure due to thermal expansion mismatches with the substrate and the metal interconnects. These stresses can cause fatigue of copper vias or studs during thermal cycling, resulting in yield or reliability problems. A method is therefore needed to improve the strength of vias that are fabricated in low-k materials.
[004] The strength of vias may be improved using a refractory metal instead of copper for the via, or by increasing the thickness of the refractory metal liner surrounding the via as compared to the line conductor. Heretofore, formation of interconnect structures having different materials or different liner thicknesses for the vias and lines could be achieved only by using a series of single damascene fabrication steps. Specifically, a via-level dielectric layer is first deposited, then a via opening is formed in the dielectric material, and then the via is filled with a first conductive material. Excess conductive material is removed by, e.g., chemical mechanical polishing (CMP) to make the top surface of the via coplanar with the top surface of the via-level dielectric. The line- level dielectric is next deposited, a trench opening is formed in the line-level dielectric overlying the via, and the trench is filled with a second conductive material. Again, excess conductive material must be removed by, e.g., CMP to make the top surface of the line conductor coplanar with the top surface of the line-level dielectric.
[005] This series of single damascene steps is time-consuming and expensive. Moreover, two separate layers of dielectric (via-level and line-level) exhibit inferior electrical characteristics as compared to a single layer of dielectric material such as that found in a typical dual damascene structure. [006] Thus, there is a need in the art for a method of forming a dual damascene interconnect structure having a single layer of dielectric material for the via and line levels, but different materials or different liner thicknesses for the vias and lines.
Disclosure of Invention
[007] It is therefore an object of this invention to provide a method for improving the strength of vias that are fabricated in low-k dielectric materials. This and other objects are accomplished by use of the methods of the present invention. In one aspect, an interconnect structure formed on a substrate is disclosed. The structure comprises: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; and a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein. The second conductor comprises at least one first portion and at least one second portion, the second portion being formed of a material different from the first portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material. Optionally, the interconnect structure further comprises: a first conductive liner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second conductive liner disposed between the second portion and the second dielectric material and between the second portion and the first portion, the second liner being formed of a material different from the first liner.
[008] In another aspect, the interconnect structure comprises: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein; a first conductive liner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second liner disposed between the second portion and the second dielectric material, the second liner having a thickness less than the thickness of the first liner. The second conductor comprises at least one first portion and at least one second portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material. Optionally, the interconnect structure further comprises: a conductive liner disposed between the second conductor and the second dielectric material and between the second conductor and the first conductor.
[009] Methods of foπning the interconnect structures of this invention are also disclosed.
Specifically, methods for forming an interconnect structure on a substrate are disclosed, the substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material. In one aspect, the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; filling the first opening with a first conductive material; removing a top portion of the first conductive material; forming at least one second opening in the second layer of dielectric material, the second opening overlying the first conductive material, and the second opening having a lateral extent greater than that of the first opening; and filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
[010] In a second aspect, the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; foπning at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; depositing a first conductive liner on the bottom and sidewalls of the first opening; filling the first opening with a sacrificial material; forming at least one second opening in the second layer of dielectric material, the second opening overlying the first opening, and the second opening having a lateral extent greater than that of the first opening; removing the sacrificial material; depositing a second conductive liner on the bottom and sidewalls of the second opening and on the first liner; and filling the second opening with a conductive material, thereby foirning a second conductor, wherein the second conductor has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
[011] In a third aspect, the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opening having a lateral extent greater than that of the first opeiiing; depositing a first conductive liner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material and the first liner from the second opening; depositing a second conductive liner on the bottom and sidewalls of the second opening; filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material. [012] In a fourth aspect, the method comprises the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opemng extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opemng having a lateral extent greater than that of the first opening; depositing a conductive liner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material from the second opening; and filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
Brief Description of the Drawings
[013] The features of the invention believed to be novel and the elements characteristic of the invention are set forth with particularity in the appended claims. The drawings are for illustration purposes only and are not drawn to scale. Furthermore, like numbers represent like features in the drawings. The invention itself, however, both as to organization and method of operation, may best be understood by reference to the detailed description which follows, taken in conjunction with the accompanying drawings, in which:
[014] Figure 1 illustrates an interconnect structure according to a first embodiment of the invention, in which the via is formed of a material different from that of the line conductor, and the via and line conductors are each fully surrounded on the bottom and sidewalls by separate liners;
[015] Figure 2 illustrates an interconnect structure according to a second embodiment of the invention, in which the via is surrounded by a liner having a thickness greater than the liner surrounding the line conductor;
[016] Figure 3 illustrates an interconnect structure according to a third embodiment of the invention, in which the via is formed of a material different from that of the line conductor, and the via and line conductors are surrounded on the bottom and sidewalls by a liner, but the liner does not separate the via from the line conductor;
[017] Figures 4(a)-4(f) illustrate a method for forming the interconnect structure shown in
Figure 1;
[018] Figures 5(a)-5(f) illustrate a method for forming the interconnect structure shown in
Figure 2;
[019] Figures 6(a)-6(f) illustrate a method for forming the interconnect structure shown in
Figure 1; and
[020] Figures 7(a)-7(f) illustrate a method for forming the interconnect structure shown in
Figure 3. Mode for the Invention
[021] The invention will now be described by reference to the accompanying figures. In the figures, various aspects of the structures have been shown and schematically represented in a simplified manner to more clearly describe and illustrate the invention. For example, the figures are not intended to be drawn to scale. In addition, the vertical cross-sections of the various aspects of the structures are illustrated as being rectangular in shape. Those skilled in the art will appreciate, however, that with practical structures these aspects will most likely incorporate more tapered features. Moreover, the invention is not limited to constructions of any particular shape.
[022] In the interconnect structure of this invention, the vias are made stronger by using a different material for the via conductor, or a different material for the via liner, or a thicker via liner, or a combination of these characteristics. In a preferred embodiment, refractory metals are used in the vias while copper is used in the trenches. Refractory metal is mechanically stronger than copper, and therefore can withstand the stresses that are often present during thermal cycling.
[023] A first embodiment of the invention is shown in Figure 1, which includes a first dielectric layer 10. At least one first conductor 13 is embedded in the first dielectric layer 10 and has a top surface coplanar with the top surface of layer 10. Optionally, a hardmask layer 11 may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11. A conductive liner 12 is also optionally disposed between conductor 13 and dielectric layer 10.
[024] A second dielectric layer 15 overlies first dielectric layer 10. Optionally, a cap layer
14 separates second dielectric layer 15 from first dielectric layer 10 and first conductor 13. Second dielectric layer 15 has at least one second conductor embedded therein. The second conductor comprises at least one first portion 19, the via or stud, and at least one second portion 21, the line conductor. The first portion 19 overlies and is in electrical contact with the first conductor 13. The second portion 21 overlies and has a lateral extent greater than that of the first portion 19, and the second portion 21 has a top surface coplanar with the top surface of the second dielectric layer 15. Optionally, a hardmask layer 16 may be deposited on second dielectric layer 15, in which case the second portion 21 has a top surface coplanar with the top surface of hardmask layer 16. A liner 18 optionally surrounds a bottom and sidewalls of first portion 19, and a liner 20 optionally surrounds a bottom and sidewalls of second portion 21.
[025] Preferably, tungsten or some other refractory metal is used for the first portion 19 of the second conductor, i.e. for the via or stud. Refractory metals are mechanically stronger than copper, and therefore can withstand the stresses that can occur during thermal cycling. Copper may be used for the first conductor 13 and for the second portion 21 of the second conductor, i.e. for the line conductor. The material used for the liner 18 suπounding the bottom and sidewalls of the first portion 19 is preferably titanium nitride, tantalum, tantalum nitride or tungsten. The liners 12 and 20 may be formed of tantalum and/or tantalum nitride.
[026] The dielectric layers 10 and 14 may be formed of any suitable dielectric material, although low-k dielectric materials are preferred. Suitable dielectric materials include carbon-doped silicon dioxide materials; fluorinated silicate glass (FSG); organic polymeric thermoset materials; silicon oxycarbide; SiCOH dielectrics; fluorine doped silicon oxide; spin-on glasses; silsesquioxanes, including hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and mixtures or copolymers of HSQ and MSQ; benzocyclobutene (BCB) -based polymer dielectrics, and any siUcon-containing low-k dielectric. Examples of spin-on low-k films with SiCOH-type composition using silsesquioxane chemistry include HOSP™ (available from Honeywell), JSR 5109 and 5108 (available from Japan Synthetic Rubber), Zirkon™ (available from Shipley Microelectronics, a division of Rohm and Haas), and porous low-k (ELk) materials (available from Applied Materials). Examples of carbon-doped silicon dioxide materials, or organosilanes, include Black Diamond™ (available from Applied Materials) and Coral™ (available from Novellus). An example of an HSQ material is FOx™ (available from Dow Corning). For this embodiment, preferred dielectric materials are organic polymeric thermoset materials, consisting essentially of carbon, oxygen and hydrogen. Preferred dielectric materials include the low-k polyarylene ether polymeric material known as SiLK™ (available from The Dow Chemical Company), and the low-k polymeric material known as FLARE™ (available from Honeywell). Hardmask layers 11 and 16 may be formed of silicon carbide, silicon nitride and/or silicon dioxide.
[027] The second portion 1 of the second conductor, i.e. the line conductor, preferably has a total thickness of about 100 to 5000 nm, more preferably about 300 nm. The first portion 19 of the second conductor, i.e. the via, preferably has a height of about 100 to 5000 nm, more preferably about 300 run. The via liner 18 preferably has a thickness of about 2 to 50 nm, more preferably about 20 nm, and the trench liner 20 preferably has a thickness of about 2 to 50 run, more preferably about 10 nm.
[028] A second embodiment of the invention is shown in Figure 2, and differs from the embodiment shown in Figure 1 in that the second conductor is formed entirely of the same material, preferably copper. In other words, the via or stud is not formed of a refractory metal. However, the via or stud is surrounded on the bottom and sidewalls with a liner which is thicker than the liner surrounding the line conductor. Specifically, the via or stud is surrounded by a liner comprising first liner 18 and second liner 20, while the line conductor is surrounded only by second Hner 20. First liner 18 may be formed of the same material as second liner 20, or the first liner 18 may be formed of a material different from the second liner 20.
[029] For the embodiment shown in Figure 2, the same materials may be used for each of the features discussed with regard to the embodiment shown in Figure 1. The second portion 21 of the second conductor, i.e. the line conductor, preferably has a total thickness of about 100 to 5000 nm, more preferably about 300 nm. The first portion 19 of the second conductor, i.e. the via, preferably has a height of about 100 to 5000 nm, more preferably about 300 nm. The liners 18 and 20 in the via preferably have a combined thickness of about 2 to 50 nm, more preferably about 30 nm, and the trench liner 20 preferably has a thickness of about 2 to 50 nm, more preferably about 10 nm.
[030] A third embodiment of the invention is shown in Figure 3, and differs from the embodiment shown in Figure 1 in that first portion 19 and second portion 21 of the second conductor are both surrounded by liner 18. In other words, first portion 19 and second portion 21 do not have separate liners, as in the embodiment shown in Figure 1. Rather, a single liner 18 surrounds the bottom and sidewalls of both first portion 19 and second portion 21.
[031] For the embodiment shown in Figure 3, the same materials may be used for each of the features discussed with regard to the embodiment shown in Figure 1. The second portion 21 of the second conductor, i.e. the line conductor, preferably has a total thickness of about 100 to 5000 nm, more preferably about 300 nm. The first portion 19 of the second conductor, i.e. the via, preferably has a height of about 100 to 5000 run, more preferably about 300 nm. The liner 18 in the via and trench preferably has a thickness of about 2 to 50 nm, more preferably about 20 nm.
[032] The embodiment shown in Figure 1 may be formed using the method illustrated in
Figures 4(a)-4(f). The starting point for the method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein. First conductor 13 has a top surface which is coplanar with atop surface of dielectric layer 10. As discussed previously, a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11. Optionally, a conductive liner 12 is disposed between conductor 13 and dielectric layer 10.
[033] The method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 4(a). Hardmask layers 16 and 17 may be formed of silicon carbide (SiC), silicon nitride (SiN), and/or silicon dioxide (SiO ). At least one first opening 19' is formed in dielectric layer 15 and hardmask layers 16 and 17, thereby exposing first conductor 13, as shown in Figure 4(b). Opening 19' may be formed by any suitable technique, mcluding conventional lithography and reactive ion etching (RIE).
[034] Opening 19' is then filled with a first conductive material 19, as shown in Figure
4(c). Optionally, prior to filling opening 19' with conductive material 19, a conductive liner 18 may be deposited on the bottom and sidewalls of opening 19' . Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by chemical vapor deposition (CVD). When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF 6 , to minimize the risk of fluorine attacking the low-k dielectric material 15.
[035] The refractory metal 19 may be deposited by any suitable technique, including chemical vapor deposition (CVD) or by ionized-metal physical vapor deposition (T-PVD). For W or TiN deposition, the preferred method is CVD. For Ta or TaN deposition, the prefeπed method is I-PVD.
[036] The I-PVD process uses alternate cycles of deposition and partial etchback to fill the via with metal. Using the I-PVD process, small features such as vias can be almost entirely filled with Ta (or TaN), while at the same time leaving a Ihin layer (about 5 to 25 nm) of Ta in the bottom of the trench. This is possible because the ratio of deposition to etchback increases as feature size slmnks.
[037] For example, to fill vias having a diameter of about 0.2 micron and a height of about 0.4 micron in a dual damascene structure, a Ta deposition plus etchback could be used. First, a Ta layer of about 5 to 30 nm is deposited at a magnetron power of about 2 to 60 killowatts, pressure of about 1 to 10 millitorr, and wafer bias of zero to about 200 watts. Next, an etchback process is run (preferably in the same chamber) with a magnetron power of about 2 to 30 killowatts, pressure of about 1 to 10 millitorr, and wafer bias of about 200 to 1200 watts. In the etchback step, metal is sputtered from the bottom of the via onto the sidewalls and thus thickens the sidewalls. Additional deposition and etchback cycles can be used to further increase the thickness of metal on the sidewalls of the via, until the via is completely (or nearly completely) filled with metal.
[038] Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by physical vapor deposition (PVD) or by CVD. Following deposition of liner material 18 and conductive material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
[039] Next, a top portion of first conductive material 19 and liner 18 is removed, as shown in Figure 4(d). The tungsten 19 and liner 18 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using hydrogen peroxide (H O ), or elecfroetching.
[040] Following etchback of conductive material 19 and liner 18, at least one second opening 21' is formed in dielectric material 15 and hardmask layers 16 and 17, as shown in Figure 4(e). Second opening 21' overlies first conductive material 19, and has a lateral extent greater than that of first opening 19'. Second opening 21' may be formed by any suitable technique, including conventional lithography and RTJE.
[041] Finally, opening 21' is filled with a second conductive material 21, as shown as
Figure 4(f). Optionally, prior to filling opening 21' with conductive material 21, a second conductive liner 20 may be deposited on the bottom and sidewalls of opening 21'. Conductive material 21 is preferably copper, and may be deposited by copper plating, which typically involves copper seed deposition by PVD, copper plating, and then CMP.
[042] The embodiment shown in Figure 1 may alternatively be formed using the method illustrated in Figures 6(a)-6(f). This method differs from the method shown in Figures 4(a)-4(f) in that the via and trench openings 19' and 21' are both formed prior to filling with first conductive material 19. The starting point for the method is again a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein. First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10. As discussed previously, a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11. Optionally, a conductive liner 12 is disposed between conductor 13 and dielectric layer 10.
[043] The method begins with deposition of second dielectric layer 15 and hardmask layers 16 and 17, as shown in Figure 6(a). At least one first opening 21' is formed in hardmask layer 17. Then, at least one second opening 19' is formed in hardmask layer 16 and partially into dielectric layer 15, as shown in Figure 6(b). Opening 19' is formed beneath opening 21', and has a lateral extent less than that of opening 21'. Openings 19' and 21' may be formed by any suitable technique, including conventional lithography and RTJE.
[044] Next, openings 19' and 21' are extended into dielectric layer 15, as shown in Figure
6(c). The trench 21' and via 19' may be formed using, for example, RIE. Opening 21' extends partially into dielectric layer 15, and opening 19' extends fully through dielectric layer 15, thereby exposing conductor 13.
[045] Openings 19' and 21' are then filled with a first conductive material 19, as shown in
Figure 6(d). Optionally, prior to filling these openings with conductive material 19, a conductive liner 18 may be deposited on the bottom and sidewalls of the openings. Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by CVD. When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF , to nrMmize the risk of fluorine attacking
6 the low-k dielectric material 15. Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by PVD or by CVD. Following deposition of liner material 18 and conductive material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
[046] Next, a top portion of first conductive material 19 and liner 18 is removed, as shown in Figure 6(e), thereby forming opening 21". The tungsten 19 and liner 18 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using H O , or electroetching.
[047] Finally, opening 21" is filled with a second conductive material 21, as shown in
Figure 6(f). Optionally, prior to filling opening 21" with conductive material 21, a second conductive liner 20 may be deposited on the bottom and sidewalls of opening 21". Conductive material 21 is preferably copper, and may be deposited by copper plating.
[048] The embodiment shown in Figure 2 may be formed using the method illustrated in
Figures 5(a)-5(f). Again, the starting point for the method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein. First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10. As discussed previously, a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11. Optionally, a conductive liner 12 is disposed between conductor 13 and dielectric layer 10. [049] The method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 5(a). At least one first opening 19' is formed in dielectric layer 15 and hardmask layers 16 and 17, thereby exposing first conductor 13, as shown in Figure 5(b). Opening 19' may be formed by any suitable technique, including conventional holography and reactive ion etching (RIE).
[050] A liner 18 is then deposited on the bottom and sidewalls of opening 19', as shown in Figure 5(c). Liner 18 may also be deposited on hardmask layer 17. Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and preferably has a thickness of about 5 to 100 nm.
[051] Next, opening 19' is filled with a sacrificial material 22, such as anti-reflective coating (ARC), as shown in Figure 5(d). For example, a layer of ARC 22 may be deposited on liner 18 and in opening 19', then a layer of photoresist 23 may be deposited on ARC 22. Opening 21 ' is then formed in photoresist 23 and ARC 22, and this opening is transferred to liner 18 and hardmask layer 17.
[052] In Figure 5(e), photoresist 23 and ARC 22 are then stripped from the surface of the substrate, and ARC 22 is removed from opening 19'. The refractory metal liner 18 protects the low-k dielectric material 15 during resist strip. Liner 18 is then etched back from the surface of the substrate, and opening 21' is transferred to hardmask layer 16 and dielectric layer 15.
[053] Finally, a second liner 20 is deposited on the bottom and sidewalls of openings 19' and 21', and then these openings are filled with conductive material 21, as shown in Figure 5(f). Following deposition of liner material 20 and conductive material 21, the top surface of conductor 21 is made coplanar with the top surface of dielectric layer 15 or hardmask layer 16 using, for example, CMP. Note that the via or stud portion of the second conductor is surrounded on the bottom and sidewalls by a liner which is thicker than the liner surrounding the line conductor. In other words, the via or stud portion is surrounded by a dual thickness Hner comprising first Hner 18 and second Hner 20, while the line conductor is surrounded only by second Hner 20.
[054] The embodiment shown in Figure 3 may be formed using the method illustrated in
Figures 7(a)-7(f). This method differs from the method shown in Figures 6(a)-6(f) in that the Hner 18 is not removed during the step of removing a top portion of first conductive material 19, as shown in Figure 7(e). Again, the starting point for this method is a substrate comprising a first dielectric layer 10 having at least one first conductor 13 embedded therein. First conductor 13 has a top surface which is coplanar with a top surface of dielectric layer 10. As discussed previously, a hardmask layer 11 optionally may be deposited on dielectric layer 10, in which case the conductor 13 has a top surface coplanar with the top surface of hardmask layer 11. OptionaUy, a conductive Hner 12 is disposed between conductor 13 and dielectric layer 10. [055] The method begins with deposition of second dielectric layer 15 and, optionally, hardmask layers 16 and 17, as shown in Figure 7(a). At least one first opening 21' is formed in hardmask layer 17. Then, at least one second opening 19' is formed in hardmask layer 16 and partially into dielectric layer 15, as shown in Figure 7(b). Opening 19' is formed beneath opening 21', and has a lateral extent less than that of opening 21'. Openings 19' and 21' may be formed by any suitable technique, mcluding conventional Hthography and RIE.
[056] Next, openings 19' and 21' are extended into dielectric layer 15, as shown in Figure
7(c). The trench 21' and via 19' may be formed using, for example, RIE. Opening 21' extends partially into dielectric layer 15, and opening 19' extends fully through dielectric layer 15, thereby exposing conductor 13.
[057] Openings 19' and 21' are then filled with a first conductive material 19, as shown in
Figure 7(d). Optionally, prior to filling these openings with conductive material 19, a conductive Hner 18 may be deposited on the bottom and sidewalls of the openings. Conductive material 19 is preferably a refractory metal such as tungsten, and may be deposited by CVD. When the conductive material is tungsten, then tungsten hexacarbonyl precursor is preferred over WF , to minimize the risk of fluorine attacking the low-k dielectric material 15. Liner 18 is preferably formed of titanium nitride, tantalum, tantalum nitride or tungsten, and may be deposited by PVD or by CVD. Following deposition of liner material 18 and conductor material 19, excess material is preferably removed by CMP or sputter etchback to make the top surface of conductive material 19 coplanar with the top surface of dielectric material 15.
[058] Next, a top portion of first conductive material 19 is removed, but the Hner 18 is not removed, as shown in Figure 6(e), thereby foπning opening 21". The tungsten 19 may be etched back, for example, by dry etch using fluorine-based chemistry, wet etch using H O , or electtoetching. The refractory metal Hner 18 protects the low-k dielectric material 15 during tungsten etchback.
[059] Finally, opening 21" is filled with a second conductive material 21, as shown in
Figure 7(f). With this embodiment, a second conductive Hner need not be deposited on the bottom and sidewalls of opening 21" prior to depositing conductive material 21. However, a second conductive Hner (not shown) may be deposited over Hner 18 and conductive material 19, prior to depositing conductive material 21. The second conductive Hner would preferably be formed of tantalum nitride and/or tantalum, and would have a thickness of about 1 to 10 nm. A sputter clean may be used to remove contaminants from the surface of first liner 18 prior to depositing the second Hner. Conductive material 21 is preferably copper, and may be deposited by copper plating.
[060] Note that there are two basic embodiments of the method of this invention. The first embodiment is shown in two variations in Figures 4(a)-4(f) and 5(a)-5(f). In this first embodiment, the via opening is formed first, and the via is filled with a first conductive material such as a refractory metal, prior to forming the trench opening. The second embodiment is shown in two variations in Figures 6(a)-6(f) and 7(a)-7(f). In this second embodiment, both the via and trench openings are formed first, and these openings are then filled with the first conductive material such as a refractory metal. The two variations shown for this second embodiment comprise forming the via opening first and then forming the trench opening, but the second embodiment could also be practiced by forming the trench opening first and then forming the via opening.
[061] In this invention, it is preferred to use a thick refractory metal in the via for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance. This is achieved in Figures 1 and 3, for example, by using a refractory metal for first conductive material 19. In Figure 2, this is achieved by using a refractory metal for both liners 18 and 20, thereby forming a thick refractory metal Hner for the via or stud and a Ihin refractory metal Hner for the line conductor. The refractory metal Hner also protects the low-k dielectric material 15 during photoresist strip, as in Figure 5(e), and during tungsten etchback, as in Figure 7(e).
[062] While the present invention has been particularly described in conjunction with a specific preferred embodiment and other alternative embodiments, it is evident that numerous alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. It is therefore intended that the appended claims embrace all such alternatives, modifications and variations as falling within the true scope and spirit of the present invention.

Claims

Claims
[001] An interconnect structure formed on a substrate, the structure comprising: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; and a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein, the second conductor comprising at least one first portion and at least one second portion, the second portion being formed of a material different from the first portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material.
[002] The interconnect structure of Qaim 1, further comprising: a first conductive Hner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second conductive Hner disposed between the second portion and the second dielectric material and between the second portion and the first portion, the second Hner being formed of a material different from the first Hner.
[003] The interconnect structure of Claim 1 or 2, further comprising a hardmask layer overlying the second layer of dielectric material, and wherein the second portion of the second conductor has a top surface coplanar with a top surface of the hardmask layer.
[004] The interconnect structure of Claim 1, 2 or 3 wherein the second dielectric material is the same as the first dielectric material.
[005] The interconnect structure of any one of Claims 1 to 4, wherein the second dielectric material is different from the first dielectric material.
[006] The interconnect structure of any one of Claims 1 to 5, wherein the second portion is formed of copper and the first portion is formed of tungsten.
[007] The interconnect structure of Claim 2, wherein the first Hner is formed of a material selected from the group consisting of titanium nitride, tantalum, tantalum nitride and tungsten, and the second Hner is formed of a material selected from the group consisting of tantalum nitride and tantalum.
[008] The interconnect structure of Claim 2, wherein the second Hner has a thickness less than the thickness of the first Hner.
[009] An interconnect structure formed on a substrate, the structure comprising: a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material; a second layer of a second dielectric material overlying the first layer of dielectric material and having at least one second conductor embedded therein, the second conductor comprising at least one first portion and at least one second portion, wherein the first portion is in electrical contact with the first conductor, the second portion is overlying and in electrical contact with the first portion, the second portion has a lateral extent greater than that of the first portion, and the second portion has a top surface coplanar with a top surface of the second layer of dielectric material; a first conductive Hner disposed between the first portion and the second dielectric material and between the first portion and the first conductor; and a second Hner disposed between the second portion and the second dielectric material, the second Hner having a thickness less than the thickness of the first Hner.
[010] The interconnect structure of Claim 9, further comprising a hardmask layer overlying the second layer of dielectric material, and wherein the second portion of the second conductor has a top surface coplanar with a top surface of the hardmask layer.
[011] The interconnect structure of Claim 9 or 10, wherein the second dielectric material is the same as the first dielectric material.
[012] The interconnect structure of Claim 9, 10 or 11 wherein the second dielectric material is different from the first dielectric material.
[013] The interconnect structure of any one of Claims 9 to 12, wherein the second conductor is formed of copper.
[014] The interconnect structure of any one of Claims 9 to 13, wherein the first Hner comprises a material selected from the group consisting of titanium nitride, tantalum, tantalum nitride and tungsten, and the second Hner comprises a material selected from the group consisting of tantalum nitride and tantalum.
[015] The interconnect structure of any one of Claims 9 to 14, further comprising: a conductive Hner disposed between said second conductor and the second dielectric material and between the second conductor and the first conductor.
[016] The interconnect structure of Claim 15, further comprising a hardmask layer overlying the second layer of dielectric material, and wherein the second portion of the second conductor has a top surface coplanar with a top surface of the hardmask layer.
[017] The interconnect structure of Claim 15, wherein the second dielectric material is the same as the first dielectric material.
[018] The interconnect structure of Claim 15, wherein the second dielectric material is different from the first dielectric material. [019] The interconnect structure of any one of Claims 15 to 18, wherein the Hner comprises a material selected from the group consisting of titanium nitride, tantalum, tantalum nitride and tungsten
[020] A method for forming an interconnect structure on a substrate, the substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material, the method comprising the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; filling the first opening with a first conductive material; removing a top portion of the first conductive material; forrning at least one second opening in the second layer of dielectric material, the second opening overlying the first conductive material, and the second opening having a lateral extent greater than that of the first opening; and filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
[021] The method of Claim 20, further comprising the steps of: depositing a first conductive Hner on the bottom and sidewalls of the first opening, prior to filling the first opening with the first conductive material; and depositing a second conductive Hner on the bottom and sidewalls of the second opening, prior to filling the second opening with the second conductive material.
[022] A method for forrning an interconnect structure on a substrate, the substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material, the method comprising the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forming at least one first opening in the second layer of dielectric material, the first opening partially exposing the first conductor; depositing a first conductive Hner on the bottom and sidewalls of the first opening; filling the first opening with a sacrificial material; forming at least one second opening in the second layer of dielectric material, the second opening overlying the first opening, and the second opening having a lateral extent greater than that of the first opening; removing the sacrificial material; depositing a second conductive Hner on the bottom and sidewalls of the second opening and on the first Hner; and ruling the second opening with a conductive material, thereby forrning a second conductor, wherein the second conductor has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
[023] A method for forming an interconnect structure on a substrate, the substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material, the method comprising the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forrning at least one first opening in the second layer of dielectric material, the first opening extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opening having a lateral extent greater than that of the first opening; depositing a first conductive Hner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material and the first Hner from the second opening; depositing a second conductive Hner on the bottom and sidewaUs of the second opening; filling the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
[024] A method for forming an interconnect structure on a substrate, the substrate comprising a first layer of a first dielectric material having at least one first conductor embedded therein, the first conductor having a top surface coplanar with a top surface of the layer of dielectric material, the method comprising the steps of: depositing a second layer of a second dielectric material on the first layer of dielectric material; forrning at least one first opening in the second layer of dielectric material, the first opening extending partially through the second layer of dielectric material but not exposing the first conductor; forming at least one second opening in the second layer of dielectric material and extending the first opening through the remainder of the dielectric material, thereby exposing the first conductor, the second opening overlying the first opening and the second opening having a lateral extent greater than that of the first opening; depositing a conductive Hner on the bottom and sidewalls of the first and second openings; filling the first and second openings with a first conductive material; removing the first conductive material from the second opening; and filHng the second opening with a second conductive material, wherein the second conductive material is different from the first conductive material, and the second conductive material has a top surface which is made coplanar with the top surface of the second layer of dielectric material.
PCT/EP2004/051046 2003-06-23 2004-06-14 Dual damascene interconnect structures having different materials for line and via conductors WO2004114395A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006516136A JP2009514186A (en) 2003-06-23 2004-06-14 Dual damascene interconnect structure with different materials for line and via conductors
EP04741743A EP1649510A2 (en) 2003-06-23 2004-06-14 Dual damascene interconnect structures having different materials for line and via conductors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/604,026 US6958540B2 (en) 2003-06-23 2003-06-23 Dual damascene interconnect structures having different materials for line and via conductors
US10/604,026 2003-06-23

Publications (2)

Publication Number Publication Date
WO2004114395A2 true WO2004114395A2 (en) 2004-12-29
WO2004114395A3 WO2004114395A3 (en) 2005-02-10

Family

ID=33539856

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2004/051046 WO2004114395A2 (en) 2003-06-23 2004-06-14 Dual damascene interconnect structures having different materials for line and via conductors

Country Status (7)

Country Link
US (3) US6958540B2 (en)
EP (1) EP1649510A2 (en)
JP (1) JP2009514186A (en)
KR (1) KR100772602B1 (en)
CN (1) CN100405574C (en)
TW (2) TW200931593A (en)
WO (1) WO2004114395A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009545889A (en) * 2006-07-31 2009-12-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Interconnect structure and method of manufacturing the same

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI242835B (en) * 2003-08-29 2005-11-01 Nanya Technology Corp Structure of interconnects and fabricating method thereof
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
KR100621630B1 (en) * 2004-08-25 2006-09-19 삼성전자주식회사 Damascene processs using metals of two kinds
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
JP4506767B2 (en) * 2007-02-28 2010-07-21 カシオ計算機株式会社 Manufacturing method of semiconductor device
US20080230907A1 (en) * 2007-03-22 2008-09-25 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with carbon enhancement
US7812461B2 (en) * 2007-03-27 2010-10-12 Micron Technology, Inc. Method and apparatus providing integrated circuit having redistribution layer with recessed connectors
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
DE102011002769B4 (en) * 2011-01-17 2013-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A semiconductor device and method of making a hybrid contact structure having small aspect ratio contacts in a semiconductor device
CN102437097A (en) * 2011-09-08 2012-05-02 上海华力微电子有限公司 Novel manufacturing method of contact hole
CN102437098A (en) * 2011-09-08 2012-05-02 上海华力微电子有限公司 Forming method of contact hole for reducing contact resistance
CN102437099A (en) * 2011-09-08 2012-05-02 上海华力微电子有限公司 Forming method of contact hole structure for reducing resistance of contact hole
US9252050B2 (en) 2012-09-11 2016-02-02 International Business Machines Corporation Method to improve semiconductor surfaces and polishing
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9716035B2 (en) 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
KR102142795B1 (en) * 2016-02-02 2020-09-14 도쿄엘렉트론가부시키가이샤 Self-alignment of metals and vias using selective deposition
KR20170110332A (en) * 2016-03-23 2017-10-11 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10211153B2 (en) * 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
TWI625991B (en) * 2016-10-17 2018-06-01 南亞電路板股份有限公司 Circuit board structure and method for forming the same
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
CN107946332B (en) * 2017-11-22 2021-07-23 德淮半导体有限公司 Semiconductor structure, CMOS image sensor and preparation method thereof
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US11652044B2 (en) * 2021-02-26 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of making

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795823A (en) * 1995-06-07 1998-08-18 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5897369A (en) * 1996-05-16 1999-04-27 Lg Semicon Co., Ltd. Method for forming interconnection of a semiconductor device
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162452A (en) * 1990-10-24 1992-06-05 Nec Kyushu Ltd Manufacture of semiconductor device
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5453575A (en) * 1993-02-01 1995-09-26 Endosonics Corporation Apparatus and method for detecting blood flow in intravascular ultrasonic imaging
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
JP3228181B2 (en) * 1997-05-12 2001-11-12 ヤマハ株式会社 Flat wiring formation method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6127258A (en) 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
JP2001007200A (en) * 1999-06-21 2001-01-12 Matsushita Electronics Industry Corp Method for forming wiring
JP2001015510A (en) * 1999-06-28 2001-01-19 Hitachi Ltd Semiconductor device and its manufacture
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
JP2001319928A (en) 2000-05-08 2001-11-16 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method therefor
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US20020086519A1 (en) * 2000-12-29 2002-07-04 Houston Theodore W. Stacked vias and method
JP2002368081A (en) * 2001-06-06 2002-12-20 Sony Corp Method of manufacturing semiconductor device
US6537913B2 (en) * 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
TW522479B (en) 2001-12-07 2003-03-01 Taiwan Semiconductor Mfg Method of forming dual-damascene
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795823A (en) * 1995-06-07 1998-08-18 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5897369A (en) * 1996-05-16 1999-04-27 Lg Semicon Co., Ltd. Method for forming interconnection of a semiconductor device
US6383821B1 (en) * 1999-10-29 2002-05-07 Conexant Systems, Inc. Semiconductor device and process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1649510A2 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009545889A (en) * 2006-07-31 2009-12-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Interconnect structure and method of manufacturing the same

Also Published As

Publication number Publication date
US20040262764A1 (en) 2004-12-30
US20050245068A1 (en) 2005-11-03
US20080026566A1 (en) 2008-01-31
EP1649510A2 (en) 2006-04-26
CN100405574C (en) 2008-07-23
KR100772602B1 (en) 2007-11-02
US6958540B2 (en) 2005-10-25
US7704876B2 (en) 2010-04-27
US7300867B2 (en) 2007-11-27
TWI345818B (en) 2011-07-21
WO2004114395A3 (en) 2005-02-10
TW200931593A (en) 2009-07-16
KR20060025149A (en) 2006-03-20
CN1799137A (en) 2006-07-05
JP2009514186A (en) 2009-04-02
TW200512874A (en) 2005-04-01

Similar Documents

Publication Publication Date Title
US7704876B2 (en) Dual damascene interconnect structures having different materials for line and via conductors
US7884013B2 (en) Dual damascene with via liner
US6838355B1 (en) Damascene interconnect structures including etchback for low-k dielectric materials
KR100702549B1 (en) A method for depositing a metal layer on a semiconductor interconnect structure
US7288475B2 (en) Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US6150272A (en) Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6531390B2 (en) Non-metallic barrier formations for copper damascene type interconnects
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6734096B2 (en) Fine-pitch device lithography using a sacrificial hardmask
US7259090B2 (en) Copper damascene integration scheme for improved barrier layers
US20120142188A1 (en) Anchored damascene structures
CN100378953C (en) Method of forming low resistance and reliable via in inter-level dielectric interconnect
US20020171147A1 (en) Structure of a dual damascene via
US7071100B2 (en) Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US7282441B2 (en) De-fluorination after via etch to preserve passivation
US20040067643A1 (en) Method of forming a protective layer over Cu filled semiconductor features
WO1991010261A1 (en) Semiconductor interconnect structure utilizing a polyimide insulator
US6825561B1 (en) Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US6713379B1 (en) Method for forming a damascene structure
US8048799B2 (en) Method for forming copper wiring in semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057022397

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20048149580

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2006516136

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004741743

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057022397

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004741743

Country of ref document: EP

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)