WO2004081994A3 - Substrate support lift mechanism - Google Patents

Substrate support lift mechanism Download PDF

Info

Publication number
WO2004081994A3
WO2004081994A3 PCT/US2004/007164 US2004007164W WO2004081994A3 WO 2004081994 A3 WO2004081994 A3 WO 2004081994A3 US 2004007164 W US2004007164 W US 2004007164W WO 2004081994 A3 WO2004081994 A3 WO 2004081994A3
Authority
WO
WIPO (PCT)
Prior art keywords
substrate support
coupled
processing chamber
positioning
gimbal
Prior art date
Application number
PCT/US2004/007164
Other languages
French (fr)
Other versions
WO2004081994A9 (en
WO2004081994A2 (en
Inventor
Eric W Schieve
David T Or
Keith K Koai
Rene T Correa
Original Assignee
Applied Materials Inc
Eric W Schieve
David T Or
Keith K Koai
Rene T Correa
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Eric W Schieve, David T Or, Keith K Koai, Rene T Correa filed Critical Applied Materials Inc
Priority to CN2004800067010A priority Critical patent/CN1759466B/en
Priority to KR1020057016866A priority patent/KR101103863B1/en
Publication of WO2004081994A2 publication Critical patent/WO2004081994A2/en
Publication of WO2004081994A9 publication Critical patent/WO2004081994A9/en
Publication of WO2004081994A3 publication Critical patent/WO2004081994A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

An apparatus for positioning a substrate support within a processing chamber is provided. In one embodiment, an apparatus for positioning a substrate support includes a gimbal mechanism having radially aligned clamping that substantially prevents movement from a pre-defined plane of a substrate support coupled to the gimbal mechanism during clamping. In another embodiment, an apparatus for positioning a substrate support includes substrate support disposed in a processing chamber. A stem, coupled to the substrate support, extends through the processing chamber and is coupled to a gimbal assembly. The gimbal assembly has a radial clamping mechanism is adapted to adjust a planar orientation of the substrate support about a plurality of axes without exerting rotational moments on the substrate support during clamping. A bearing assembly, having a first carriage block and a second carriage block, is coupled to the gimbal assembly. An actuator is coupled to at least one of the carriage blocks and is adapted to control the elevation of the substrate support within the processing chamber.
PCT/US2004/007164 2003-03-12 2004-03-10 Substrate support lift mechanism WO2004081994A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2004800067010A CN1759466B (en) 2003-03-12 2004-03-10 Substrate support lift mechanism
KR1020057016866A KR101103863B1 (en) 2003-03-12 2004-03-10 Substrate support lift mechanism

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/387,619 US20040177813A1 (en) 2003-03-12 2003-03-12 Substrate support lift mechanism
US10/387,619 2003-03-12

Publications (3)

Publication Number Publication Date
WO2004081994A2 WO2004081994A2 (en) 2004-09-23
WO2004081994A9 WO2004081994A9 (en) 2004-10-28
WO2004081994A3 true WO2004081994A3 (en) 2005-06-16

Family

ID=32961921

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/007164 WO2004081994A2 (en) 2003-03-12 2004-03-10 Substrate support lift mechanism

Country Status (5)

Country Link
US (2) US20040177813A1 (en)
KR (1) KR101103863B1 (en)
CN (1) CN1759466B (en)
TW (1) TWI286362B (en)
WO (1) WO2004081994A2 (en)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP2005063991A (en) * 2003-08-08 2005-03-10 Sumitomo Electric Ind Ltd Semiconductor manufacturing equipment
KR100782380B1 (en) * 2005-01-24 2007-12-07 삼성전자주식회사 Device for making semiconductor
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080017117A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100059183A1 (en) * 2008-09-10 2010-03-11 Hiwin Mikrosystem Corp. Wafer taping and detaping machine
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5324231B2 (en) * 2009-01-08 2013-10-23 日東電工株式会社 Semiconductor wafer alignment system
JP5457216B2 (en) * 2009-02-27 2014-04-02 キヤノンアネルバ株式会社 Substrate support apparatus, substrate transfer apparatus, and electrical device manufacturing method
US7851233B2 (en) * 2009-03-26 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. E-chuck for automated clamped force adjustment and calibration
CN102108503B (en) * 2009-12-10 2013-09-11 北京北方微电子基地设备工艺研究中心有限责任公司 Grounding/supporting device and plasma treatment equipment employing same
KR101453233B1 (en) * 2010-10-07 2014-10-22 캐논 아네르바 가부시키가이샤 Substrate processing device
WO2013005481A1 (en) * 2011-07-05 2013-01-10 エピクルー株式会社 Susceptor apparatus and film-forming apparatus provided with same
JP2013033963A (en) * 2011-07-29 2013-02-14 Semes Co Ltd Substrate processing apparatus and substrate processing method
WO2013112313A1 (en) * 2012-01-26 2013-08-01 Applied Materials, Inc. Thermal processing chamber with top substrate support assembly
US8968654B2 (en) * 2012-06-21 2015-03-03 Automation Solutions, Inc. Fluid delivery system and lift for use in conjunction therewith
CN104103549B (en) * 2013-04-07 2018-05-18 盛美半导体设备(上海)有限公司 Semiconductor processing chamber
CN103215563A (en) * 2013-04-28 2013-07-24 光垒光电科技(上海)有限公司 Deposition equipment and rotary device
CN104233191A (en) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 Heating chamber and plasma processing apparatus
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
CN104752302B (en) * 2013-12-30 2018-05-08 北京北方华创微电子装备有限公司 A kind of base supports structure and chamber
SG11201610311SA (en) 2014-07-11 2017-01-27 Applied Materials Inc Apparatus and methods for alignment of a susceptor
US10186450B2 (en) * 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
CN107112265B (en) * 2015-01-09 2020-12-04 应用材料公司 Substrate conveying mechanism
CN107735857B (en) * 2015-06-05 2022-01-11 应用材料公司 Base positioning and rotating equipment and using method
WO2016196105A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
TWI723024B (en) 2015-06-26 2021-04-01 美商應用材料股份有限公司 Recursive inject apparatus for improved distribution of gas
TWI674168B (en) * 2015-07-27 2019-10-11 美商應用材料股份有限公司 Lift pin actuator,substrate support assembly, and method for utilizing substrate support assembly
CN105080803B (en) * 2015-08-21 2018-08-07 京东方科技集团股份有限公司 Base plate carrying structure, decompression drying equipment and decompression drying method
JP6596362B2 (en) * 2015-12-02 2019-10-23 東京エレクトロン株式会社 A processing device for processing a workpiece in a decompressed space
US10501844B2 (en) 2016-07-25 2019-12-10 Applied Materials, Inc. Fine leveling of large carousel based susceptor
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
CN106607320B (en) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 Thermal vacuum drying device suitable for flexible base board
US11183418B2 (en) * 2017-06-01 2021-11-23 Applied Materials, Inc. Two axis goniometer to accomplish fine, permanent, calibration of lift pin hoop orientation
US10571069B2 (en) 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
CN107723683B (en) * 2017-10-16 2020-06-23 江苏鲁汶仪器有限公司 Chemical vapor deposition coating equipment
CN110289242B (en) * 2018-03-19 2021-08-13 北京北方华创微电子装备有限公司 Base adjusting device, chamber and semiconductor processing equipment
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
GB201902032D0 (en) * 2019-02-14 2019-04-03 Pilkington Group Ltd Apparatus and process for determining the distance between a glass substrate and a coater
US11251067B2 (en) 2019-04-26 2022-02-15 Applied Materials, Inc. Pedestal lift for semiconductor processing chambers
CN114026675A (en) * 2019-07-25 2022-02-08 爱必克股份有限公司 Process chamber of epitaxial growth device
WO2021034968A1 (en) * 2019-08-19 2021-02-25 Oem Group, Llc Systems and methods for a lift and rotate wafer handling process
CN111048466B (en) * 2019-12-26 2022-08-16 北京北方华创微电子装备有限公司 Wafer clamping device
CN111430279B (en) * 2020-04-30 2023-09-01 瑞安市荣海机电有限公司 Substrate supporting device for excimer laser annealing equipment
CN114695234A (en) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 Protection mechanism and method for protecting wafer and pin
TWI827971B (en) * 2021-09-01 2024-01-01 建佳科技股份有限公司 A baking fixture used in the semiconductor manufacturing process
CN113774360B (en) * 2021-11-11 2022-02-11 陛通半导体设备(苏州)有限公司 Chemical vapor deposition equipment capable of reciprocating, rotating and lifting
CN116336156A (en) * 2023-05-30 2023-06-27 上海陛通半导体能源科技股份有限公司 Ultrahigh vacuum rotating device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0284343A2 (en) * 1987-03-23 1988-09-28 Westech Systems, Inc. Polishing apparatus
EP0592017A2 (en) * 1987-03-31 1994-04-13 Advanced Semiconductor Materials America, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
EP1202330A2 (en) * 2000-10-26 2002-05-02 Applied Materials, Inc. De-coupled wafer lift and five axis adjustable heater lift system for CVD process chamber
US20020121312A1 (en) * 2001-03-01 2002-09-05 Dmitry Lubomirsky Lift pin alignment and operation methods and apparatus
US6511368B1 (en) * 1999-10-27 2003-01-28 Strasbaugh Spherical drive assembly for chemical mechanical planarization

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US309438A (en) 1884-12-16 Island
US1173289A (en) * 1916-02-29 American Manganese Steel Co Mill-pinion.
US539796A (en) * 1895-05-28 Coupling
US202473A (en) * 1878-04-16 Improvement in shaft-couplings
US645860A (en) * 1899-11-02 1900-03-20 William Frederick Scholze Game device.
US1104666A (en) * 1913-06-18 1914-07-21 Corona Mfg Co Ratchet-wheel and the like.
US1100504A (en) * 1913-07-22 1914-06-16 Herbert Othro Taft Jack-spool and fastener.
US1550651A (en) 1921-06-25 1925-08-18 James A Charter Metal-wheel-welding machine
US2499146A (en) * 1946-01-24 1950-02-28 Ernest T Kindt Dowel pin
US2619215A (en) * 1950-08-22 1952-11-25 Toledo Scale Co Mounting for key buttons
US2921326A (en) 1956-10-09 1960-01-19 Iodent Chemical Company Toothbrush
US2972493A (en) 1959-02-13 1961-02-21 Westinghouse Electric Corp Means for attaching a knob or the like to a shaft
US3582116A (en) 1969-04-24 1971-06-01 Stephen A Young Stem extension for plumbing fixtures
US3876174A (en) * 1972-05-15 1975-04-08 Southwestern Ind Inc Universally adjustable mounting apparatus
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
US4607974A (en) 1984-02-27 1986-08-26 Cooper Industries, Inc. Wand and wand extension
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4891087A (en) 1984-10-22 1990-01-02 Texas Instruments Incorporated Isolation substrate ring for plasma reactor
US4629321A (en) * 1985-09-27 1986-12-16 Spectra-Physics, Inc. Grade setting and calibration arrangement for laser beam projection device
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3566740B2 (en) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド Equipment for all wafer deposition
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US6042852A (en) * 1993-03-23 2000-03-28 The Pillsbury Company Low pressure refrigerated dough product
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JP3165938B2 (en) 1993-06-24 2001-05-14 東京エレクトロン株式会社 Gas treatment equipment
US5803994A (en) * 1993-11-15 1998-09-08 Kaiser Aluminum & Chemical Corporation Aluminum-copper alloy
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
DE4428310A1 (en) 1994-08-10 1996-02-15 Manfred Schanz Fastening device for a tool or workpiece
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
US5804042A (en) 1995-06-07 1998-09-08 Tokyo Electron Limited Wafer support structure for a wafer backplane with a curved surface
JP3128189B2 (en) * 1995-08-14 2001-01-29 株式会社東海理化電機製作所 Mounting structure of base and base cover of door mirror for automobile
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5900062A (en) * 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
GB9608108D0 (en) * 1996-04-19 1996-06-26 Naco Inc Steel Castings
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6001234A (en) * 1997-09-30 1999-12-14 Semitool, Inc. Methods for plating semiconductor workpieces using a workpiece-engaging electrode assembly with sealing boot
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5848670A (en) * 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5851775A (en) * 1997-03-20 1998-12-22 Johns Hopkins University β-catenin, Tcf-4, and APC interact to prevent cancer
JPH10321572A (en) * 1997-05-15 1998-12-04 Toshiba Corp Both-surface cleaning apparatus for semiconductor wafer and polishing method for semiconductor wafer
US6200693B1 (en) * 1997-05-22 2001-03-13 Henkel Corporation Water-based liquid treatment for aluminum and its alloys
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) * 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR100282853B1 (en) * 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6168868B1 (en) * 1999-05-11 2001-01-02 Ppg Industries Ohio, Inc. Process for applying a lead-free coating to untreated metal substrates via electrodeposition
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6133700A (en) * 1999-02-23 2000-10-17 General Electric Company Torque controller system having a torque processor with improved tractive effort distribution
US6213478B1 (en) * 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
KR100319494B1 (en) * 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
CA2390465A1 (en) 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118343B (en) * 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6572708B2 (en) * 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
FI117980B (en) 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
KR100427423B1 (en) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
JP2002134592A (en) * 2000-10-19 2002-05-10 Tokyo Ohka Kogyo Co Ltd Method and equipment for heat treatment
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030075387A1 (en) * 2001-10-22 2003-04-24 Chung-Chiang Wang Wafer loading device
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0284343A2 (en) * 1987-03-23 1988-09-28 Westech Systems, Inc. Polishing apparatus
EP0592017A2 (en) * 1987-03-31 1994-04-13 Advanced Semiconductor Materials America, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US6511368B1 (en) * 1999-10-27 2003-01-28 Strasbaugh Spherical drive assembly for chemical mechanical planarization
EP1202330A2 (en) * 2000-10-26 2002-05-02 Applied Materials, Inc. De-coupled wafer lift and five axis adjustable heater lift system for CVD process chamber
US20020121312A1 (en) * 2001-03-01 2002-09-05 Dmitry Lubomirsky Lift pin alignment and operation methods and apparatus

Also Published As

Publication number Publication date
US7871470B2 (en) 2011-01-18
US20040177813A1 (en) 2004-09-16
TWI286362B (en) 2007-09-01
KR20050109547A (en) 2005-11-21
US20060240542A1 (en) 2006-10-26
WO2004081994A9 (en) 2004-10-28
KR101103863B1 (en) 2012-01-12
CN1759466A (en) 2006-04-12
WO2004081994A2 (en) 2004-09-23
TW200503143A (en) 2005-01-16
CN1759466B (en) 2010-09-08

Similar Documents

Publication Publication Date Title
WO2004081994A3 (en) Substrate support lift mechanism
EP1760448A3 (en) Gas flux chamber and a positioning method thereof
JP4871058B2 (en) Workpiece support device in laser processing machine
JP2019510650A5 (en)
TW200717691A (en) Aligner
WO2000026974A3 (en) Semiconductor processing chamber calibration tool
WO2008048491A3 (en) Contact lithography apparatus, system and method
WO2008011306A3 (en) Substrate support with adjustable lift and rotation mount
JP2018140597A (en) Cutter holder mounting structure and holder joint
JP2006233283A5 (en)
WO2007041012A3 (en) Batch wafer handling system
US20100310200A1 (en) Rotary flexure and air bearing support for rotary indexing system
EP1696470A3 (en) Wafer holder and method of holding a wafer
WO2007001663A3 (en) A semiconductor substrate processing apparatus with a passive substrate gripper
EP0994085A3 (en) Method of manufacturing spherical bodies
MY136920A (en) Disk drive
JP2002237464A (en) Disconnection type wafer lift for cvd treatment chamber and five-shaft adjustable heater lift system
WO2005114717A3 (en) Implant optimization scheme
JP2008251563A (en) Carrying device and carrying method
US20060272920A1 (en) Multi-position stop mechanism
TW200511368A (en) Apparatus for semiconductor device and method using the same
JP2005044938A (en) Substrate transfer device with aligner
US8157497B2 (en) Method and loader apparatus for TEM machine work chamber device
WO2005070597A3 (en) Device for machining, in particular, disc-shaped workpieces
WO2005108029A3 (en) Vertical-type machine for machining marble, granite, or the like

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

COP Corrected version of pamphlet

Free format text: PAGES 16-26, CLAIMS, REPLACED BY CORRECT PAGES 16-26

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057016866

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20048067010

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057016866

Country of ref document: KR

122 Ep: pct application non-entry in european phase