WO2004040648A1 - 半導体装置および半導体装置の作製方法 - Google Patents

半導体装置および半導体装置の作製方法 Download PDF

Info

Publication number
WO2004040648A1
WO2004040648A1 PCT/JP2003/013552 JP0313552W WO2004040648A1 WO 2004040648 A1 WO2004040648 A1 WO 2004040648A1 JP 0313552 W JP0313552 W JP 0313552W WO 2004040648 A1 WO2004040648 A1 WO 2004040648A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
oxide layer
substrate
metal
film
Prior art date
Application number
PCT/JP2003/013552
Other languages
English (en)
French (fr)
Inventor
Shunpei Yamazaki
Toru Takayama
Junya Maruyama
Yumiko Ohno
Original Assignee
Semiconductor Energy Laboratory Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co., Ltd. filed Critical Semiconductor Energy Laboratory Co., Ltd.
Priority to AU2003275614A priority Critical patent/AU2003275614A1/en
Priority to JP2004525641A priority patent/JP4693411B2/ja
Priority to KR1020117016394A priority patent/KR101169371B1/ko
Publication of WO2004040648A1 publication Critical patent/WO2004040648A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1218Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or structure of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • H01L27/1266Multistep manufacturing methods with a particular formation, treatment or coating of the substrate the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/50Forming devices by joining two substrates together, e.g. lamination techniques
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/60Forming conductive regions or layers, e.g. electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/80Manufacture or treatment specially adapted for the organic devices covered by this subclass using temporary substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/982Varying orientation of devices in array

Definitions

  • the present invention relates to a method for peeling a layer to be peeled, and particularly to a method for peeling a layer to be peeled including various elements.
  • the present invention relates to a semiconductor device having a semiconductor integrated circuit or a thin film transistor (hereinafter referred to as TFT) in which a peeled layer to be peeled is attached to a substrate and transferred, and a manufacturing method thereof.
  • TFT semiconductor integrated circuit or a thin film transistor
  • the present invention relates to an electro-optical device represented by a liquid crystal module, a light emitting device represented by an EL module, and an electronic device equipped with such a device as a component.
  • a semiconductor device in this specification refers to any device that can function by utilizing semiconductor characteristics, and an electro-optical device, a light-emitting device, a semiconductor circuit, and an electronic device are all semiconductor devices.
  • the substrate used in the conventional image display device is a substrate made of an inorganic material such as a glass or quartz substrate as described above, and has a disadvantage that the inorganic material is cracked and heavy. In order to overcome this drawback, attempts have been made to form a TFT on a plastic substrate represented by a flexible plastic film or the like.
  • the separation layer since amorphous silicon or polysilicon is used as the separation layer, depending on the film thickness and the wavelength of the laser beam used, The problem may be that the irradiated laser beam passes through the separation layer and damages the layer to be peeled.
  • a device is manufactured on the separation layer, if high-temperature heat treatment or the like is performed in the device manufacturing process, hydrogen contained in the separation layer is diffused and reduced, and the separation layer is irradiated with laser light.
  • peeling may not be performed sufficiently. Therefore, there is a problem that the process after the formation of the separation layer is limited in order to maintain the amount of hydrogen contained in the separation layer.
  • a light-blocking layer or a reflective layer is provided to prevent damage to the layer to be peeled, but in that case, it is difficult to manufacture a transmissive liquid crystal display device.
  • the present invention has been made in view of the above problems, and provides a method for enabling separation without damaging a layer to be separated, and has a large area in addition to a layer having a small area. It is an object to enable a layer to be peeled to be peeled over the entire surface.
  • Another object of the present invention is to provide a light-weight semiconductor device in which a layer to be separated is attached to various base materials and a method for manufacturing the semiconductor device.
  • a light-weight semiconductor device and a method of manufacturing the same by attaching various elements typified by a TFT (thin film diode, photoelectric conversion element composed of silicon PIN junction and silicon resistance element) to a flexible film.
  • TFT thin film diode, photoelectric conversion element composed of silicon PIN junction and silicon resistance element
  • the substrate is separated cleanly by physical means, typically at the inside or at the interface (the interface between the metal oxide layer and the oxide layer), typically by applying mechanical force (for example, peeling off by hand).
  • mechanical force for example, peeling off by hand.
  • the properties (physical properties) of a substance differ greatly depending on the arrangement of the atoms and molecules that compose it.
  • the crystalline state and the non-crystalline state differ in terms of optical characteristics such as spectral characteristics (transmittance, reflectance, absorption coefficient, etc.), refractive index, and electrical characteristics. They differ in electrical conductivity and other properties, and in terms of other properties, they differ in strength, hardness, density and surface energy. It is also known that, even in the same crystal state, if the plane orientation (or orientation) of the crystal lattice is different, each of the above-mentioned characteristics is greatly different depending on the respective orientation.
  • semiconductor devices using silicon have different optical characteristics and electrical characteristics between those in an amorphous state and those in a polycrystalline state, and those in a single crystal state. It is.
  • a metal layer was provided on a substrate, an oxide layer was formed on the metal layer, and after forming various elements on the oxide layer, the metal layer was oxidized.
  • the metal oxide formed at the interface between the metal layer and the oxide layer is composed of aggregates of crystals with partially different characteristics when viewed in a microscopic manner, and the state between the crystals aggregates. It is easily anticipated that it will be formed by combining strong and weak parts, or by combining strong and weak parts, and peeling or separation may occur due to physical forces. Can be expected to get.
  • the interface between the metal layer and the oxide layer can exist in an energy state within a certain range, in other words, in a bonded state. It is possible to complete the process of manufacturing devices such as TFTs without peeling (peeling).
  • a method of peeling a layer to be peeled from a substrate A method of peeling a layer to be peeled from a substrate
  • the layer to be peeled adhered to the support is oxidized by a physical method from the substrate provided with the metal layer. Separating in a layer or at an interface between the metal oxide layer and the oxide layer.
  • the metal layer mainly includes an element selected from Ti, Ta, W, Mo, Cr, Nd, Fe, Ni, Co, Zr, and Zn; It is characterized by being a single layer made of an alloy material or a compound material as a component, or a laminate of these.
  • the oxide layer in contact with the metal layer is a silicon oxide film formed by a sputtering method.
  • the layer to be peeled off is characterized in that it includes a thin film transistor, a photoelectric conversion element composed of a PN junction of silicon, an organic light emitting element, an element having a liquid crystal, a memory element, a thin film diode, or a silicon resistance element.
  • a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a stacked layer thereof may be included in a layer which is in contact with the oxide layer at the lowermost layer of these elements.
  • the step of oxidizing the metal film is performed by laser light irradiation, heat treatment, or a combined treatment of laser single light irradiation and heat treatment.
  • the one laser beam is a laser beam emitted from a continuous wave solid laser or a pulsed solid laser.
  • solid state laser of the continuous wave or as a solid laser pulse oscillation YAG laser mono-, YV 0 4 laser, YLF laser mono-, YA 1 0 3 lasers foremost, glass laser, ruby one laser, Alexandrite laser, T i: one or more types selected from sapphire lasers.
  • the other continuous wave laser or pulsed laser there is one or more kinds selected from excimer laser, Ar laser, and Kr laser.
  • the direction of the laser light may be applied to the metal layer from the substrate side, to the metal layer from the layer to be separated, or from both.
  • the beam shape of the laser beam may be a perfect circle, a triangle, a square, a polygon, an ellipse, or a straight line. Any size of 1 torr is acceptable (both point and planar).
  • the laser light irradiation region may have an overlap (referred to as an overlap) with the immediately preceding irradiation region, or may not overlap.
  • the wavelength of the laser light is preferably from 10 nm to 1 mm, more preferably from 10.0 nm to L 0 m.
  • the metal layer may be provided with another layer, for example, an insulating layer, between the substrate and the metal layer.
  • the metal layer is formed in contact with the substrate. It is desirable to do.
  • a light layer such as a metal layer or a metal pattern in the layer to be peeled may be used.
  • the metal layer has at least an ultraviolet light, a visible light, and an infrared light wavelength region. Although light is absorbed but has low transmittance, the layer to be peeled can be prevented from being damaged without being directly irradiated with light.
  • the heat treatment method is not limited. Particularly, if the RTA (rabbit thermal annealing) method is used, the treatment can be performed in a short time. This makes it easier to deal with an increase in the number of processed sheets when considering mass production.
  • the region where the metal layer is oxidized is located at the interface between the metal layer and the oxide layer formed on the metal layer when the metal layer is formed in contact with the substrate. If any layer is formed between the substrate and the metal layer, an interface between the substrate and some layer formed between the substrate and the metal layer can be considered. In the latter case where the metal oxide layer is expected to be formed at two interfaces above and below the metal layer, when the layer to be peeled is separated from the substrate, the metal layer and the above-mentioned layer are separated. When peeling occurs in the metal oxide layer formed between the layers or at the interface thereof, the metal layer may be peeled from the layer to be peeled again.
  • the substrate is a glass substrate or a quartz substrate
  • the support is a plastic substrate or a plastic substrate.
  • a physical method is a method that is recognized physically, not chemically, and specifically, a mechanical method or a mechanical method that has a process that can be reduced to the laws of mechanics. Refers to a method of changing some mechanical energy (mechanical energy).
  • the substrate desirably has a light-transmitting property.
  • the substrate does not have a light-transmitting property, there is no problem as long as light irradiation can be performed from the layer to be separated.
  • any substrate may be used as long as the substrate transmits light in a region where the metal layer shows absorption.
  • the substrate described in the present specification refers to a substrate to which a layer to be peeled is attached and fixed using, for example, an adhesive, and is transferred, and the type of the substrate is not particularly limited. Any composition such as plastic, glass, metal, and ceramics may be used.
  • a support is used for bonding to a layer to be peeled when peeled by physical means, and is not particularly limited, and may have any composition such as plastic, glass, metal, and ceramics. It may be.
  • the shape of the substrate and the shape of the support are not particularly limited, and may be one having a flat surface, one having a curved surface, one having flexibility, or a film shape. If the priority is to reduce the weight of the semiconductor device, the base material should be a film-shaped plastic substrate such as polyethylene terephthalate (PET), polyester sulfone (PES), or polyethylene naphthalate (PEN).
  • PC Polycarbonate
  • PEEK polysulfone
  • PES polyetherimide
  • PAR polyarylate
  • PBT polybutylene terephthalate
  • the support in the case of manufacturing a liquid crystal display device, the support may be bonded to the layer to be peeled by using the support as an opposing substrate and a sealant as an adhesive.
  • the substrate after manufacturing a TFT for driving a liquid crystal element, the substrate may be transferred to a substrate, and then the process may proceed to a liquid crystal element manufacturing process.
  • the element provided in the separation layer has a pixel electrode, and a space between the pixel electrode and the counter substrate is filled with a liquid crystal material.
  • the support as a sealing material to prevent the intrusion of moisture and oxygen and other substances that accelerate the deterioration of the organic compound layer from the outside.
  • the light emitting element is completely shut off from the outside.
  • a film-shaped plastic substrate is preferable, but since the effect of preventing a substance that accelerates the deterioration of the organic compound layer such as moisture and oxygen from entering from outside is weak, for example,
  • the first insulating film, the second insulating film, and the third insulating film are provided on the support to prevent a substance such as moisture or oxygen, which sufficiently promotes the deterioration of the organic compound layer, from entering from outside. What is necessary is just to be a structure.
  • a TFT that drives the light-emitting device is manufactured and then transferred to a base material. Subsequently, the process may proceed to a light emitting device manufacturing process.
  • a metal oxide layer is provided so as to be in contact with an adhesive on a substrate having an insulating surface, and an element is provided above the metal oxide layer.
  • a semiconductor device comprising:
  • the element is a thin film transistor, an organic light emitting element, an element having liquid crystal, a memory element, a thin film diode, a photoelectric conversion element including a silicon PIN junction, or a silicon resistance element.
  • the substrate is a plastic substrate having a flat surface or a curved surface.
  • the metal oxide layer is formed by laser light irradiation, heat treatment, or a combined treatment of laser light irradiation and heat treatment. Note that this The metal oxide layer was formed during the peeling step.
  • the metal layer is oxidized by performing a laser beam irradiation, a heat treatment, or a combined treatment of a laser single beam irradiation and a heat treatment. As a result, a metal oxide layer is formed. If the semiconductor layer is not to be damaged during the laser irradiation in the oxidation process, the metal layer is irradiated from the substrate side by laser irradiation. Therefore, there is no direct damage to the semiconductor layer.
  • a layer to be peeled having a small area can be peeled, but also a layer to be peeled having a large area can be peeled off over the entire surface.
  • the present invention can be said to be a process suitable for mass production because it can be easily peeled off by a physical method, for example, peeled off by a human hand.
  • a manufacturing apparatus for peeling a layer to be peeled off during mass production is manufactured, a large-sized manufacturing apparatus can be manufactured at low cost.
  • FIG. 1 is a diagram showing an embodiment.
  • FIG. 2 is a diagram showing optical characteristics of the metal layer.
  • FIG. 3 is a view showing a process of manufacturing an active matrix substrate. (Example 1)
  • FIG. 4 is a diagram showing a manufacturing process of the active matrix substrate.
  • FIG. 5 is a diagram showing a manufacturing process of the active matrix substrate.
  • FIG. 6 is a diagram in which the active matrix is separated from the substrate.
  • FIG. 7 is a diagram showing a light irradiation area when performing an oxidation treatment of a metal layer. (Example 1)
  • FIG. 8 is a diagram showing a cross-sectional view of the liquid crystal display device. (Example 2)
  • FIG. 9 is a top view or a cross-sectional view of the light-emitting device.
  • Example 3 FIG. 10 is a diagram illustrating a cross-sectional structure of a pixel portion of a light emitting device.
  • Fourth Embodiment FIG. 11 is a diagram illustrating an example of an electronic device. (Example 5)
  • FIG. 12 is a diagram illustrating an example of an electronic device. (Example 5)
  • 10 is a substrate
  • 11 is a metal layer
  • 12 is an oxide layer
  • 13 is a layer to be separated.
  • the substrate 10 may be any substrate as long as it shows transmittance for light in the wavelength region absorbed by the metal layer 11.
  • a metal layer 11 is formed on a substrate 10 as shown in FIG.
  • a typical example of the metal layer 11 is an element selected from W, Ti, Ta, Mo, Nd, Ni, Co, Zr, and Zn, or an alloy material or a compound material containing the aforementioned element as a main component.
  • a single layer of these or a stack of these can be used, and the film thickness is 10 nm to 200 nm, preferably 50 nm to 75 nm.
  • the substrate is sometimes fixed by the sputtering method, the film thickness near the periphery of the substrate is likely to be uneven. Therefore, it is preferable to remove only the peripheral metal layer by dry etching.
  • an insulating layer made of a silicon oxynitride film is provided between the substrate 10 and the metal layer 11 so that the substrate is not etched.
  • the film may be formed to a thickness of about 100 nm.
  • silicon oxide or silicon nitride oxide may be formed to a thickness equal to or greater than that of the metal layer by a sputtering method. For example, it is preferably about 100 nm to 600 nm, and more preferably about 150 nm to 200 nm.
  • the layer to be peeled 13 includes various elements typified by TFTs (thin film diodes, semiconductor devices such as photoelectric conversion elements formed of silicon PIN junctions, silicon resistance elements, and sensor elements such as pressure-sensitive fingerprint sensors). It may be a layer.
  • TFTs thin film diodes, semiconductor devices such as photoelectric conversion elements formed of silicon PIN junctions, silicon resistance elements, and sensor elements such as pressure-sensitive fingerprint sensors. It may be a layer.
  • a second substrate 15 serving as a support for fixing the layer to be peeled 13 is attached with a first adhesive 14.
  • a first adhesive 14 (FIG. 1 (B)) It is preferable that the second substrate 15 has higher rigidity than the first substrate 10.
  • a general adhesive, a double-sided tape, or a combination thereof may be used as the first adhesive.
  • FIG. 1 (C) shows an oxidation step by light irradiation.
  • the metal oxide layer 16 is formed.
  • the substrate 10 provided with the metal layer 11 is peeled off by physical means.
  • Fig. 1 (E) it is assumed that the mechanical strength of the layer 13 to be peeled is weak and the layer 13 to be peeled is broken at the time of peeling. If the mechanical strength is sufficiently strong and the layer to be peeled 13 is not broken at the time of peeling, the first adhesive material 14 and the second substrate 15 (support) are unnecessary at the time of peeling and can be omitted. is there.
  • FIG. 1 (F) shows a state after the layer to be peeled 13 has been peeled off.
  • FIG. 1 (G) shows a state where a third substrate 18 which is a base material for transferring the layer 13 to be peeled is attached with a second adhesive 17.
  • the type of the third substrate 18 is not particularly limited, and may have any composition such as plastic, glass, metal, and ceramics.
  • the shape is not particularly limited, and may be a flat surface, a curved surface, a bendable film, or a film.
  • the second substrate 15 is peeled off by removing or peeling off the first adhesive material 14. (Fig. 1 (H))
  • the EL layer 21 is formed, and the fourth substrate 19 serving as a sealing material for the EL layer 21 is sealed with a third adhesive 20.
  • the fourth substrate 19 is not particularly required if the third adhesive 20 is a material that can sufficiently block substances (moisture and oxygen) that promote the deterioration of the organic compound layer.
  • the present invention is not limited to the EL element, and various semiconductor devices can be completed.
  • the support may be used as a counter substrate, and the support may be bonded to the layer to be separated using a sealant as an adhesive.
  • the provided element has a pixel electrode, and a space between the pixel electrode and the counter substrate is filled with a liquid crystal material.
  • the order in which the liquid crystal display device is manufactured is not particularly limited.
  • a counter substrate as a support is attached, and after injecting liquid crystal, the substrate is peeled off to form a transfer body (a base material for transfer).
  • a plastic substrate may be attached, or after forming the pixel electrodes, the substrate may be peeled off, a plastic substrate as the first transfer member may be attached, and then the opposite substrate as the second transfer member may be attached. May be.
  • the order of manufacturing the light-emitting device is not particularly limited, and after forming the light-emitting element, a plastic substrate as a support may be attached, the substrate may be separated, and a plastic substrate as a base may be attached. After forming the light-emitting element, the substrate may be peeled off, a plastic substrate as a first transfer body may be attached, and then a plastic substrate as a second transfer body may be attached.
  • FIG. 2 shows an example of optical characteristics at the stage when a metal layer (tungsten film 500 nm) and an oxide layer (silicon oxide film 200 nm by a sputtering method) according to the present invention are formed.
  • the optical characteristics are obtained by measuring the reflectance and transmittance of light incident from the glass substrate side using glass as the substrate.
  • the absorptance is the difference between the sum of the transmissivity and the reflectivity, which is one.
  • the transmittance in the wavelength range of the measured range is less than 6%, while the absorption is at least over 40% (Fig. 2 (C)). Therefore, even if the metal layer is irradiated with laser light from the substrate side, the metal layer absorbs the photoenergy and does not transmit it, so that the layer to be peeled is not damaged. (Example)
  • a method for simultaneously manufacturing a pixel portion and a TFT (a n-channel TFT and a p-channel TFT) of a driving circuit provided around the pixel portion on the same substrate will be described in detail.
  • an example in which an active matrix substrate for manufacturing a reflective liquid crystal display device is manufactured is described.
  • the present invention is not particularly limited. If the TFT arrangement and the material of the pixel electrode are appropriately changed, the transmission can be performed. Needless to say, it is possible to manufacture a liquid crystal display device of the type and a light emitting device having a light emitting layer containing an organic compound.
  • a glass substrate As the substrate 100, a glass substrate (AN 100) was used. First, a 100-nm-thick silicon oxynitride layer 101 was formed on a substrate by a PCVD method.
  • a tungsten layer 102 is formed in a thickness of 50 nm as a metal layer by a sputtering method, and a silicon oxide layer is formed in a thickness of 200 nm as an oxide layer 103a by a sputtering method continuously without opening to the atmosphere.
  • the deposition conditions for the silicon oxide layer were as follows: using an RF sputtering system, a silicon oxide target (diameter: 30.5 cm), flowing argon gas heated at a flow rate of 30 sccm to heat the substrate, 30 ⁇ Deposition pressure 0.4 0a, deposition power 3kW.
  • Argon flow rate Z oxygen flow rate 10sccm Z3 Osccm.
  • a nickel acetate solution containing 1 Oppra of nickel by weight is applied with a spinner.
  • a method of spraying a nickel element over the entire surface by a sputtering method may be used.
  • a semiconductor film here, a polysilicon layer
  • a heat treatment for crystallization (550, 4 hours) is performed to obtain a silicon film having a crystal structure.
  • a crystallization technique using nickel as a metal element that promotes crystallization of silicon is used here, other known crystallization techniques, such as a solid phase growth method and a laser monocrystallization method, may be used. Good.
  • a laser beam (XeCl: wavelength 308) for increasing the crystallization rate and repairing defects remaining in the crystal grains. nm) in air or in an oxygen atmosphere.
  • Excimer laser light with a wavelength of 40 Onm or less, and second and third harmonics of YAG laser are used for the laser light.
  • pulse laser light with a repetition frequency of about 10 to 1000 Hz is used, and the laser light is focused to 100 to 500 mJ / cm 2 by an optical system and illuminated with an overlap rate of 90 to 95%. And scan the surface of the silicon film.
  • laser light irradiation was performed in the atmosphere at a repetition frequency of 30 Hz and an energy density of 47 OfflVcm 2 .
  • an oxide film is formed on the surface by laser light irradiation because the irradiation is performed in the air or in an oxygen atmosphere.
  • a continuous wave laser may be used. In order to obtain a crystal with a large grain size when crystallizing an amorphous semiconductor film, a continuous wave laser is used. It is preferable to use a solid-state laser that can perform the second harmonic and the second to fourth harmonics of the fundamental wave.
  • Nd: YV0 4 laser (fundamental wave 1064 nm) second harmonic (532 nm) or the third harmonic (355 nm) may be applied.
  • a continuous wave laser the laser light emitted from a continuous wave YVO 4 laser with an output of 10 W is converted into a harmonic by a non-linear optical element.
  • a YVO 4 crystal and a non-linear optical element are put in a resonator to emit harmonics.
  • the laser beam is preferably shaped into a rectangular or elliptical laser beam on the irradiation surface by an optical system, and the laser beam is irradiated on the object.
  • the energy density needs to be about 0.01 to 100 MWZcm 2 (preferably, 0.1 OMWZcm 2 ). Then, the semiconductor film including the layer to be separated may be moved and irradiated relatively to one laser beam at a speed of about 10 to 2000 cmZs. When irradiating this laser light, the silicon film is irradiated not from the substrate side but from the silicon film surface side.
  • the surface is treated with ozone water for 120 seconds to form a barrier layer made of an oxide film having a total thickness of 1 to 5 nm.
  • the barrier layer is formed using ozone water.
  • a method of oxidizing the surface of a semiconductor film having a crystalline structure by irradiation with ultraviolet light in an oxygen atmosphere, an oxygen brazing method, or the like is used.
  • the barrier layer may be formed by depositing an oxide film of about 1 to 10 nm by a method of oxidizing the surface of a semiconductor film having a crystal structure by a plasma treatment, a plasma CVD method, a sputtering method, an evaporation method, or the like.
  • the oxide film formed by irradiation with one laser beam may be removed.
  • an amorphous silicon film containing an argon element which becomes a gettering site, is formed to a thickness of 10 nm to 400 nm, here, 10 nm in thickness on the Paria layer by a sputtering method.
  • the amorphous silicon film containing an argon element is formed in an atmosphere containing argon using a silicon target.
  • deposition conditions are as follows: a flow ratio of monosilane to argon: the (S i H 4 A r) 1: and 99, the film formation pressure 6. Set 665 Pa (0.05 To rr), RF power density is 0.087 WZcm 2, and film formation temperature is 350.
  • a lamp annealing device may be used instead of the furnace.
  • the barrier layer as an etching stopper, the amorphous silicon film containing an argon element as a gettering site is selectively removed, and then the barrier layer is selectively removed with dilute hydrofluoric acid.
  • the barrier layer since nickel tends to move to a region having a high oxygen concentration, it is desirable to remove the barrier layer made of an oxide film after gettering.
  • a resist mask is formed.
  • a semiconductor layer is formed and etched into a desired shape to form a semiconductor layer separated into islands.
  • the resist mask is removed.
  • the metal layer 102, the oxide layer 103a, and the base insulating film 103b are formed on the substrate 100, and a semiconductor film having a crystalline structure is obtained.
  • the semiconductor film is etched into a desired shape and separated into islands.
  • the formed semiconductor layers 104 to 108 can be formed.
  • an insulating film containing silicon as a main component and serving as the gate insulating film 109 is formed.
  • a first conductive film 110 a having a thickness of 20 to 100 nm and a second conductive film 110 b having a thickness of 100 to 400 nm are formed on the gate insulating film 109.
  • a 50 nm-thick tantalum nitride film and a 370 nm-thick tungsten film are sequentially stacked on the gate insulating film 109.
  • the conductive material forming the first conductive film and the second conductive film is an element selected from Ta, W, Ti, Mo, Al, and Cu, or an alloy material or compound containing the above element as a main component. It is formed of a material. Further, a semiconductor film typified by a polycrystalline silicon film doped with an impurity element such as phosphorus, or an AgPdCu alloy may be used as the first conductive film and the second conductive film.
  • the structure is not limited to a two-layer structure.
  • a 50-nm-thick tungsten film and a 500-nm-thick aluminum film It may be a three-layer structure in which an alloy of aluminum and silicon (A1-Si) film and a 30-nm-thick titanium nitride film are sequentially laminated.
  • tungsten nitride may be used instead of tungsten for the first conductive film, or an alloy of aluminum and silicon (A 1—Si) film for the second conductive film may be used.
  • an alloy film of aluminum and titanium (Al-Ti) may be used, or a titanium film may be used instead of the titanium nitride film of the third conductive film.
  • it may have a single-layer structure.
  • masks 112 to 117 made of resist are formed by a light exposure step, and a first etching process for forming a gate electrode and a wiring is performed.
  • the first etching process is performed under the first and second etching conditions. It is preferable to use an ICP (Inductively Coupled Plasma) etching method for the etching.
  • the desired tape is obtained by appropriately adjusting the etching conditions (the amount of power applied to the coil-type electrode, the amount of power applied to the substrate-side electrode, the temperature of the substrate-side electrode, etc.) by using the ICP etching method.
  • the film can be etched in one shape.
  • the etching gas is a chlorine-based Gasuma other typified like C l 2, BC 1 3, S i C l 4, CC 1 4 is typified by such CF 4, SF 6, NF 3 it can be used fluorine-based gas, or 0 2 as appropriate.
  • 15 Off RF (13.56 MHz) power is also applied to the substrate side (sample stage) and a substantially negative self-bias voltage is applied.
  • the electrode area size on the substrate side is 12.5 cm x 12.5 cm
  • the coil-type electrode area size (here, a quartz disk with a coil) is a disk with a diameter of 25 cm. .
  • the W film is etched to form the first conductive film. The ends of the layers are tapered.
  • the etching rate for W under the first etching condition is 200.39 nm Zmin
  • the etching rate for TaN is 80.32 nm / "min
  • the selectivity ratio of W to TaN is about 2.5.
  • the taper angle of W is about 26 °
  • the etching conditions are changed to the second etching conditions without removing the resist masks 112 to 117.
  • CF 4 C 1 2 to use gas
  • 30Z 30 a gas flow rate of, respectively, respectively (sc cm)
  • RF 13.56 MHz
  • plasma was generated and etching was performed for about 30 seconds.
  • 20 W RF (13.56 MHz) power was also applied to the substrate side (sample stage), and a substantially negative self-bias voltage was applied.
  • the W film and T a N film in the second etching conditions using the gas mixture of CF 4 and C 1 2 are etched to the same extent.
  • the etching rate for W is 58.97 nm / min
  • the etching rate for TaN is 66.43 nm.Zinc is etched without leaving any residue on the gate insulating film.
  • the shape of the resist mask is made appropriate, so that the edges of the first conductive layer and the second conductive layer are tapered due to the effect of the bias voltage applied to the substrate side. It becomes.
  • the angle of the tapered portion may be 15 to 45 °.
  • the first shape conductive layers 119 to 124 (the first conductive layers 119 a to 124 a) including the first conductive layer and the second conductive layer are formed. And the second conductive layers 119 b to 124 b).
  • the insulating film 109 serving as a gate insulating film is etched by about 10 to 2 Onm, and becomes a gate insulating film 118 in which a region not covered with the first shape conductive layers 119 to 124 is thinned.
  • a second etching process is performed without removing the resist mask.
  • the gas flow rate is set to 24 12/24 (sc cm), 1.
  • the selectivity with respect to the insulating film 118 is high, so that the film loss can be suppressed.
  • the thickness of the insulating film 118 is reduced by only about 8 nm.
  • the taper angle of W became 70 ° by this second etching process.
  • the second conductive layers 126b to 131b are formed by this second etching process.
  • the first conductive layer is hardly etched, and becomes the first conductive layers 1.26a to 131a.
  • the first conductive layers 126a to 131a have substantially the same size as the first conductive layers 119a to 124a.
  • the width of the first conductive layer is about 0.3 ⁇ m compared to that before the second etching process, that is, the entire line width. It may retreat about 0.6 m, but there is almost no change in size.
  • a three-layer structure consisting of a 50-nm-thick tungsten film, a 500-nm-thick aluminum-silicon alloy (Al-Si) film, and a 30-nm-thick titanium nitride film is sequentially stacked. If the structure, as the first etching conditions of the first etching process, using the BC 1 3 and C 1 2 0 2 and the raw material gas, the gas flow ratio of their respective 65X10 / 5 (sc cm) on the substrate side (sample stage) with a length of 300 ⁇ ?
  • the gas flow rate is set to 20/6 0 (sccm),.
  • substrate 100 W RF (13.56 MHz) power is applied to the side (sample stage), and 600 W RF (13.56 MHz) power is applied to the coil-type electrode at a pressure of 1.2 Pa to generate plasma. And etch Just fine.
  • the doping may be performed by an ion doping method or an ion implantation method.
  • the ion doping method requires a dose of 1.5 X It is performed at 10 u atoms / cm 2 and an acceleration voltage of 60 to 100 kV.
  • phosphorus (P) or arsenic (A s) is used as an impurity element for imparting n-type.
  • the first conductive layer and the second conductive layer 126 to 130 serve as a mask for the impurity element imparting n-type, and the first impurity region 132 to 136 is self-aligned. It is formed.
  • An impurity element imparting n-type is added to the first impurity regions 1332 to 1336 in a concentration range of 1 ⁇ 10 16 to 1 ⁇ 10 17 / cm 3 .
  • a region having the same concentration range as the first impurity region is also called an n-_ region.
  • the first doping process is performed after removing the resist mask, but the first doping process may be performed without removing the resist mask.
  • a mask 1337 is a mask for protecting the channel formation region of the semiconductor layer forming the p-channel TFT of the driving circuit and a peripheral region thereof, and a mask 1338 is one of the n-channel TFTs of the driving circuit.
  • the mask 139 protects the channel formation region of the semiconductor layer forming the pixel and its peripheral region, and the mask 139 serves as the channel formation region of the semiconductor layer forming the TFT of the pixel portion and its peripheral region, the storage capacitor, and the like. Is a mask that protects the region.
  • the conditions of the ion doping method in the second doping treatment are as follows: doping amount is 1.5 ⁇ 10 15 atoms / cm 2, and accelerating voltage is 60 to: L 0 0 kV, and phosphorus (P) is doped. .
  • an impurity region is formed in each semiconductor layer in a self-aligned manner using the second conductive layers 126 b to 128 b as a mask. Of course, it is not added to the area covered by the masks 1337 to 139. Thus, the second impurity region 1 40 to 142 and a third impurity region 144 are formed.
  • the second impurity regions 140 to 142 are doped with an impurity element imparting n-type in a concentration range of 1 ⁇ 10 2 ° to 1 ⁇ 10 2 Vcm 3 .
  • a region having the same concentration range as the second impurity region is also called an n + region.
  • the third impurity region is formed at a lower concentration than the second impurity region by the first conductive layer, and is an impurity which imparts n-type in a concentration range of 1 ⁇ 10 18 to 1 ⁇ 10 / cm 3 . Elements will be added.
  • the third impurity region has a concentration gradient in which the impurity concentration increases toward the end of the tapered portion because doping is performed by passing through the portion of the first conductive layer that is tapered.
  • a region having the same concentration range as the third impurity region is also called an n-region.
  • the regions covered with the masks 138 and 139 are not added with an impurity element by the second doping process, and become the first impurity regions 146 and 147.
  • the semiconductor layer forming the p-channel TFT and the semiconductor layer forming the storage capacitor are doped with an impurity element imparting a p-type conductivity by the third doping treatment.
  • 151, 152 and fifth impurity regions 153, 154 are formed.
  • the fourth impurity regions 151 and 152 are doped with an impurity element imparting a P-type in a concentration range of 1 ⁇ 10 2 ⁇ : LX 10 21 / cm 3 . It should be noted that the fourth impurity regions 151 and 152 have regions (n However, the concentration of the impurity element imparting p-type is added 1.5 to 3 times that of the impurity element, and the conductivity type is p-type.
  • a region having the same concentration range as the fourth impurity region is also referred to as ap + region.
  • the fifth impurity regions 15 3 and 15 4 are formed in a region overlapping with a part of the taper of the second conductive layer 127 a , and 1 X 10 18 to 1 X 10 2 fl An impurity element imparting P-type is added in a concentration range of / cm 3 .
  • a region having the same concentration range as the fifth impurity region is also referred to as a p-region.
  • an impurity region having n-type or p-type conductivity is formed in each semiconductor layer.
  • the conductive layers 126 to 129 serve as TFT gate electrodes.
  • the conductive layer 130 serves as one electrode forming a storage capacitor in the pixel portion.
  • the conductive layer 13 1 forms a source wiring in the pixel portion.
  • an insulating film (not shown) covering almost the entire surface is formed.
  • a silicon oxide film having a thickness of 5 O nm was formed by the plasma CVD method.
  • this insulating film is not limited to the silicon oxide film, and another insulating film containing silicon may be used as a single layer or a laminated structure.
  • This activation step is performed by a rapid thermal method using a lamp light source (RTA method), a method of irradiating a YAG laser or an excimer laser from the back surface, or a heat treatment using a furnace.
  • RTA method lamp light source
  • the method is performed by a method combined with any of these methods.
  • a step of forming the insulating film after the activation may be performed.
  • a first interlayer insulating film 155 made of a silicon nitride film is formed and subjected to a heat treatment (a heat treatment at 300 to 550 ° C. for 1 to 12 hours) to hydrogenate the semiconductor layer. Is performed. (FIG. 4 (C))
  • This step is a step of terminating the dangling pound of the semiconductor layer with hydrogen contained in the first interlayer insulating film 155.
  • the semiconductor layer can be hydrogenated regardless of the presence of an insulating film (not shown) made of a silicon oxide film.
  • the material mainly containing aluminum is used for the second conductive layer, it is important to set the heat treatment conditions that the second conductive layer can withstand in the hydrogenation step.
  • plasma hydrogenation using hydrogen excited by plasma may be performed.
  • a second interlayer insulating film 156 made of an organic insulating material is formed on the first interlayer insulating film 155.
  • an acrylic resin film having a thickness of 1.6 m is formed.
  • a contact hole reaching the source wiring 131, a contact hole reaching the conductive layers 129, 130, and a contact hole reaching each impurity region are formed.
  • a plurality of etching processes are sequentially performed.
  • the first interlayer insulating film is etched using an insulating film (not shown) as an etching stopper, and then the insulating film ( (Not shown) was etched.
  • wirings and pixel electrodes are formed using A1, Ti, Mo, W, and the like. It is desirable to use a material having excellent reflectivity, such as a film mainly composed of A1 or Ag, or a laminated film thereof, for the material of these electrodes and pixel electrodes. like this Thus, source or drain electrodes 157 to 162, gate wiring 164, connection wiring 163, and pixel electrode 165 are formed.
  • a driving circuit 206 having an n-channel TFT 201, a channel-type signature 202, and an n-channel TFT 203, and a pixel portion 207 having a pixel TFT 204 composed of an n-channel TFT and a storage capacitor 205 are provided. They can be formed on the same substrate. (FIG. 5) In this specification, such a substrate is referred to as an active matrix substrate for convenience.
  • a pixel TFT 204 (n-channel TFT) has a channel forming region 169, a first impurity region (n_ ⁇ region) 147 formed outside a conductive layer 129 forming a gate electrode, A second impurity region (n + region) 142, 171 that functions as a source region or a drain region. Further, a fourth impurity region 152 and a fifth impurity region 154 are formed in the semiconductor layer functioning as one electrode of the storage capacitor 205.
  • the storage capacitor 205 is formed by the second electrode 130 and the semiconductor layers 152, 154, and 170 using the insulating film (the same film as the gate insulating film) 118 as a dielectric.
  • the n-channel TFT 201 overlaps with the channel formation region 166 and a part of the conductive layer 126 forming the gate electrode through the insulating film. It has a third impurity region (n-region) 144 and a second impurity region (n. + Region) 140 functioning as a source region or a drain region.
  • the p-channel TFT 202 has a channel formation region 167, a part of the conductive layer 127 forming a gate electrode, and an insulating film. And a fourth impurity region (p + region) 151 functioning as a source region or a drain region.
  • the n-channel TFT 203 (second n-channel TFT) has a channel forming region 168, and a first impurity region (n-one region) 146 outside the conductive layer 128 for forming a gate electrode. And a second impurity region (n + region) 141 functioning as a source region or a drain region.
  • TFTs 201 to 203 may be appropriately combined to form a shift register circuit, a buffer circuit, a level shifter circuit, a latch circuit, and the like, and a driving circuit 206 may be formed.
  • a driving circuit 206 may be formed.
  • an n-channel TFT 201 and a p-channel TFT 202 may be complementarily connected to each other.
  • the structure of the n-channel TFT 203 is suitable for a buffer circuit with a high driving voltage, in order to prevent deterioration due to the hot carrier effect.
  • the structure of the n-channel TFT 201 which is a GOLD structure, is suitable.
  • the reliability can be improved by improving the planarization of the semiconductor film surface, even if the area of the impurity region overlapping with the gate electrode and the gate insulating film via the gate insulating film is reduced in the GOLD structure TFT, it is sufficient. High reliability can be obtained. Specifically, sufficient reliability can be obtained even if the size of the tapered part of the gate electrode in the GOLD structure TFT is reduced. Also, in a TFT with a GO LD structure, when the gate insulating film becomes thinner, the parasitic capacitance increases. However, if the parasitic capacitance is reduced by reducing the size of the tapered portion of the gate electrode (first conductive layer), the f-characteristics (frequency characteristics) will be improved and higher speed operation will be possible. In addition, the TFT has sufficient reliability. Note that, also in the pixel TFT of the pixel portion 207, the off-current and the variation can be reduced by the irradiation of the second laser light.
  • an example of manufacturing an active matrix substrate for forming a reflective display device has been described.
  • a pixel electrode is formed of a transparent conductive film
  • a photomask increases by one, but a transmissive type. Can be formed.
  • the metal layer 102 is irradiated with continuous light or pulsed laser light from the substrate side, and heat is generated to oxidize the metal layer 102 and the metal layer 102 and the oxide layer 1.
  • a metal oxide layer 190 is formed between the substrate and the substrate 3 (FIG. 6 (A)), and the layer to be separated can be separated from the substrate (FIG. 6 (B)).
  • the laser beam irradiated at this time used an Nd: YAG laser (fundamental wave 1064) with an output of 40 W, but as shown in Fig. 2, the wavelength range of the laser beam May be used.
  • the timing of irradiating the laser beam is not limited to the time after the display device is formed, but may be the timing at which the layer to be peeled is peeled.
  • the beam shape of the laser beam was used as a continuous beam of light this time. However, the beam shape is not limited to this, and may be any of a perfect circle, an ellipse, a square, a square, and a polygon. Any shape such as point, point, and plane may be used.
  • the oxidation treatment of the metal layer was performed by laser light irradiation this time, but an oxidation treatment using heat treatment may be used.
  • the substrate 100 is removed. Tear off You may.
  • a support (not shown) for fixing the layer to be peeled is attached, followed by laser irradiation and peeling.
  • the display device 900 (substrate 900, pixel driver 900, part of gate driver 900, source driver 900) Laser light may be applied to an area 906 including a part 904 and an FPC terminal part 905).
  • Embodiment 1 shows an example of a reflective display device in which a pixel electrode is formed of a reflective metal material.
  • a transmissive display device in which a pixel electrode is formed of a light-transmitting conductive film is used.
  • Fig. 8 shows an example of the display device.
  • a pixel electrode 61 made of a light-transmitting conductive film is formed.
  • the conductive film having a light-transmitting property ITO (indium tin oxide alloy), indium oxide-zinc oxide alloy (I n 2 0 3 one Z n O), may be used zinc oxide (Z n O) and the like.
  • connection electrode 602 overlapping with the pixel electrode is formed. This connection electrode 62 is connected to the drain region through a contact hole. A source electrode or a drain electrode of another TFT is formed at the same time as the connection electrode.
  • the active matrix substrate is formed as described above. Using this active matrix substrate, the TFT is peeled off, and a go material (plastic substrate) is bonded to produce a liquid crystal module. A pack light 606 and a light guide plate 605 are provided. An active matrix type liquid crystal display device as shown in FIG. The power par and the liquid crystal module are bonded together using an adhesive or an organic resin. When the plastic substrate and the opposing substrate are attached to each other, an organic resin may be filled in between the frame and the substrate so as to be bonded. In addition, since it is a transmission type, the polarizing plate 603 is attached to both the plastic substrate and the counter substrate.
  • FIG. 1 An example of manufacturing a light-emitting device including a light-emitting element having a light-emitting layer containing an organic compound formed over a plastic substrate is shown in FIG.
  • FIG. 9A is a top view showing the light emitting device
  • FIG. 9B is a view showing FIG. 9A.
  • Reference numeral 1108 denotes wiring for transmitting signals input to the source signal line driving circuit 1101 and the gate signal line driving circuit 1103, and an FPC (flexible printed circuit) 1109 serving as an external input terminal. Receives video and clock signals from Although only the FPC is shown here, a printed wiring board (PWB) may be attached to the FPC.
  • PWB printed wiring board
  • a driver circuit and a pixel portion are formed over the substrate 1110; here, a source signal line driver circuit 1101 and a pixel portion 1102 are illustrated as the driver circuits.
  • the substrate 110 is bonded to the base film with the adhesive layer 1100 by using the peeling method described in Embodiment Mode or Example 1.
  • the source signal line driving circuit 1101 is a CMOS circuit formed by combining an n-channel TFT 1123 and a p-channel TFT 1124.
  • the TFT forming the drive circuit may be formed by a known CMOS circuit, a PMOS circuit, or an NMOS circuit.
  • a one-piece driver in which a drive circuit is formed on a substrate is shown. However, this is not always necessary, and the driver can be formed not on the substrate but outside.
  • the pixel portion 1102 is formed by a plurality of pixels including a switching TFT 1111, a current controlling TFT 111, and a first electrode (anode) 113 electrically connected to a drain thereof. .
  • a switching TFT 1111 a current controlling TFT 111
  • a first electrode (anode) 113 electrically connected to a drain thereof.
  • two TFTs are used for one pixel
  • three or more TFTs may be used as appropriate.
  • the first electrode 1113 is in direct contact with the drain of the TFT, a material layer capable of forming an ohmic connection with the drain made of silicon is formed as the lowermost layer of the first electrode 1113. It is preferable to use a material layer having a large work function on the surface in contact with the layer containing an organic compound. For example,. With a three-layer structure of a titanium oxide film, a film containing aluminum as a main component, and a titanium nitride film, the resistance as a wiring is low, a good ohmic contact can be obtained, and the film can function as an anode. it can.
  • the first electrode 111 may be a single layer of a titanium nitride film, or a stacked layer of two or more layers.
  • insulators referred to as banks, partition walls, barriers, banks, etc.
  • the insulator 111 may be formed using an organic resin film or an insulating film containing silicon.
  • an insulator having a shape shown in FIG. 9 is formed using a positive photosensitive acryl resin film as the insulator 111.
  • a curved surface having a curvature is formed at the upper end or the lower end of the insulator 111.
  • a positive photosensitive acrylic is used as the material for the insulator 111
  • only the upper end of the insulator 111 has a curved surface with a radius of curvature (0.2! ⁇ 3 jm).
  • a negative type which becomes insoluble in an etchant by photosensitive light or a positive type which becomes soluble in an etchant by light can be used.
  • the insulator 111 may be covered with a protective film formed of an aluminum nitride film, an aluminum nitride oxide film, or a silicon nitride film.
  • This protective film may be an insulating film mainly composed of silicon nitride or silicon nitride oxide obtained by a sputtering method (DC method or RF method), or a thin film mainly composed of carbon. If a silicon target is formed in an atmosphere containing nitrogen and argon, a silicon nitride film can be obtained. Further, a silicon nitride target may be used.
  • the protective film The film may be formed using a film forming apparatus using moat plasma. Further, in order to allow light to pass through the protective film, it is preferable that the thickness of the protective film be as small as possible.
  • a layer 1115 containing an organic compound is selectively formed over the first electrode (anode) 1113 by an evaporation method using an evaporation mask or an inkjet method. Further, a second electrode (cathode) 1116 is formed on the layer 1115 containing an organic compound.
  • a light-emitting element 118 including the first electrode (anode) 1113, the layer containing an organic compound 1115, and the second electrode (cathode) 1116 is formed.
  • the light emitting element 1118 is an example of emitting white light
  • a color filter composed of a coloring layer 1131 and a light shielding layer (BM) 1132 for simplicity, the overcoat layer is not shown here
  • full-color display can be obtained without using a color filter.
  • a sealing substrate 1104 is attached to the light emitting element 1118 with a first sealing material 1105 and a second sealing material 1107.
  • a sealing material 1105 and a second sealing material 1107. an epoxy resin is preferably used as the first sealant 1105 and the second sealant 1107.
  • the first sealing material 1105 and the second sealing material 1107 are materials that do not transmit moisture and oxygen as much as possible.
  • a material for forming the sealing substrate 1104 in addition to a glass substrate or a quartz substrate, a fiber glass-reinforced plastics (FRP), a polyvinyl fluoride (PVF), a mylar, a polyester or an acrylic resin.
  • FRP fiber glass-reinforced plastics
  • PVF polyvinyl fluoride
  • mylar a polyester or an acrylic resin.
  • a tick substrate can be used.
  • the third sealing material is further covered so as to cover the side surface (exposed surface). It is also possible to seal with a sealing material.
  • the light emitting element By encapsulating the light emitting element in the first sealing material 1105 and the second sealing material 1107 as described above, the light emitting element can be completely protected from the outside, and moisture and oxygen can be prevented from the outside. Such a substance that promotes the deterioration of the organic compound layer can be prevented from entering. Therefore, a highly reliable light emitting device can be obtained.
  • a transparent conductive film is used as the first electrode 113, a double-sided light-emitting device can be manufactured.
  • a structure in which a layer containing an organic compound is formed on an anode, and a cathode serving as a transparent electrode is formed on a layer containing an organic compound (hereinafter, referred to as a top emission structure).
  • the light-emitting element has an organic compound layer formed on the anode and a cathode formed on the organic compound layer, and emits light generated in the organic compound layer from the anode, which is a transparent electrode, to the TFT.
  • a structure of taking out (hereinafter, referred to as a bottom emission structure) may be adopted.
  • Embodiment Mode can be freely combined with Embodiment Mode or Embodiment 1.
  • Embodiment 3 describes an example of manufacturing a light-emitting device including a light-emitting element having a light-emitting layer containing an organic compound formed on a plastic substrate.
  • a cross-sectional structure of one pixel particularly The connection of the light emitting element and the TFT and the shape of the partition wall arranged between the pixels will be described in further detail.
  • 40 is a substrate
  • 41 is a partition (also called a bank)
  • 42 is an insulating film
  • 43 is a first electrode (anode)
  • 44 is a layer containing an organic compound
  • 45 is a second electrode.
  • 46a is a channel forming region
  • 46b and 46c are source or drain regions
  • 46d is a gate electrode
  • 46e and 46f are source or drain electrodes.
  • a top gate type TFT is shown here, the present invention is not particularly limited thereto, and a reverse gate type TFT or a forward gate type TFT may be used.
  • 46 f is an electrode for connecting the TFT 46 by partially contacting and overlapping the first electrode 43.
  • FIG. 10 (B) shows a cross-sectional structure partially different from FIG. 10 (A).
  • the way in which the first electrode overlaps the electrode is different from the structure in FIG. 10 (A) .
  • the electrode is formed so as to partially overlap. To connect to the TFT.
  • FIG. 10 (C) shows a cross-sectional structure partially different from that of FIG. 10 (A).
  • one layer of an interlayer insulating film is further provided, and the first electrode is connected to a TFT electrode via a contact hole.
  • the cross-sectional shape of the partition wall 41 may be a tapered shape as shown in FIG. It is obtained by exposing a resist using a photolithography method and then etching a non-photosensitive organic resin or inorganic insulating film.
  • a shape as shown in FIG. 10E and a shape having a curved surface at the upper end can be obtained.
  • the shape as shown in FIG. It can be shaped to have curved surfaces at the upper end and the lower end.
  • modules active matrix type liquid crystal module, active matrix type EL module, active matrix type EC module
  • active matrix type liquid crystal module active matrix type liquid crystal module
  • active matrix type EL module active matrix type EC module
  • Such electronic devices include video cameras, digital cameras, head-mounted displays (goggle-type displays), car navigation systems, projectors, power stereos, personal computers, and personal digital assistants (mopile computers, mobile phones or e-books). Etc.). Examples of these are shown in Figs. 11 and 12.
  • FIG. 11A shows a personal computer, which includes a main body 2001, an image input unit 2002, a display unit 2003, a keyboard 204, and the like.
  • Fig. 11 (B) shows a video camera.
  • Main unit 210, display unit 210, audio input unit 210, operation switch 210, battery 210, image receiving unit 2 Includes 106, etc.
  • Fig. 11 (C) shows a mobile computer (mobile computer): main body 2201, camera section 222, image receiving section 2203, operation switch 222, display section 222. And so on.
  • Fig. 11 (D) shows a player that uses a recording medium on which a program is recorded (hereinafter, referred to as a recording medium).
  • DVDs Digital Versatile Discs
  • CDs Compact Discs
  • FIG. 11E shows a digital camera including a main body 2501, a display portion 2502, an eyepiece portion 2503, an operation switch 2504, an image receiving portion (not shown), and the like.
  • FIG. 12A shows a mobile phone, which includes a main body 2901, a voice output unit 2902, a voice input unit 2903, a display unit 2904, an operation switch 2905, an antenna 2906, an image input unit (CCD, image sensor, etc.) 2907, and the like. Including.
  • FIG. 12B illustrates a portable book (e-book) including a main body 3001, display portions 3002 and 3003, a storage medium 3004, an operation switch 3005, an antenna 3006, and the like.
  • FIG. 12C illustrates a display, which includes a main body 3101, a support 3102, a display portion 3103, and the like.
  • the display shown in Fig. 12 (C) is a small, medium or large display, for example, a screen size of 5 to 20 inches.
  • a substrate having one side of lm and mass-produce it by performing multi-paneling it is preferable to use a substrate having one side of lm and mass-produce it by performing multi-paneling.
  • the applicable range of the present invention is extremely wide, and the present invention can be applied to manufacturing methods of electronic devices in all fields. Further, the electronic apparatus of the present embodiment can be realized by using any combination of the embodiments and Embodiments 1 to 3.

Description

明細書
半導体装置および半導体装置の作製方法
技術分野
本発明は、 被剥離層の剥離方法、 特に様々な素子を含む被剥離層の剥離方 法に関する。 加えて、 本発明は、 剥離した被剥離層を基材に貼りつけて転写 させた半導体集積回路あるいは薄膜トランジスタ (以下、 T F Tという) を 有する半導体装置、 およびその作製方法に関する。 例えば、 液晶モジュール に代表される電気光学装置や E Lモジュールに代表される発光装置、 および その様な装置を部品として搭載した電子機器に関する。
なお、 本明細書中において半導体装置とは、 半導体特性を利用することで 機能しうる装置全般を指し、 電気光学装置、 発光装置、 半導体回路および電 子機器は全て半導体装置である。
背景技術
近年、 ガラスあるいは石英基板などの絶縁性基板上に半導体薄膜を用いた 半導体集積回路や T F T等を構成する技術が注目を集めている。 丁 丁は1 Cや電気光学装置のような電子デバィスに広く応用され、 特に画像表示装置 のスイッチング素子として開発が急がれている。
このような画像表示装置の応用にはデジタルビデオカメラ、 液晶テレビな ど様々なものがあるが、 特に今後は携帯電話、 携帯ゲーム機、 携帯テレビあ るいは携帯端末等の携帯用電子機器への応用が期待されている。 これら携帯 用電子機器としてユーザーから求められる特性として、 軽いこと、 例えば落 としても割れない丈夫なことなどの点が挙げられる。 しかし、 これまでの画像表示装置に使用される基板は、 前述の通りガラス あるいは石英基板.など無機系材料からなる基板であり、 無機系材料特有の割 れる、 重いという欠点が存在している。 この欠点を克服するためにフレキシ ブルなプラスチックフィルムなどに代表される可塑性を有する基材上に T F Tを形成することが試みられている。
しかし、 プラスチックフィルムなどは、 ガラスあるいは石英基板などと比 較するとその耐熱性が低く、 T F T作製時のプロセス温度に制限が生じてし まう。 その結果、 ガラスあるいは石英基板上に形成される T F Tと比べて良 好な特性を持つ T F Tを、 直接プラスチックフィルム上に作製することが困 難であった。 このため、 プラスチックフィルムを用いた高性能な画像表示装 匱や発光装置は実現されていない。
最近では、 基板上に分離層を介して存在する被剥離層を前記基板から剥離 する剥離方法が既に提案されている。 例えば、 特開平 1 0— 1 2 5 9 2 9号 公報、 特開平 1 0— 1 2 5 9 3 1号公報に記載された技術は、 非晶質シリコ ン (またはポリシリコン) からなる分離層を設け、 基板を通過させてレーザ 一光を照射して非晶質シリコンに含まれる水素を放出させることにより、 空 隙を生じさせて基板を分離させるというものである。 加えて、 特開平 1 0— 1 2 5 9 3 0号公報には、 この技術を用いて被剥離層 (公報では被転写層と 呼んでいる) をプラスチックフィルムに貼りつけて液晶表示装置を完成させ るという記載もある。
しかし、 上記方法では分離層として非晶質シリコンあるいはポリシリコン を使用しているため、 その膜厚および使用するレーザー光の波長によって、 照射したレーザー光が分離層を透過し被剥離層に損傷を与える問題が考えら れる。 また上記方法では、 分離層上に素子を作製した場合、 素子作製プロセ スにおいて高温の熱処理等を行えば、 分離層に含まれる水素が拡散して低減 してしまい、 レーザー光を分離層に照射しても剥離が十分に行われない恐れ がある。 従って、 分離層に含まれる水素量を維持するため、 分離層形成後の プロセスが制限されてしまう問題がある。 さらに、 上記方法では大きな面積 を有する被剥離層を剥離するのは困難である。 上記公報には、 被剥離層への 損傷を防ぐため、 遮光層または反射層を設ける記載もあるが、 その場合、 透 過型液晶表示装置を作製することが困難である。
発明の開示
(発明が解決しょうとする課題)
本発明は、 上記問題を鑑みてなされたものであり、 被剥離層に損傷を与え ることなく剥離を可能にする方法を提供し、 小さな面積の被剥離層だけでな く、 大きな面積を有する被剥離層を全面に渡って剥離することを可能にする ことを課題としている。
また、 本発明は様々な基材に被剥離層を貼り付け、 軽量化された半導体装 置およびその作製方法を提供することを課題とする。 特にフレキシブルなフ イルムに T F Tを代表とする様々な素子 (薄膜ダイオード、 シリコンの P I N接合からなる光電変換素子やシリコン抵抗素子) を貼り付け、 軽量化され た半導体装置およびその作製方法を提供することを課題とする。
(課題を解決するための手段)
本発明者らは、 数多くの実験、 検討を重ねているうちに、 基板上に設けら れた金属層に接して酸化物層を設け、 さらに酸化物層上に T F Tを代表とす る様々な素子を設けたのち、 前記金属層を酸化させることによって、 形成さ れた金属酸化物層内または界面 (金属酸化物層と酸化物層との界面) におい て物理的手法、 代表的には機械的な力を加えること (例えば人間の手で引き 剥がすこと) で、 きれいに分離し、 基板上から T F Tなどの素子を剥離する 方法を見いだした。
ある物質の性質 (物性) はそれを構成する原子、 分子の配列状態で大きく 異なる。 例えば結晶状態のものと非結晶状態のものとでは、 光学的な特性で 言えば分光特性.(透過率、 反射率、 吸収係数等)、 屈折率などが異なり、 また 電気的な特性で言えば電気伝導度などが異なり、 さらにその他の特性で言え ば、 強度、 硬度、 密度、 表面エネルギーなどが異なる。 また、 同じ結晶状態 の中でも結晶格子の面方位 (あるいは配向性) が異なれば前記各特性はそれ ぞれの方位によって大きく異なることが知られている。 さらに、 異種の結晶 の集合体で形成された薄膜多結晶体ではそれらの各結晶の物性の要因の総合 によって、 マクロで見た物性が決まる一方、 ミクロで見た物性はマクロで見 た物性とは異なる。 また、 一つの結晶体と他の結晶体の境界部の特性もマク 口で見た特性とも各結晶体との特性とも異なることが当然である。
一例を挙げると、 珪素を用いた半導体素子では、 非結晶状態のものと多結 晶状態のものと、 さらに単結晶状態のものとではそれぞれの光学特性、 電気 特性等が異なることは周知のことである。
本発明で、 基板上に金属層を設け、 この金属層上に酸化物層を形成し、 さ らに酸化物層上に様々な素子を形成終了したのちに前記金属層を酸化させた 場合、 金属層と酸化物層との界面で形成される金属酸化物が、 ミクロで見た 場合、 部分的に異なった特性を持つ結晶の集合体で構成され、 それら各結晶 間の状態が凝集力の強い部分と弱い部分とを併せもって形成され、 または結 合力が強い部分と弱い部分とを併せもって形成されることが容易に予想され、 物理的な力によって剥離あるいは分離が生じる場合があり得ると予想できる。 本発明では、 金属層を酸化させるまでは、 金属層と酸化物層との界面は一 定範囲内のエネルギー状態、言い換えれば結合状態で相互に存在しうるため、 分離を行うまでの間に膜剥がれ (ピーリング) が生じること無く T F Tなど 素子作製工程を無事終了させることが可能である。
本明細書で開示する剥離方法に関する発明の構成は、
被剥離層を基板から剥離する方法であって、
前記基板上に金属層と、 該金属層に接する酸化物層と、 被剥離層を形成する 工程と、
前記金属層を酸化させて酸化金属層を形成する工程と、
前記酸化物層と前記被剥離層とに支持体を接着した後、 前記支持体に接着さ れた被剥離層を前記金属層が設けられた基板から物理的手法により前記酸化 された金属酸化物層内または金属酸化物層と酸化物層との界面において剥離 する工程と、 を有することを特徴とする剥離方法である。
上記構成において、 前記金属層は T i、 T a、 W、 M o、 C r、 N d、 F e、 N i、 C o、 Z r、 Z nから選ばれた元素、 または前記元素を主成分と する合金材料若しくは化合物材料からなる単層、 またはこれらの積層である ことを特徴としている。 また、 上記構成において、 前記金属層に接する酸化物層はスパッ夕法によ り形成された酸化珪素膜であることを特徴としている。
また、 前記被剥離層は、 薄膜トランジスタ、 シリコンの P I N接合からな る光電変換素子、 有機発光素子、 液晶を有する素子、 メモリー素子、 薄膜ダ ィオード、 またはシリコン抵抗素子を含むことを特徴としている。 ただし、 これら素子の最下層で酸化物層と接する層に、酸化珪素膜、酸化窒化珪素膜、 窒化珪素膜、 さらにこれらの積層が含まれていても良い。
また、上記構成において前記金属膜を酸化させる工程は、レーザー光照射、 熱処理、 またはレーザ一光照射と熱処理との複合処理によっておこなわれる ことを特徴としている。
また、 上記構成において、 前記レーザ一光は、 連続発振の固体レーザー、 またはパルス発振の固体レーザーから発振されたレーザー光である。 代表的 には、 前記連続発振の固体レーザー、 またはパルス発振の固体レーザーとし ては、 Y A Gレーザ一、 Y V 04レーザー、 Y L Fレーザ一、 Y A 1 03レー ザ一、 ガラスレーザー、 ルビ一レーザー、 ァレキサンドライドレーザー、 T i :サファイアレーザーから選ばれた一種または複数種がある。 また、 他の 連続発振のレーザーまたはパルス発振のレーザーとしては、 エキシマレーザ ―、 A rレーザー、 K rレーザーから選ばれた一種または複数種がある。 また、 前記レーザ一光の照射方向は基板側から金属層に照射しても、 被剥 離層側から金属層に照射しても、 両方から照射しても良い。
また、 前記レーザー光のビーム形状は真円状でも三角形状、 四角形状、 多 角形状、 楕円形状でも直線状でも良く、 そのサイズもミクロンからミリ、 メ 一トルサイズとどのようなものでも良い (点状でも面状でも良い)。 さらに、 上記酸化工程において、 レーザー光の照射領域は直前に照射された領域と重 なり (ォ一パ一ラップという) を持っても良いし、 オーバ一ラップしなくて も良い。 さらに、 前記レーザー光の波長は 1 0 nm〜 l mm、 より好ましく は 1 0 .0 n m〜; L 0 mのものを用いるのが良い。
レ一ザ一光等の光を照射した際に生じる現象は、 金属層が光のエネルギー を吸収することで発熱し、 その発生した熱エネルギーが酸化物層との界面に て金属酸化物層の形成に寄与すると考えられる。従来技術で紹介した手法 (例 えば特開平 1 0— 1 2 5 9 2 9号公報、 特開平 1 0— 1 2 5 9 3 0号公報、 特開平 1 0— 1 2 5 9 3 1号公報) では、 非晶質シリコン膜である分離層上 に被剥離層である素子を形成した場合、 素子作製プロセスで、 4 0 0で〜 6 o o 程度 (半導体シリコン膜の結晶化、 水素化に必要とされる温度) の高 温処理を行えば、 分離層に含まれる水素が拡散して低減してしまい、 後の剥 離を行おうとしてレーザー光を分離層に照射処理した場合、 充分な剥離が行 われない可能性がある。 しかし、 本発明のレ一ザ一光照射による金属肩の酸 化処理を行うことで剥離可能とする手法では、それらの心配は全くないため、 剥離層形成時の熱的プロセスを制限させることは無い。
また、 上記構成において、 金属層は基板と金属層との間に他の層、 例えば 絶縁層等を設けても良いが、 プロセスを簡略化するためには、 基板上に接し て金属層を形成することが望ましい。
本構成において前記金属層を酸化させる工程でレーザー光などの光を用い る場合、 前記被剥離層中に金属層あるいは金属パターンなど、 光に対して前 記金属層と同程度の吸収を示す物質が存在する場合には、 前記光の照射方向 を基板側からとすることにより、 前記金属層は少なくとも紫外光、 可視光、 赤外光の波長領域の光に対して吸収は示すが透過率が低いため、 前記被剥離 層には直接光が照射されること無く損傷を防ぐことが可能となる。
また、 本構成での前記金属層を酸化させる工程で熱処理を用いる場合は、 その熱処理方法に限定は無いが、 特に R T A (ラビッド ·サーマル ·ァニー ル) 法を使用すれば、 短時間で処理が行え、 量産を考えた場合の処理枚数増 加にも対応がし易くなる。
また、 前記金属層が酸化される領域は、 基板栅に.接して金属層を形成した 場合は、 金属層と金属層上に形成された酸化物層との界面となるが、 基板と 金属層との間に何らかの層が形成されている場合には、 さらに基板と、 基板 と金属層との間に形成された何らかの層との界面が考えられる。 後者の、 金 属酸化物層が金属層の上下 2つの界面に形成されることが予想される場合に おいて、 被剥離層を基板から剥離する際に、 金属層と前記らかの層との間に 形成された金属酸化物層内あるいはその界面で剥離が生じた時は、 その後再 度、 金属層を被剥離層から剥離を行えばよい。
本発明の他の作製方法に関する構成は、
基板上に絶縁物層と、 該絶縁物層に接する金属層と、 該金属層に接する酸化 物層と、 該酸化物層の上方に半導体素子を含む被剥離層を形成する工程と、 前記金属層を酸化させることにより、 前記絶縁層との間、 または前記酸化物 層との間、 または前記絶縁層との間および前記酸化物層との間の両方に金属 酸化物層を形成する工程と、 前記被剥離層と支持体とを接着した後、 前記支持体に接着された前記被剥離 層を基板から物理的手法により前記絶縁層と接した金属酸化物層内または前 記絶縁層と接した前記金属酸化物層と前記絶縁層との界面、 または前記絶縁 層と接した前記金属酸化物層と前記金属層との界面、 または前記酸化物層と 接した前記金属酸化物層内、 または前記酸化物層と接した前記金属酸化物層 . と前記酸化物層との界面、 または前記酸化物層と接した前記金属酸化物層と 前記金属層との界面において剥離する工程とを有することを特徴とする半導 体装置の作製方法である。
上記作製方法に関する各構成において、 前記基板はガラス基板または石英 基板であり、 前記支持体はプラスチック基板、 またはプラスチック基材であ ることを特徴としている。
なお、 本明細書中、 物理的手法とは、 化学的にではなく、 物理的に認識さ れる手法であり、 具体的には力学の法則に還元できる過程を有する力学的手 法または機械的手法を指し、何らかの力学的エネルギー(機械的エネルギー) を変化させる手法を指している。
但し、 上記構成において、 物理的手法により被剥離層を剥離する際、 支持 体との結合力より、 酸化物層と金属層との結合力が小さくなるようにするこ とが必要である。
また、 上記本発明において、 基板は透光性を有することが望ましいが、 透 光性が無い場合でも、 被剥離層側から光照射を行うことが可能であれば問題 ない。 また、 基板側から光照射を行う場合は、 前記金属層が吸収を示す領域 の光を透過させる基板であればどんな基板であっても良い。 なお、 本明細書中において記述されている基材とは、 被剥離層を例えば接 着剤を用いて貼り付け固定され、 転写されるものであり、 該基材の種類は特 に限定されず、 プラスチック、 ガラス、 金属、 セラミックス等、 いかなる組 成のものでもよい。 また、 本明細書中において、 支持体とは、 物理的手段に より剥離する際に被剥離層と接着するためのものであり、 特に限定されず、 プラスチック、 ガラス、 金属、 セラミックス等、 いかなる組成のものでもよ い。 また、 基材の形状および支持体の形状も特に限定されず、 平面を有する もの、 曲面を有するもの、 可曲性を有するもの、 フィルム状のものであって もよい。 また、 半導体装置の軽量化を最優先するのであれば、 基材としては フィルム状のプラスチック基板、 例えば、 ポリエチレンテレフ夕レート (P ET)、 ポリエ一テルスルホン (PES)、 ポリエチレンナフタレート (PE N)、 ポリカーボネート (PC)、ナイ 3ン、 ポリエーテルエーテルケトン(P EEK ポリスルホン (PSF)、 ポリエーテルイミド (PE 1)、 ポリアリ レート (PAR)、 ポリブチレンテレフタレ一卜 (PBT)、 ポリイミドなど のプラスチック基板が好ましい。
上記半導体装置の 製方法に関する上記構成において、 液晶表示装置を作 製する場合は、 支持体を対向基板とし、 シール材を接着材として用いて支持 体を被剥離層に接着しても良いし、 あるいは液晶素子を駆動する T FTまで 作製した後、 基材に転写を行い、 続いて液晶素子作製工程に移っても良い。 前者の場合、 前記剥離層に設けられた素子は画素電極を有しており、 該画素 電極と、 前記対向基板との間には液晶材料が充填されるようにする。
また、 上記半導体装置の作製方法に関する上記構成において、 EL素子を 有する発光装置に代表される発光装置を作製する場合は、 支持体を封止材と して用い、 外部から水分や酸素といつた有機化合物層の劣化を促す物質が侵 入することを防ぐように発光素子を外部から完全に遮断することが好ましい。 また、 軽量化を最優先するのであれば、 フィルム状のプラスチック基板が好 ましいが、 外部から水分や酸素といった有機化合物層の劣化を促す物質が侵 入することを防ぐ効果は弱いため、 例えば、 支持体上に第 1の絶縁膜と第 2 の絶縁膜と第 3の絶縁膜とを設けて、 十分に外部から水分や酸素といった有 機化合物層の劣化を促す物質が侵入することを防ぐ構成とすればよい。
また、 上記半導体装置の作製方法に関する上記構成において、 E L素子を 有する発光装置に代表される発光装置を作製する別の場合として、 発光装置 を駆動する T F Tまで作製した後、 基材に転写を行い、 続いて発光装置作製 工程に移っても良い。
また、 上記半導体装置の作製方法にょづて得られる本発明の構成は、 絶縁表面を有する基板上の接着剤に接するように金属酸化物層を備え、 該金 属酸化物層の上方に素子を備えたことを特徴とする半導体装置である。
また、上記構成において、前記素子は、薄膜トランジスタ、有機発光素子、 液晶を有する素子、 メモリー素子、 薄膜ダイオード、 シリコンの P I N接合 からなる光電変換素子、 またはシリコン抵抗素子であること.を特徴としてい る。 また、 上記構成において、 前記基板は、 平面または曲面を有するプラス チック基板であることを特徴としている。 また、 上記構成において、 前記金 属酸化物層はレーザー光照射、 熱処理、 またはレーザー光照射と熱処理との 複合処理によって形成されたものであることを特徴としている。 なお、 この 金属酸化物層は、 剥離工程の際に形成されたものである。
(発明の効果)
本発明は、 金属層に対してレーザー光照射、 熱処理、 またはレーザ一光照 射と熱処理との複合処理を行うことで酸化処理を行い、 結果として金属酸化 物層を形成し、 被剥離層を物理的手段によって容易に基板から剥離すること が出来るようにするもので、 酸化工程においてレーザ一照射する際に、 半導 体層に損傷を与えたくない場合には基板側から金属層にレーザー照射するた め直接半導体層に損傷を与えることが無い。
また、 本発明は、 小さな面積を有する被剥離層の剥離だけでなく、 大きな 面積を有する被剥離層を全面に渡って歩留まりょく剥離することが可能であ る。
加えて、 本発明は、 物理的手法で容易に剥離、 例えば人間の手で引き剥が すことが可能であるため、 量産に適したプロセスと言える。 また、 量産する 際に被剥離層を引き剥がすための製造装置を作製した場合、 大型の製造装置 も安価に作製することができる。
図面の簡単な説明
図 1は、 実施の形態を示す図である。
図 2は、 金属層の光学特性を示す図である。
図 3は、.アクティブマトリクス基板の作製工程を示す図である。 (実施例 1 )
図 4は、 アクティブマトリクス基板の作製工程を示す図である。 (実施例 1 ) 図 5は、 アクティブマトリクス基板の作製工程を示す図である。 (実施例 1)
図 6は、 アクティブマトリクスを基板から剥離する図である。 (実施例 1) 図 7は、金属層の酸化処理を行う際の光照射領域を示す図である。 (実施例 1)
図 8は、 液晶表示装置の断面図を示す図である。 (実施例 2)
図 9は、 発光装置の上面図または断面図を示す図である。 (実施例 3) 図 10は、 発光装置の画素部の断面構造を示す図である。 (実施例 4) 図 11は、 電子機器の一例を示す図である。 (実施例 5)
図 12は、 電子機器の一例を示す図である。 (実施例 5)
発明を実施するための最良の形態
(実施の形態)
本発明の実施形態について、 以下に説明する。
図 1 (A) 中、 10は基板、 11は金属層、 12は酸化物層、 13は被剥 離層である。
図 1 (A) において、 基板 10は前記金属層 11に吸収される波長領域の 光に対して透過性を示すものであれば何でも良い。
まず、 図 1 (A) に示すように基板 10上に金属層 11を形成する。 金属 層 11として代表的な例は W、 T i、 Ta、 Mo、 Nd、 N i、 Co、 Z r、 Znから選ばれた元素、 または前記元素を主成分とする合金材料若しくは化 合物材料からなる単層、 またはこれらの積層を用いることが出来、 その膜厚 は 10 nm〜200 nm、 好ましくは 50 nm〜75 nmとする。 また、 スパッタ法では基板を固定することがあるため、 基板の周縁部付近 の膜厚が不均一になりやすい。 そのため、 ドライエッチングによって周縁部 の金属層のみを除去することが好ましいが、 その際、 基板もエッチングされ ないように、 基板 1 0と金属層 1 1との間に酸化窒化シリコン膜からなる絶 緣膜を 1 0 0 n m程度形成してもよい。
次いで、 金属層 1 1上に酸化物層 1 2を形成する。 酸化物層 1 2として、 スパッタ法により、 酸化シリコン、 または窒化酸化シリコンを金属層と同等 以上の膜厚を形成すればよい。 例えば 1 0 n m〜6 0 0 n m、 望ましくは 1 5 0〜2 0 0 nm程度がよい。
次いで、 酸化物層 1 2上に被剥離層 1 3を形成する。 この被剥離層 1 3は T F Tを代表とする様々な素子 (薄膜ダイオード、 シリコンの P I N接合か らなる光電変換素子やシリコン抵抗素子や感圧式指紋センサなどのセンサ素 子等の半導体装置) を含む層とすれば良い。
次いで、 被剥離層 1 3を固定する支持体となる第 2の基板 1 5を第 1の接 着材 1 4で貼り付ける。 (図 1 ( B)) なお、 第 2の基板 1 5は第 1の基板 1 0よりも剛性が高いものの方が望ましい。 また、 第 1の接着材としては一般 的な接着材、 両面テープ、 またはそれらの組合せを用いればよい。
次いで、 金属層 1 1の酸化処理を行う。 具体的には、 レーザー光等の光の 照射、 あるいは熱処理、 またはそれらの複合処理を行うことによって金属層 1 1が酸化される。 図 1 (C) では、 光照射による酸化工程を示している。 前記金属層 1 1の酸化処理によって、金属酸化物層 1 6が形成される。 (図 1 (D)) 次いで、 金属層 1 1が設けられている基板 1 0を物理的手段により引き剥 がす。 (図 1 (E )) ここでは、 被剥離層 1 3の機械的強度が弱く、 剥離時に 被剥離層 1 3が破壊されてしまう場合を仮定して示しているが、 被剥離層 1 3の機械的強度が充分に強く、剥離時に被剥離層 1 3が破壊されない場合は、 第 1の接着材 1 4と第 2の基板 1 5 (支持体) は剥離時に不必要となり、 省 略可能である。
図 1 ( F ) は被剥離層 1 3が剥離された後の状態を示す。
図 1 ( G) は被剥離層 1 3を転写するための基材である第 3の基板 1 8を 第 2の接着材 1 7で貼り付けた状態を示す。 第 3の基板 1 8の種類は特に限 定されず、 プラスチック、 ガラス、 金属、 セラミックス等、 いかなる組成の ものでもよい。 また、 形状も特に限定されず、 平面を有するもの、 曲面を有 するもの、 可曲性を有するもの、 フィルム状のものであってもよい。
次いで、 第 1の接着材 1 4を除去または剥離することによって第 2の基板 1 5を剥がす。 (図 1 (H))
次いで、 E L層 2 1を形成し、 E L層 2 1を封止材となる第 4の基板 1 9 を第 3の接着材 2 0で封止する。 (図 1 ( 1 )) なお、 第 3の接着材 2 0が有 機化合物層の劣化を促す物質 (水分や酸素) を十分ブロッキングできる材料 であれば特に第 4の基板 1 9は必要ではない。 ここでは、 E L素子を用いた 発光装置を作製する例を示したが、 E L素子に限定されず、 様々な半導体装 置を完成させることができる。
液晶表示装置を作製する場合は、 支持体を対向基板とし、 シール材を接着 材として用いて支持体を被剥離層に接着すればよい。 この場合、 被剥離層に 設けられた素子は画素電極を有しており、 該画素電極と、 前記対向基板との 間には液晶材料が充填されるようにする。 また、 液晶表示装置を作製する順 序は、 特に限定されず、 支持体としての対向基板を貼りつけ、 液晶を注入し た後に基板を剥離して転写体 (転写するための基材) としてのプラスチック 基板を貼りつけてもよいし、 画素電極を形成した後、 基板を剥離し、 第 1の 転写体としてのプラスチック基板を貼り付けた後、 第 2の転写体としての対 向基板を貼りつけてもよい。
また、 同様に発光装置を作製する順序も特に限定されず、 発光素子を形成 した後、 支持体としてのプラスチック基板を貼りつけ、 基板を剥離し、 基材 としてのプラスチック基板を貼りつけてもよいし、 発光素子を形成した後、 基板を剥離して、 第 1の転写体としてのプラスチック基板を貼り付けた後、 第 2の転写体としてのプラスチック基板を貼りつけてもよい。
図 2に、 本発明による金属層 (タングステン膜 5 0 n m) および酸化物層 (スパッ夕法による酸化珪素膜 2 0 0 n m) を形成した段階での光学特性の 例を示す。 尚、 この光学特性は、 基板としてガラスを用い、 このガラス基板 側から入射した光の反射率、 透過率を測定したものである。 また、 吸収率は 透過率と反射率とをたしたものの 1からの差としている。
図 2 (B ) から分かるように測定された範囲の波長領域での透過率は 6 % にも満たない一方、吸収は少なくとも 4 0 %程度を越えている(図 2 ( C))。 このため基板側から金属層にレーザー光を照射しても、 この金属層で光エネ ルギーを吸収し、 透過させないことから、 被剥離層に損傷を与えることは無 い。 (実施例)
[実施例 1 ]
本発明の実施例を図 3〜図 7を用いて説明する。 ここでは、 同一基板上に 画素部と、 画素部の周辺に設ける駆動回路の T FT (nチャネル型 TFT及 び pチャネル型 TFT) を同時に作製する方法について詳細に説明する。 な お、 ここでは反射型の液晶表示装置を作製するためのァクティブマトリクス 基板を作製する例を示すが、 特に限定されず、 適宜、 TFTの配置や画素電 極の材料を変更すれば、 透過型の液晶表示装置を作製することも、 有機化合 物を含む発光層を有する発光装置も作製することもできることは言うまでも ないことである。
基板 100としては、 ガラス基板 (AN 100) を用いた。 まず、 基板上 には、 PCVD法により酸化窒化シリコン層 101を 100 nmの膜厚で成膜し た。
次いで、 スパッタ法により金属層としてタングステン層 102を 50 nm の膜厚で成膜し、 大気解放せず連続的にスパッ夕法により酸化物層 103a として酸化シリコン層を 200 nmの膜厚で成膜した。 酸化シリコン層の成 膜条件は、 RF方式のスパッ夕装置を用い、 酸化シリコンターゲット (直径 30. 5cm) を用い、 基板を加熱するために加熱したアルゴンガスを流量 30 s c cmとして流し、 基板温度 30 ΟΤλ 成膜圧力 0. 4Ρ a、 成膜電 力 3kW.、 アルゴン流量 Z酸素流量 = 10sccmZ3 Osccmとした。
次いで、 基板周縁部または端面のタングステン層をドライエッチングによ つてを除去する。 次いでプラズマ CVD法で成膜温度 300 、 原料ガス S iH4、 N20か ら作製される酸化窒化シリコン膜 103b (組成比 S i =32%, 0=59%, N=7 , H=2%) を 10 Onmの厚さに積層形成し、 さらに大気解放せ ず連続的にプラズマ CVD法で成膜温度 300°C、成膜ガス S iH4で非晶質 構造を有する半導体層 (ここでは非晶質シリコン層) を 54nmの厚さで形 成した。
次いで、 重量換算で 1 Oppra のニッケルを含む酢酸ニッケル塩溶液をスピ ナ一で塗布する。 塗布に代えてスパッ夕法でニッケル元素を全面に散布する 方法を用いてもよい。 次いで、 加熱処理を行い結晶化させて結晶構造を有す る半導体膜 (ここではポリシリコン層) を形成する。 ここでは脱水素化のた めの熱処理 (500 、 1時間) の後、 結晶化のための熱処理 (550 、 4時間) を行って結晶構造を有するシリコン膜を得る。 なお、 ここではシリ コンの結晶化を助長する金属元素としてニッケルを用いた結晶化技術を用い たが、 他の公知の結晶化技術、 例えば固相成長法やレーザ一結晶化法を用い てもよい。
次いで、 結晶構造を有するシリコン膜表面の酸化膜を希フッ酸等で除去し た後、 結晶化率を高め、 結晶粒内に残される欠陥を補修するためのレーザー 光 (Xe C l :波長 308 nm) の照射を大気中、 または酸素雰囲気中で行 う。 レーザー光には波長 40 Onm以下のエキシマレ一ザ一光や、 YAGレー ザ一の第 2高調波、 第 3高調波を用いる。 ここでは、 繰り返し周波数 10〜 1000Hz程度のパルスレーザー光を用い、 当該レーザー光を光学系にて 1 00〜500mJ/cm2に集光し、 90〜95 %のオーバーラップ率をもって照 射し、 シリコン膜表面を走査させればよい。 ここでは、 繰り返し周波数 30 Hz、エネルギー密度 47 OfflVcm2でレーザー光の照射を大気中で行なった。 なお、 大気中、 または酸素雰囲気中で行うため、 レーザー光の照射により表 面に酸化膜が形成される。 なお、 ここではパルスレ一ザ一を用いた例を示し たが、連続発振のレーザーを用いてもよく、非晶質半導体膜の結晶化に際し、 大粒径に結晶を得るためには、 連続発振が可能な固体レーザーを用い、 基本 波の第 2高調波〜第 4高調波を適用するのが好ましい。 代表的には、 Nd: YV04レーザー (基本波 1064nm) の第 2高調波 ( 532 nm)や第 3高調 波(355 nm)を適用すればよい。連続発振のレーザーを用いる場合には、 出力 10Wの連続発振の YVO4レーザから射出されたレーザー光を非線形 光学素子により高調波に変換する。また、共振器の中に YVO4結晶と非線形 光学素子を入れて、 高調波を射出する方法もある。 そして、 好ましくは光学 系により照射面にて矩形状または楕円形状のレーザー光に成形して、 被処理 体に照射する。 このときのエネルギー密度は 0. 01~100MWZcm2 程度 (好ましくは 0. l l OMWZcm2) が必要である。 そして、 10〜 2000 cmZs程度の速度でレーザ一光に対して相対的に被剥離層を含む 半導体膜を移動させて照射すればよい。 なお、 このレーザ一光を照射する際 は、 基板側ではなく、 シリコン膜面側から照射する。
次いで、 上記レーザー光の照射により形成された酸化膜に加え、 オゾン水で 表面を 120秒処理して合計 1〜 5 nmの酸化膜からなるバリア層を形成す る。 本実施例ではオゾン水を用いてパリア層を形成したが、 酸素雰囲気下の 紫外線の照射で結晶構造を有する半導体膜の表面を酸化する方法や酸素ブラ ズマ処理により結晶構造を有する半導体膜の表面を酸化する方法やプラズマ CVD法やスパッ夕法や蒸着法などで 1〜10 nm程度の酸化膜を堆積して バリア層を形成してもよい。 また、 バリア層を形成する前にレーザ一光の照 射により形成された酸化膜を除去してもよい。
次いで、 パリア層上にスパッ夕法にてゲッタリングサイトとなるアルゴン 元素を含む非晶質シリコン膜を 10 nm〜400 nm、 ここでは膜厚 10ひ nmで成膜する。 本実施例では、 アルゴン元素を含む非晶質シリコン膜は、 シリコン夕ーゲットを用いてアルゴンを含む雰囲気下で形成する。 プラズマ CVD法を用いてアルゴン元素を含む非晶質シリコン膜を形成する場合、 成膜 条件は、 モノシランとアルゴンの流量比 (S i H4: A r) を 1 : 99とし、 成膜圧力を 6. 665 P a (0. 05To r r) とし、 RFパワー密度を 0. 087WZcm2とし、 成膜温度を 350 とする。
その後、 650°Cに加熱された炉に入れて 3分の熱処理を行いゲッタリン グして、 結晶構造を有する半導体膜中のニッケル濃度を低減する。 炉に代え てランプアニール装置を用いてもよい。
次いで、 バリア層をエッチングストッパーとして、 ゲッタリングサイトで あるアルゴン元素を含む非晶質シリコン膜を選択的に除去した後、 バリア層 を希フッ酸で選択的に除去する。 なお、 ゲッタリングの際、 ニッケルは酸素 濃度の高い領域に移動しやすい傾向があるため、 酸化膜からなるバリア層を ゲッタリング後に除去することが望ましい。
次いで、 得られた結晶構造を有するシリコン膜 (ポリシリコン膜とも呼ば れる) の表面にオゾン水で薄い酸化膜を形成した後、 レジストからなるマス クを形成し、 所望の形状にエッチング処理して島状に分離された半導体層を 形成する。 半導体層を形成した後、 レジストからなるマスクを除去する。 以上の工程で基板 100上に金属層 102、酸化物層 103 a、下地絶縁膜 103b を形成し、 結晶構造を有する半導体膜を得た後、 所望の形状にエツ チング処理して島状に分離された半導体層 104〜108を形成することが できる。
次いで、 フッ酸を含むエツチヤントで酸化膜を除去すると同時にシリコン 膜の表面を洗浄した後、 ゲート絶縁膜 109となる珪素を主成分とする絶縁 膜を形成する。 本実施例では、 プラズマ CVD法により 115nmの厚さで 酸化窒化シリコン膜(組成比 S i=32%、〇=59%、N=7%、H=2%) で形成する。
次いで、 図 3 (A) に示すように、 ゲート絶縁膜 109上に膜厚 20〜 1 00 nmの第 1の導電膜 110 aと、 膜厚 100〜400 nmの第 2の導電 膜 110 bとを積層形成する。 本実施例では、 ゲート絶縁膜 109上に膜厚 50 nmの窒化タンタル膜、 膜厚 370 nmのタングステン膜を順次積層す る。
第 1の導電膜及び第 2の導電膜を形成する導電性材料としては T a、 W、 T i、 Mo、 Al、 Cuから選ばれた元素、 または前記元素を主成分とする 合金材料もしくは化合物材料で形成する。 また、 第 1の導電膜及び第 2の導 電膜としてリン等の不純物元素をドーピングした多結晶シリコン膜に代表さ れる半導体膜や、 AgPdCu合金を用いてもよい。 また、 2層構造に限定 されず、 例えば、 膜厚 50 nmのタングステン膜、 膜厚 500 nmのアルミ 二ゥムとシリコンの合金 (A 1— S i) 膜、 膜厚 30 nmの窒化チタン膜を 順次積層した 3層構造としてもよい。 また、 3層構造とする場合、 第 1の導 電膜のタングステンに代えて窒化タングステンを用いてもよいし、 第 2の導 電膜のアルミニウムとシリコンの合金 (A 1— S i) 膜に代えてアルミニゥ ムとチタンの合金膜 (A l—T i) を用いてもよいし、 第 3の導電膜の窒化 チタン膜に代えてチタン膜を用いてもよい。また、単層構造であってもよい。 次に、 図 3 (B) に示すように光露光工程によりレジストからなるマスク 1 12〜1 1 7を形成し、 ゲート電極及び配線を形成するための第 1のエツ チング処理を行う。 第 1のエッチング処理では第 1及び第 2のエッチング条 件で行う。 エッチングには I CP (Inductively Coupled Plasma:誘導結合 型プラズマ) エッチング法を用いると良い。 I CPエッチング法を用い、 ェ ツチング条件 (コイル型の電極に印加される電力量、 基板側の電極に印加さ れる電力量、 基板側の電極温度等) を適宜調節することによって所望のテー パ一形状に膜をエッチングすることができる。 なお、 エッチング用ガスとし ては、 C l2、 BC 13、 S i C l 4、 C C 14などを代表とする塩素系ガスま たは CF4、 SF6、 NF3などを代表とするフッ素系ガス、 または 02を適宜 用いることができる。
本実施例では、 基板側 (試料ステージ) にも 15 Off の RF (13.56MHz) 電力を投入し、 実質的に負の自己バイアス電圧を印加する。 なお、 基板側の 電極面積サイズは、 12. 5 cmX 12. 5 cmであり、 コイル型の電極面 積サイズ (ここではコイルの設けられた石英円板) は、 直径 25 cmの円板 である。 この第 1のエッチング条件により W膜をエッチングして第 1の導電 層の端部をテーパー形状とする。 第 1のエッチング条件での Wに対するエツ チング速度は 200. 39 nmZmi n、 T a Nに対するエッチング速度は 80. 32 nm/"mi nであり、 T a Nに対する Wの選択比は約 2. 5であ る。また、 この第 1のエッチング条件によって、 Wのテーパー角は、約 26° となる。 この後、 レジストからなるマスク 112〜 117を除去せずに第 2 のエッチング条件に変え、 エッチング用ガスに CF4と C 12とを用い、 ぞれ ぞれのガス流量比を 30Z 30 (s c cm) とし、 1 Paの圧力でコイル型の 電極に 500Wの RF (13.56MHz) 電力を投入してプラズマを生成して約 3 0秒程度のエッチングを行った。 基板側 (試料ステージ) にも 20Wの RF (13.56MHz) 電力を投入し、 実質的に負の自己バイアス電圧を印加する。 C F4と C 12を混合した第 2のエッチング条件では W膜及び T a N膜とも同程 度にエッチングされる。 第 2のエッチング条件での Wに対するエッチング速 度は 58. 97 nm/m i n, T a Nに対するエッチング速度は 66. 43 nmZmi nである。 なお、 ゲート絶縁膜上に残渣を残すことなくエツチン グするためには、 10〜20%程度の割合でエッチング時間を増加させると 良い。
上記第 1のエッチング処理では、 レジストからなるマスクの形状を適した ものとすることにより、 基板側に印加するバイアス電圧の効果により第 1の 導電層及び第 2の導電層の端部がテーパー形状となる。 このテーパー部の角 度は 15〜45° とすればよい。
こうして、 第 1のエッチング処理により第 1の導電層と第 2の導電層から 成る第 1の形状の導電層 119〜 124 (第 1の導電層 119 a~124 a と第 2の導電廇 119 b〜l 24 b) を形成する。 ゲート絶縁膜となる絶縁 膜 109は、 10〜 2 Onm程度エッチングされ、 第 1の形状の導電層 11 9 〜124で覆われない領域が薄くなつたゲート絶縁膜 1 18となる。 ' 次いで、 レジストからなるマスクを除去せずに第 2のエッチング処理を行 う。 ここでは、 エッチング用ガスに S.F6と C 12と 02とを用い、 それぞれ のガス流量比を 24 12/24 (s c cm) とし、 1. 3 Paの圧力でコィ ル型の電極に 700W の RF (13.56MHz) 電力を投入してプラズマを生成し てエッチングを 25秒行った。 基板側 (試料ステ一ジ) にも 10W の RF (13.56MHz) 電力を投入し、 実質的に負の自己バイアス電圧を印加する。 第 2のエッチング処理での Wに対するエッチング速度は 227. 3 nm/m i n、 T aNに対するエッチング速度は 32. 1 nm/m i nであり、 TaN に対する Wの選択比は 7. 1であり、 絶縁膜 118である S i ONに対する エッチング速度は 33. 7 nmZmi nであり、 S i ONに対する Wの選択 比は 6. 83である。 このようにエッチングガス用ガスに S F6を用いた場 合、 絶縁膜 118との選択比が高いので膜減りを抑えることができる。 本実 施例では絶縁膜 118において約 8 nmしか膜減りが起きない。
この第 2のエッチング処理により Wのテーパー角は 70° となった。 この 第 2のエッチング処理により第 2の導電層 126 b〜 131 bを形成する。 一方、 第 1の導電層は、 ほとんどエッチングされず、 第 1の導電層 1.26 a 〜 131 aとなる。 なお、 第 1の導電層 126 a〜 131 aは、 第 1の導電 層 119 a〜 124 aとほぼ同一サイズである。 実際には、 第 1の導電層の 幅は、 第 2のエッチング処理前に比べて約 0. 3 ^m程度、 即ち線幅全体で 0. 6 m程度後退する場合もあるがほとんどサイズに変化がない。
また、 2層構造に代えて、 膜厚 50 nmのタングステン膜、 膜厚 500 n mのアルミニウムとシリコンの合金 (A l— S i) 膜、 膜厚 30 nmの窒化 チタン膜を順次積層した 3層構造とした場合、 第 1のエツチング処理の第 1 のエッチング条件としては、 BC 13と C 12と 02とを原料ガスに用い、 そ れぞれのガス流量比を 65X10/5 (s c cm) とし、 基板側 (試料ステ ージ) に 300 ¥の尺? (13. 56 MHz) 電力を投入し、 1. 2 P aの 圧力でコイル型の電極に 450Wの RF (13. 56MHz) 電力を投入し てプラズマを生成して 1 17秒のエッチングを行えばよく、 第 1のエツチン グ処理の第 2のエッチング条件としては、 CF4と C 12と 02とを用い、 そ れぞれのガス流量比を 25ノ 25/10 (s c cm) とし、 基板側 (試料ス . テージ) にも 20Wの RF (13. 56MHz) 電力を投入し、 1 P aの圧 力でコイル型の電極に 500Wの RF (13. 56 MHz) 電力を投入して プラズマを生成して約 30秒程度のエッチングを行えばよく、 第 2のエッチ ング処理としては BC 13と C 12を用い、それぞれのガス流量比を 20/6 0 (sccm) とし、 .基板側 (試料ステージ) には 100Wの RF (13. 56 MHz) 電力を投入し、 1. 2 P aの圧力でコイル型の電極に 600Wの R F (13. 56MHz) 電力を投入してプラズマを生成してエッチングを行 えばよい。
次いで、 レジストからなるマスクを除去した後、 第 1のドーピング処理を 行って図 3 (D) の状態を得る。 ドーピング処理はイオンドープ法、 もしく はイオン注入法で行えば良い。 イオンド一プ法の条件はドーズ量を 1. 5 X 1 0 uatoms/cm2とし、加速電圧を 6 0〜 1 0 0 k Vとして行う。 n型を付与 する不純物元素として、典型的にはリン(P ) または砒素(A s ) を用いる。 この場合、 第 1の導電層及び第 2の導電層 1 2 6〜1 3 0が n型を付与する 不純物元素に対するマスクとなり、 自己整合的に第 1の不純物領域 1 3 2〜 1 3 6が形成される。 第 1の不純物領域 1 3 2〜1 3 6には 1 X 1 0 16〜 1 X 1 0 17/cm3の濃度範囲で n型を付与する不純物元素を添加する。ここでは、 第 1の不純物領域と同じ濃度範囲の領域を n—_領域とも呼ぶ。
なお、 本実施例ではレジストからなるマスクを除去した後、 第 1のド一ピ ング処理を行ったが、 レジストからなるマスクを除去せずに第 1のドーピン グ処理を行ってもよい。
次いで、 図 4 (A) に示すようにレジストからなるマスク 1 3 7〜1 3 9 を形成し第 2のドーピング処理を行う。 マスク 1 3 7は駆動回路の pチヤネ ル型 T F Tを形成する半導体層のチャネル形成領域及びその周辺の領域を保 護するマスクであり、 マスク 1 3 8は駆動回路の nチャネル型 T F Tの一つ を形成する半導体層のチャネル形成領域及びその周辺の領域を保護するマス クであり、 マスク 1 3 9は画素部の T F Tを形成する半導体層のチャネル形 成領域及びその周辺の領域と保持容量となる領域とを保護するマスクである。 第 2のドーピング処理におけるイオンドープ法の条件はドーズ量.を 1 . 5 X 1 0 1 5 atoms/cm2とし、 加速電圧を 6 0〜: L 0 0 k Vとしてリン (P ) を ドーピングする。 ここでは、 第 2の導電層 1 2 6 b〜l 2 8 bをマスクとし て各半導体層に不純物領域が自己整合的に形成される。 勿論、 マスク 1 3 7 〜 1 3 9で覆われた領域には添加されない。 こうして、 第 2の不純物領域 1 40〜142と、 第 3の不純物領域 144が形成される。 第 2の不純物領域 140〜142には 1 X 102°〜1 X 102 Vcm3の濃度範囲で n型を付与 する不純物元素を添加されている。 ここでは、 第 2の不純物領域と同じ濃度 範囲の領域を n +領域とも呼ぶ。
また、 第 3の不純物領域は第 1の導電層により第 2の不純物領域よりも低 濃度に形成され、 1 X 1018〜1 X 10 /cm3の濃度範囲で n型を付与する不 純物元素を添加されることになる。 なお、 第 3の不純物領域は、 テーパー形 状である第 1の導電層の部分を通過させてドーピングを行うため、 テーパー 部の端部に向かって不純物濃度が増加する濃度勾配を有している。ここでは、 第 3の不純物領域と同じ濃度範囲の領域を n—領域とも呼ぶ。 また、 マスク 138、' 139で覆われた領域は、 第 2のドーピング処理で不純物元素が添 加されず、 第 1の不純物領域 146、 147となる。
次いで、 レジストからなるマスク 13 V〜l 39を除去した後、 新たにレ ジストからなるマスク 148〜150を形成して図 4 (B) に示すように第 3のドーピング処理を行う。
駆動回路において、 上記第 3のドーピング処理により、 pチャネル型 TF Tを形成する半導体層および保持容量を形成する半導体層に p型の導電型を 付与する不純物元素が添加された第 4の不純物領域 151、 152及び第 5 の不純物領域 153、 154を形成する。
また、 第 4の不純物領域 151、 152には 1 Χ 10〜: L X 1021/cm3の 濃度範囲で P型を付与する不純物元素が添加されるようにする。 尚、 第 4の 不純物領域 151、 152には先の工程でリン (P) が添加された領域 (n 一一領域) であるが、 p型を付与する不純物元素の濃度がその 1 . 5〜3倍添 加されていて導電型は P型となっている。 ここでは、 第 4の不純物領域と同 じ濃度範囲の領域を p +領域とも呼ぶ。
また、 第 5の不純物領域 1 5 3、 1 5 4は第 2の導電層 1 2 7 aのテーパ 一部と重なる領域に形成されるものであり、 1 X 1 0 18〜 1 X 1 0 2fl/cm3の濃 度範囲で P型を付与する不純物元素が添加されるようにする。 ここでは、 第 5の不純物領域と同じ濃度範囲の領域を p一領域とも呼ぶ。
以上までの工程でそれぞれの半導体層に n型または p型の導電型を有する 不純物領域が形成される。 導電層 1 2 6〜1 2 9は T F Tのゲート電極とな る。 また、 導電層 1 3 0は画素部において保持容量を形成する一方の電極と なる。 さらに、 導電層 1 3 1は画素部においてソース配線を形成する。 次いで、ほぼ全面を覆う絶縁膜(図示しない)を形成する。本実施例では、 プラズマ C VD法により膜厚 5 O nmの酸化シリコン膜を形成した。 勿論、 この絶縁膜は酸化シリコン膜に限定されるものでなく、 他のシリコンを含む 絶縁膜を単層または積層構造として用いても良い。
次いで、 それぞれの半導体層に添加された不純物元素を活性化処理するェ 程を行う。 この活性化工程は、 ランプ光源を用いたラピッド ·サ一マル ·ァ 二一ル法(R TA法)、或いは YA Gレーザーまたはエキシマレーザ一を裏面 から照射する方法、 或いは炉を用いた熱処理、 或いはこれらの方法のうち、 いずれかと組み合わせた方法によって行う。
また、 本実施例では、 上記活性化の前に絶縁膜を形成した例を示したが、 上記活性化を行った後、 絶縁膜を形成する工程としてもよい。 次いで、 窒化シリコン膜からなる第 1の層間絶縁膜 1 5 5を形成して熱処 理 (3 0 0〜5 5 0 °Cで 1〜1 2時間の熱処理) を行い、 半導体層を水素化 する工程を行う。 (図 4 ( C ) ) この工程は第 1の層間絶縁膜 1 5 5に含まれ る水素により半導体層のダングリングポンドを終端する工程である。 酸化シ リコン膜からなる絶縁膜 (図示しない) の存在に関係なく半導体層を水素化 することができる。 ただし、 本実施例では、 第 2の導電層としてアルミニゥ ムを主成分とする材料を用いているので、 水素化する工程において第 2の導 電層が耐え得る熱処理条件とすることが重要である。 水素化の他の手段とし て、 プラズマ水素化 (プラズマにより励起された水素を用いる) を行っても 良い。
次いで、 第 1の層間絶縁膜 1 5 5上に有機絶縁物材料から成る第 2の層間 絶縁膜 1 5 6を形成する。 本実施例では膜厚 1 . 6 mのアクリル樹脂膜を. 形成する。 次いで、 ソース配線 1 3 1に達するコンタクトホールと、 導電層 1 2 9、 1 3 0に達するコンタクトホールと、 各不純物領域に達するコン夕 クトホールを形成する。 本実施例では複数のエッチング処理を順次行う。 本 実施例では第 1の層間絶縁膜をエッチングストッパーとして第 2の層間絶縁 膜をエッチングした後、 絶縁膜 (図示しない) をエッチングストッパーとし て第 1の層間絶縁膜をエッチングしてから絶縁膜 (図示しない) をエツチン グした。
その後、 A 1、 T i、 M o、 Wなどを用いて配線及び画素電極を形成する。 これらの電極及び画素電極の材料は、 A 1または A gを主成分とする膜、 ま たはそれらの積層膜等の反射性の優れた材料を用いることが望ましい。 こう して、 ソース電極またはドレイン電極 1 57〜 1 62、 ゲ一ト配線 1 64、 接続配線 1 63、 画素電極 165が形成される。
以上の様にして、 nチャネル型 TFT 20 1、 チャネル型丁 丁 202、 nチャネル型 TFT 203を有する駆動回路 206と、 nチャネル型 TFT からなる画素 TFT204、 保持容量 205とを有する画素部 207を同一 基板上に形成することができる。 (図 5)本明細書中ではこのような基板を便 宜上アクティブマトリクス基板と呼ぶ。
画素部 207において、 画素 TFT204 (nチャネル型 T FT) にはチ ャネル形成領域 169、 ゲート電極を形成する導電層 129の外側に形成さ れる第 1の不純物領域 (n_—領域) 147と、 ソ一ス領域またはドレイン領 域として機能する第 2の不純物領域 (n+領域) 142、 1 7 1を有してい る。 また、 保持容量 205の一方の電極として機能する半導体層には第 4の 不純物領域 1 52、 第 5の不純物領域 1 54が形成されている。 保持容量 2 0 5は、 絶縁膜 (ゲート絶縁膜と同一膜) 1 1 8を誘電体として、 第 2の電 極 130と、 半導体層 1 52、 154、 1 70とで形成されている。
また、 駆動回路 206において、 nチャネル型 TFT 20 1 (第 1の nチ ャネル型 TFT.) はチャネル形成領域 1 66、 ゲート電極を形成する導電層 1 26の一部と絶緣膜を介して重なる第 3の不純物領域 (n—領域) 144 とソース領域またはドレイン領域として機能する第 2の不純物領域 (n.+領 域) 140を有している。
また、 駆動回路 206において、 pチャネル型 TFT 202にはチャネル 形成領域 1 67、 ゲート電極を形成する導電層 127の一部と絶縁膜を介し て重なる第 5不純物領域 (p—領域) 153とソース領域またはドレイン領 域として機能する第 4の不純物領域 (p+領域) 151を有している。
また、 駆動回路 206において、 nチャネル型 TFT203 (第 2の nチ ャネル型 TFT) にはチャネル形成領域 168、 ゲート電極を形成する導電 層 128の外側に第 1の不純物領域 (n一領域) 146とソ一ス領域または ドレイン領域として機能する第 2の不純物領域 (n+領域) 141を有して いる。
これらの TFT201〜203を適宜組み合わせてシフトレジス夕回路、 バッファ回路、 レベルシフタ回路、 ラッチ回路などを形成し、 駆動回路 20 6を形成すればよい。 例えば、 CMOS回路を形成する場合には、 nチヤネ ル型 TFT201と pチャネル型 TFT202を相補的に接続して形成すれ ばよい。
特に、 駆動電圧が高いバッファ回路には、 ホットキャリア効果による劣化 を防ぐ目的から、 nチャネル型 TFT 203の構造が適している。
また、 信頼性が最優先とされる回路には、 GOLD構造である nチャネル 型 TFT 201の構造が適している。
また、 半導体膜表面の平坦化を向上させることによって信頼性を向上させ ることができるので、 GOLD構造の TFTにおいて、 ゲート電極とゲート 絶縁膜を介して重なる不純物領域の面積を縮小しても十分な信頼性を得るこ とができる。 具体的には GOLD構造の TFTにおいてゲート電極のテーパ 一部となる部分サイズを小さくしても十分な信頼性を得ることができる。 また、 GO L D構造の T F Tにおいてはゲート絶緣膜が薄くなると寄生容 量が増加するが、 ゲート電極 (第 1導電層) のテーパー部となる部分サイズ を小さくして寄生容量を低減すれば、 f特性 (周波数特性) も向上してさら なる高速動作が可能となり、 且つ、 十分な信頼性を有する T F Tとなる。 なお、 画素部 2 0 7の画素 T F Tにおいても、 第 2のレーザー光の照射に よりオフ電流の低減、 およびバラツキの低減が実現される。
また、 本実施例では反射型の表示装置を形成するためのァクティブマトリ クス基板を作製する例を示したが、 画素電極を透明導電膜で形成すると、 フ ォトマスクは 1枚増えるものの、透過型の表示装置を形成することができる。 これら表示装置を作成した後、 基板側から連続光あるいはパルス状のレー ザ一光で金属層 1 0 2を照射し、 発熱させることで酸化処理を行い、 金属層 1 0 2と酸化物層 1 0 3 a との間に金属酸化物層 1 9 0が形成され (図 6 (A))、 被剥離層が基板から剥離可能となる (図 6 (B))。 この時照射した レーザ一光は N d: YA Gレ一ザ一(基本波 1 0 6 4 )を出力 4 0 Wで使用 したが、 波長範囲については図 2に示す様にどの領域のレーザー光を使用し ても構わない。 また、 レーザ一光を照射するタイミングは、 表示装置作成後 に限らず被剥離層を剥離したい段階で照射すれば良い。 さらに、 レ一ザ一光 のビ一ム形状は、 今回は線状の連続光を使用したが、 これに限らず真円状、 楕円形状、 Ξ角形状、 四角形状、 多角形状とどれでも良く、 点状、 面状どの ようなものでも良い。 さらに、 今回はレーザー光照射によって金属層の酸化 工程処理を行ったが、 熱処理を用いた酸化処理でも構わない。
' また、 図 6 (A) の状態を得た後、 酸化物層 1 0 3 a 上に設けた T F Tを 含む層 (被剥離層) の機械的強度が十分であれば、 基板 1 0 0を引き剥がし てもよい。 本実施例は、 被剥離層の機械的強度が不十分であるので、 被剥離 層を固定する支持体 (図示しない) を貼りつけた後、 レーザ一照射、 剥離す ることが好ましい。
光を用いた金属層の酸化処理を行う際は、 図 7に示す様に、 基板 9 0 0上 の表示装置 9 0 1 (画素部 9 0 2、 ゲートドライバ一部 9 0 3、 ソースドラ ィバ一部 9 0 4、 F P C端子部 9 0 5を含む) を含む領域 9 0 6にレーザー 光を照射すればよい。
[実施例 2 ]
実施例 1では画素電極が反射性を有する金属材料で形成された反射型の表 示装置の例を示したが、 本実施例では画素電極を透光性を有する導電膜で形 成した透過型の表示装置の例を図 8に示す。
層間絶縁膜を形成する工程までは実施例 1と同じであるので、 ここでは省 略する。 実施例 1に従って層間絶縁膜を形成した後、 透光性を有する導電膜 からなる画素電極 6 0 1を形成する。 透光性を有する導電膜としては、 I T O (酸化インジウム酸化スズ合金)、 酸化インジウム酸化亜鉛合金 (I n 203 一 Z n O)、 酸化亜鉛 (Z n O) 等を用いればよい。
その後、 層間絶縁膜 6 0 0にコンタクトホールを形成する。 次いで、 画素電 極と重なる接続電極 6 0 2を形成する。 この接続電極 6 0 2は、 コンタクト ホールを通じてドレイン領域と接続されている。 また、 この接続電極と同時 に他の T F Tのソ一ス電極またはドレイン電極も形成する。
また、 ここでは全ての駆動回路を基板上に形成した例を示したが、 駆動回 路の一部に数個の I Cを用いてもよい。 以上のようにしてアクティブマトリクス基板が形成される。 このァクティ ブマトリクス基板を用い、 TFTを剥離した後、 碁材 (プラスチック基板) を貼り合わせて液晶モジュールを作製し、 パックライト 606、 導光板 60 5を設け、 力パー 606で覆えば、 図 8にその断面図の一部を示したような アクティブマトリクス型液晶表示装置が完成する。 なお、 力パーと液晶乇ジ ユールは接着剤や有機樹脂を用いて貼り合わせる。 また、 プラスチック基板 と対向基板を貼り合わせる際、 枠で囲んで有機樹脂を枠と基板との間に充填 して接着してもよい。 また、 透過型であるので偏光板 603は、 プラスチッ ク基板と対向基板の両方に貼り付ける。
[実;? 例 3]
本実施例では、 プラスチック基板上に形成された有機化合物を含む発光層 を有する発光素子を備えた発光装置を作製する例を図 9に示す。
なお、 図 9 (A) は、 発光装置を示す上面図、 図 9 (B) は図 9 (A) を
A-A' で切断した断面図である。 点線で示された 1 101はソース信号線 駆動回路、 1 102は画素部、 1 103はゲート信号線駆動回路である。 ま た、 1104は封止基板、 1105はシール剤であり、 第 1のシール剤 1 1
05で囲まれた内側は、 透明な第 2のシ一ル材 1 107で充填されている。 なお、 1 108はソース信号線駆動回路 1 101及ぴゲ一ト信号線駆動回 路 1103に入力される信号を伝送するための配線であり、 外部入力端子と なる FPC (フレキシブルプリントサーキット) 1 109からビデオ信号や クロック信号を受け取る。 なお、 ここでは F PCしか図示されていないが、 この F PCにはプリント配線基盤 (PWB) が取り付けられていても良い。 本明細書における発光装置には、 発光装置本体だけでなく、 それに F PCも しくは PWBが取り付けられた状態をも含むものとする。
次に、 断面構造について図 9 (B) を用いて説明する。 基板 1110上に は駆動回路及び画素部が形成されているが、 ここでは、 駆動回路としてソー ス信号線駆動回路 1 101と画素部 1 102が示されている。 なお、 実施の 形態または実施例 1に説明した剥離法を用いることによって、 基板 1 1 1 0 が接着層 1 100で下地膜と貼り合せてある。
なお、 ソ一ス信号線駆動回路 1 10 1は nチャネル型 TFT 1 123と p チャネル型 TFT 1 124とを組み合わせた CMOS回路が形成される。 ま た、 駆動回路を形成する TFTは、 公知の CMOS回路、 PMOS回路もし くは NMOS回路で形成しても良い。 また、 本実施例では、 基板上に駆動回 路を形成したドライバ一一体型を示すが、 必ずしもその必要はなく、 基板上 ではなく外部に形成することもできる。
また、 画素部 1102はスイッチング用 TFT 1 11 1と、 電流制御用 T F T 1 1 12とそのドレインに電気的に接続された第 1の電極 (陽極) 1 1 13を含む複数の画素により形成される。 なお、 ここでは一つの画素に 2つ の TFTを用いた例を示したが、 3つ、 またはそれ以上の T FTを適宜、 用 いてもよい。
ここでは第 1の電極 1 1 13が T FTのドレインと直接接している構成と なっているため、 第 1の電極 11 13の最下層としてシリコンからなるドレ インとォーミックコン夕クトのとれる材料層を用い、 有機化合物を含む層と 接する表面に仕事関数の大きい材料層を用いることが望ましい。 例えば、.窒 化チタン膜とアルミニウムを主成分とする膜と窒化チタン膜との 3層構造と すると、 配線としての抵抗も低く、 且つ、 良好なォーミックコンタクトがと れ、 且つ、 陽極として機能させることができる。 また、 第 1の電極 1 1 1 3 は、 窒化チタン膜の単層としてもよいし、 2層以上の積層を用いてもよい。 また、 第 1の電極 (陽極) 1 1 1 3の両端には絶縁物 (バンク、 隔壁、 障 壁、 土手などと呼ばれる) 1 1 1 4が形成される。 絶縁物 1 1 1 4は有機樹 脂膜もしくは珪素を含む絶縁膜で形成すれば良い。 ここでは、 絶縁物 1 1 1 4として、 ポジ型の感光性ァクリル樹脂膜を用いて図 9に示す形状の絶緣物 を形成する。
カバレッジを良好なものとするため、 絶縁物 1 1 1 4の上端部または下端 部に曲率を有する曲面が形成されるようにすることが好ましい。 例えば、 絶 縁物 1 1 1 4の材料としてポジ型の感光性アクリルを用いた場合、 絶縁物 1 1 1 4の上端部のみに曲率半径 (0 . 2 !〜 3 j m) を有する曲面を持た せることが好ましい。 また、 絶縁物 1 1 1 4として、 感光性の光によってェ ッチャントに不溶解性となるネガ型、 或いは光によってエツチャントに溶解 性となるポジ型のいずれも使用することができる。
また、 絶縁物 1 1 1 4を窒化アルミニウム膜、 窒化酸化アルミニウム膜、 または窒化珪素膜からなる保護膜で覆ってもよい。 この保護膜はスパッ夕法 ( D C方式や R F方式) により得られる窒化珪素または窒化酸化珪素を主成 分とする絶縁膜、 または炭素を主成分とする薄膜でもよい。 シリコンターゲ ットを用い、 窒素とアルゴンを含む雰囲気で形成すれば、 窒化珪素膜が得ら れる。 また、 窒化シリコンターゲットを用いてもよい。 また、 保護膜は、 リ モートプラズマを用いた成膜装置を用いて形成してもよい。 また、 保護膜に 発光を通過させるため、 保護膜の膜厚は、 可能な限り薄くすることが好まし い。
また、 第 1の電極 (陽極) 11 13上には、 蒸着マスクを用いた蒸着法、 またはインクジェット法によって有機化合物を含む層 1 115を選択的に形 成する。 さらに、 有機化合物を含む層 1 1 15上には第 2の電極 (陰極) 1 1 16が形成される。 これにより、 第 1の電極 (陽極) 11 13、 有機化合 物を含む層 1 1 15、 及び第 2の電極 (陰極) 11 16からなる発光素子 1 1 18が形成される。 ここでは発光素子 11 18は白色発光とする例である ので着色層 1 131と遮光層(BM) 1 132からなるカラーフィル夕一 (簡 略化のため、 ここではオーバーコート層は図示しない) が設けている。
また、 R、 G、 Bの発光が得られる有機化合物を含む層をそれぞれ選択的 に形成すれば、 カラーフィルターを用いなくともフルカラーの表示を得るこ とができる。
また、 発光素子 1 1 18を封止するために第 1シール材 1 105、 第 2シ ール材 1 107により封止基板 1104を貼り合わせる。 なお、 第 1シール 材 1105、 第 2シール材 1107としてはエポキシ系樹脂を用いるのが好 ましい。 また、 第 1シール材 1105、 第 2シール材 1107はできるだけ 水分や酸素を透過しない材料であることが望ましい。
また、 本実施例では封止基板 1104を構成する材料としてガラス基板や 石英基板の他、 FRP (Fiberglass-Reinforced Plastics), PVF (ポリビ ニルフロライド)、マイラ一、ポリエステルまたはアクリル等からなるプラス チック基板を用いることができる。 また、 第 1シ一ル材 1 1 0 5、 第 2シー ル材 1 1 0 7を用いて封止基板 1 1 0 4を接着した後、 さらに側面(露呈面) を覆うように第 3のシール材で封止することも可能である。
以上のようにして発光素子を第 1シール材 1 1 0 5、 第 2シール材 1 1 0 7に封入することにより、 発光素子を外部から完全 ίこ遮断することができ、 外部から水分や酸素といった有機化合物層の劣化を促す物質が侵入すること を防ぐことができる。 従って、 信頼性の高い発光装置を得ることができる。 また、 第 1の電極 1 1 1 3として透明導電膜を用いれば両面発光型の発光 装置を作製することができる。
また、 本実施例では陽極上に有機^^合物を含む層を形成し、 有機化合物を 含む層上に透明電極である陰極を形成するという構造 (以下、 上面出射構造 とよぶ) とした例を示したが、 陽極上に有機化合物層が形成され、 有機化合 物層上に陰極が形成される発光素子を有し、 有機化合物層において生じた光 を透明電極である陽極から T F Tの方へ取り出す (以下、 下面出射構造とよ ぶ) という構造としてもよい。
また、 本実施例は実施の形態、 または実施例 1と自由に組み合わせること ができる。
[実施例 4 ]
実施例 3では、 プラスチック基板上に形成された有機化合物を含む発光層 を有する発光素子を備えた発光装置を作製する例を示したが、本実施例では、 その 1つの画素の断面構造、 特に発光素子および T F Tの接続、 画素間に配 置する隔壁の形状についてさらに細かく説明する。 図 10 (A) 中、 40は基板、 41は隔壁 (土手とも呼ばれる)、 42は絶 縁膜、 43は第 1の電極 (陽極)、 44は有機化合物を含む層、 45は第 2の 電極 (陰極) 46は丁?丁でぁる。
TFT46において、 46 aはチャネル形成領域、 46 b、 46 cはソー ス領域またはドレイン領域、 46 dはゲート電極、 46 e、 46 f はソース 電極またはドレイン電極である。 ここではトップゲー卜型 T F Tを示してい るが、 特に限定されず、 逆ス夕ガ型 TFTであってもよいし、 順ス夕ガ型 T FTであってもよい。 なお、 46 f は第 1の電極 43と一部接して重なるこ とにより TFT46とを接続する電極である。
また、 図 10 (A) とは一部異なる断面構造を図 10 (B) に示す。
図 10 (B) においては、 第 1の電極と電極との重なり方が図 10 (A) の構造と異なっており、 第 1の電極をパターエングした後、 電極を一部重な るように形成することで T FTと接続させている。
また、 図 10 (A) とは一部異なる断面構造を図 10 (C) に示す。 図 10 (C) においては、 層間絶縁膜がさらに 1層設けられており、 第 1 の電極がコンタクトホールを介して TFTの電極と接続されている。
また、 隔壁 41の断面形状としては、 図 10 (D) に示すようにテーパー 形状としてもよい。 フォトリソグラフィ法を用いてレジストを露光した後、 非感光性の有機樹脂や無機絶縁膜をェツチングすることによって得られる。 また、 ポジ型の感光性有機樹脂を用いれば、 図 10 (E) に示すような形 状、 上端部に曲面を有する形状とすることができる。
また、 ネガ型の感光性樹脂を用いれば、 図 10 (F) に示すような形状、 上端部および下端部に曲面を有する形状とすることができる。
[実施例 5 ] ■
本発明を実施して様々なモジュール (ァクティブマ卜リクス型液晶モジュ ール、 アクティブマトリクス型 E Lモジュール、 アクティブマトリクス型 E Cモジュール) を完成させることができる。 即ち、 本発明を実施することに よって、 それらを組み込んだ全ての電子機器が完成される。
その様な電子機器としては、 ビデオカメラ、'デジタルカメラ、 ヘッドマウ ントディスプレイ (ゴーグル型ディスプレイ)、 カーナビゲーシヨン、 プロジ ェクタ、 力一ステレオ、 パーソナルコンピュータ、 携帯情報端末 (モパイル コンピュータ、 携帯電話または電子書籍等) などが挙げられる。 それらの一 例を図 1 1、 図 1 2に示す。
図 1 1 (A) はパーソナルコンピュータであり、 本体 2 0 0 1、 画像入力 部 2 0 0 2、 表示部 2 0 0 3、 キーボード 2 0 0 4等を含む。
図 1 1 (B) はビデオカメラであり、 本体 2 1 0 1、 表示部 2 1 0 2、 音 声入力部 2 1 0 3、 操作スィッチ 2 1 0 4、 バッテリー 2 1 0 5、 受像部 2 1 0 6等を含む。
図 1 1 (C ) はモパイルコンピュータ (モービルコンピュータ) であり、 本体 2 2 0 1、カメラ部 2 2 0 2、受像部 2 2 0 3、操作スィツチ 2 2 0 4、 表示部 2 2 0 5等を含む。
図 1 1 (D) はプログラムを記録した記録媒体 (以下、 記録媒体と呼ぶ) を用いるプレーヤーであり、 本体 2 4 0 1、 表示部 2 4 0 2、 スピーカ部 2 4 0 3、 記録媒体 2 4 0 4、 操作スィッチ 2 4 0 5等を含む。 なお、 このプ レ一ヤーは記録媒体として DVD (D i g t i a l Ve r s a t i l e D i s c), CD等を用い、音楽鑑賞や映画鑑賞やゲームやイン夕一ネットを 行うことができる。
図 1 1 (E) はデジタルカメラであり、 本体 2501、 表示部 2502、 接眼部 2503、 操作スィッチ 2504、 受像部 (図示しない) 等を含む。 図 12 (A) は携帯電話であり、 本体 2901、 音声出力部 2902、 音 声入力部 2903、 表示部 2904、 操作スィツチ 2905、 アンテナ 29 06、 画像入力部 (CCD、 イメージセンサ等) 2907等を含む。
図 12 (B) は携帯書籍 (電子書籍) であり、 本体 3001、 表示部 30 02、 3003、 記憶媒体 3004、 操作スィツチ 3005、 アンテナ 30 06等を含む。
図 12 (C) はディスプレイであり、 本体 3101、 支持台 3102、 表 示部 3103等を含む。
ちなみに図 12 (C) に示すディスプレイは中小型または大型のもの、 例 えば 5〜20インチの画面サイズのものである。 また、 このようなサイズの 表示部を形成するためには、 基板の一辺が lmのものを用い、 多面取りを行 つて量産することが好ましい。
以上の様に、 本発明の適用範囲は極めて広く、 あらゆる分野の電子機器の 作製方法に適用することが可能である。 また、 本実施例の電子機器は実施の 形態、 実施例 1〜3のどのような組み合わせからなる構成を用いても実現す ることができる。

Claims

請求の範囲
1 . 絶縁表面を有する基板上の接着剤に接するように金属酸化物層を備え、 該金属酸化物層の上方に素子を備えたことを特徴とする半導体装置。
2 . 請求項 1において、 前記素子は、 薄膜トランジスタ、 有機発光素子、 液 晶を有する素子、 メモリ一素子、 薄膜ダイオード、 シリコンの P I N接合か らなる光電変換素子、 またはシリコン抵抗素子であることを特徴とする半導 体装置。
3 . 請求項 1または請求項 2において、 前記基板は、 平面または曲面を有す るプラスチック基板であることを特徴とする半導体装置。
4. 請求項 1乃至 3のいずれか一において、 前記金属酸化物層はレーザー光 照射、 熱処理、 またはレーザ一光照射と熱処理との複合処理によって形成さ れたものであることを特徴とする半導体装置。
5 . 請求項 1乃至 4のいずれか一において、 前記半導体装置は、 ビデオカメ ラ、 デジタルカメラ、 ゴーグル型ディスプレイ、 カーナビゲ一シヨン、 パー ソナルコンピュータまたは携帯情報端末であることを特徴とする半導体装置。
6 . 基板上に金属層と、 該金属層に接する酸化物層と、 該酸化物層の上方に 半導体素子を含む被剥離層を形成する工程と、
前記金属層を酸化させることで前記酸化物層との間に金属酸化物層を形成 する工程と、
前記被剥離層と支持体とを接着した後、 前記支持体に接着された前記被剥 離層を前記基板から物理的手法により前記金属酸化物層内または前記金属酸 化物層と前記酸化物層との界面、 または前記金属酸化物層と前記金属層との 界面において剥離する工程と、 を有することを特徴とする半導体装置の作製 方法。
7 . 基板上に絶縁物層と、 該絶緣物層に接する金属層と、 該金属層に接する 酸化物層と、 該酸化物層の上方に半導体素子を含む被剥離層を形成する工程 と、
前記金属層を酸化させることにより、 前記絶縁層との間、 または前記酸化 物層との間、 または前記絶縁層との間および前記酸化物層との間の両方に金 属酸化物層を形成する工程と、
前記被剥離層と支持体とを接着した後、 前記支持体に接着された前記被剥 離層を基板から物理的手法により前記絶緣層と接した金属酸化物層内または 前記絶縁層と接した前記金属酸化物層と前記絶縁層との界面、 または前記絶 縁層と接した前記金属酸化物層と前記金属層との界面、 または前記酸化物層 と接した前記金属酸化物層内、'または前記酸化物層と接した前記金属酸化物 層と前記酸化物層との界面、 または前記酸化物層と接した前記金属酸化物層 と前記金属層との界面において剥離する工程とを有することを特徴とする半 導体装置の作製方法。
8 . 基板上に金属層と、 該金属層に接する酸化物層と、 該酸化物層の上方に 半導体素子を含む被剥離層を形成する工程と、
前記金属層を酸化させることで前記酸化物層との間に金属酸化物層を形成 する工程と、
前記被剥離層と支持体とを接着した後、 前記支持体に接着された前記被剥離 層を前記基板から物理的手法により前記金属層と前記酸化物層の間において 剥離する工程と、 を有することを特 [とする半導体装置の作製方法。
9. 請求項 6乃至 8いずれか一において、 前記金属層を酸化させる工程はレ —ザ一光照射、 熱処理、 またはレーザー光照射と熱処理との複合処理によつ ておこなわれることを特徴とする半導体装置の作製方法。
10. 請求項 9において、 前記レーザ"光は、 連続発振またはパルス発振の 固体レーザーから発振された光であることを特徴とした半導体装置の作製方 法。
1 1. 請求項 6乃至 10のいずれか一において、 前記金属層は T i、 Ta、 W、 Mo、 C r、 Nd、 F e、 N i、 Co、 Z r、 Znから選ばれた元素、 または前記元素を主成分とする合金材料若しくは化合物材料からなる単層、 またはこれらの積層であることを特徴とする半導体装置の作製方法。
12. 請求項 6乃至 1 1のいずれか一において、 前記金属層に接する酸化物 層はスパッタ法により形成された酸化珪素膜であることを特徴とする半導体 装置の作製方法。
1 3. 請求項 6乃至 12のいずれか一において、 前記基板はガラス基板また は石英基板であり、 前記支持体はプラスチック基板、 またはプラスチック基 材であることを特徴とする半導体装置の作製方法。
14. 請求項 6乃至 13のいずれか一において、 前記被剥離層は、 薄膜トラ ンジス夕、 シリコンの P I N接合からなる光電変換素子、 有機発光素子、 液 晶を有する素子、 メモリー素子、 薄膜ダイオード、 またはシリコン抵抗素子 •を含むことを特徴とする半導体装置の作製方法。
PCT/JP2003/013552 2002-10-30 2003-10-23 半導体装置および半導体装置の作製方法 WO2004040648A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
AU2003275614A AU2003275614A1 (en) 2002-10-30 2003-10-23 Semiconductor device and method for manufacturing semiconductor device
JP2004525641A JP4693411B2 (ja) 2002-10-30 2003-10-23 半導体装置の作製方法
KR1020117016394A KR101169371B1 (ko) 2002-10-30 2003-10-23 발광장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-316397 2002-10-30
JP2002316397 2002-10-30

Publications (1)

Publication Number Publication Date
WO2004040648A1 true WO2004040648A1 (ja) 2004-05-13

Family

ID=32211680

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/013552 WO2004040648A1 (ja) 2002-10-30 2003-10-23 半導体装置および半導体装置の作製方法

Country Status (7)

Country Link
US (9) US7189631B2 (ja)
JP (9) JP4693411B2 (ja)
KR (2) KR101079757B1 (ja)
CN (1) CN100391004C (ja)
AU (1) AU2003275614A1 (ja)
TW (1) TWI316753B (ja)
WO (1) WO2004040648A1 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007038641A (ja) * 2005-06-28 2007-02-15 Semiconductor Energy Lab Co Ltd 印刷装置と印刷方法
JP2007305678A (ja) * 2006-05-09 2007-11-22 Seiko Epson Corp 積層体の製造方法、電気光学装置及び電子機器
JP2008244188A (ja) * 2007-03-28 2008-10-09 Seiko Epson Corp 薄膜層の剥離方法、薄膜デバイスの転写方法
JP2009033123A (ja) * 2007-06-27 2009-02-12 Semiconductor Energy Lab Co Ltd Soi基板の作製方法および半導体装置の作製方法
CN102097439B (zh) * 2005-12-02 2012-12-05 株式会社半导体能源研究所 半导体装置
WO2013054792A1 (ja) * 2011-10-12 2013-04-18 旭硝子株式会社 密着性樹脂層付き電子デバイスの製造方法
JP2014064024A (ja) * 2004-05-21 2014-04-10 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
CN104638009A (zh) * 2005-08-31 2015-05-20 株式会社半导体能源研究所 半导体器件及其制造方法
CN104701257A (zh) * 2013-12-05 2015-06-10 旭硝子株式会社 电子设备的制造方法
US9207477B2 (en) 2011-04-28 2015-12-08 Sharp Kabushiki Kaisha Display module and display device
JP2016115930A (ja) * 2014-12-11 2016-06-23 パナソニックIpマネジメント株式会社 電子素子の製造方法、可撓性基板の製造方法、積層基板および電子素子
JP2017183717A (ja) * 2016-03-24 2017-10-05 株式会社半導体エネルギー研究所 半導体装置およびその作製方法、および剥離装置
US9925749B2 (en) 2013-09-06 2018-03-27 Semiconductor Energy Laboratory Co., Ltd. Bonding apparatus and stack body manufacturing apparatus
WO2019100414A1 (zh) * 2017-11-22 2019-05-31 武汉华星光电半导体显示技术有限公司 柔性oled显示面板的制备方法

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW564471B (en) * 2001-07-16 2003-12-01 Semiconductor Energy Lab Semiconductor device and peeling off method and method of manufacturing semiconductor device
TW554398B (en) * 2001-08-10 2003-09-21 Semiconductor Energy Lab Method of peeling off and method of manufacturing semiconductor device
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
TW594947B (en) 2001-10-30 2004-06-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6953735B2 (en) 2001-12-28 2005-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device by transferring a layer to a support with curvature
US7786496B2 (en) * 2002-04-24 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing same
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
CN100391004C (zh) * 2002-10-30 2008-05-28 株式会社半导体能源研究所 半导体装置以及半导体装置的制作方法
JP4554152B2 (ja) * 2002-12-19 2010-09-29 株式会社半導体エネルギー研究所 半導体チップの作製方法
JP4101643B2 (ja) * 2002-12-26 2008-06-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4373085B2 (ja) * 2002-12-27 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法及び転写方法
TWI330269B (en) * 2002-12-27 2010-09-11 Semiconductor Energy Lab Separating method
US7436050B2 (en) * 2003-01-22 2008-10-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a flexible printed circuit
JP2004247373A (ja) * 2003-02-12 2004-09-02 Semiconductor Energy Lab Co Ltd 半導体装置
JP4526771B2 (ja) 2003-03-14 2010-08-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2005041249A2 (en) 2003-10-28 2005-05-06 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing optical film
US7229900B2 (en) * 2003-10-28 2007-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method of manufacturing thereof, and method of manufacturing base material
KR20110091797A (ko) * 2003-11-28 2011-08-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 장치
WO2006001287A1 (en) * 2004-06-24 2006-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film integrated circuit
US7537668B2 (en) * 2004-07-21 2009-05-26 Samsung Electro-Mechanics Co., Ltd. Method of fabricating high density printed circuit board
US7307006B2 (en) * 2005-02-28 2007-12-11 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
WO2006123825A1 (en) * 2005-05-20 2006-11-23 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8030132B2 (en) * 2005-05-31 2011-10-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device including peeling step
US7297613B1 (en) * 2005-06-09 2007-11-20 The United States Of America As Represented By The National Security Agency Method of fabricating and integrating high quality decoupling capacitors
KR100599012B1 (ko) * 2005-06-29 2006-07-12 서울옵토디바이스주식회사 열전도성 기판을 갖는 발광 다이오드 및 그것을 제조하는방법
JP4916680B2 (ja) * 2005-06-30 2012-04-18 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法
EP1760776B1 (en) * 2005-08-31 2019-12-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for semiconductor device with flexible substrate
US7767543B2 (en) 2005-09-06 2010-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a micro-electro-mechanical device with a folded substrate
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
JP2007194514A (ja) * 2006-01-23 2007-08-02 Mitsubishi Electric Corp 半導体装置の製造方法
TWI424499B (zh) * 2006-06-30 2014-01-21 Semiconductor Energy Lab 製造半導體裝置的方法
KR100804526B1 (ko) * 2006-07-05 2008-02-20 삼성에스디아이 주식회사 유기 발광 디스플레이 장치의 제조방법
KR100804527B1 (ko) * 2006-07-05 2008-02-20 삼성에스디아이 주식회사 박막 트랜지스턱 기판의 제조방법 및 이를 이용한 유기발광 디스플레이 장치의 제조방법
KR100744566B1 (ko) * 2006-09-08 2007-08-01 한국전자통신연구원 금속산화물을 이용한 게이트 스택, 이를 포함하는트랜지스터 일체형 메모리 소자 및 그 메모리소자의구동방법
TWI611565B (zh) 2006-09-29 2018-01-11 半導體能源研究所股份有限公司 半導體裝置的製造方法
US8735770B2 (en) * 2006-10-04 2014-05-27 Hamamatsu Photonics K.K. Laser processing method for forming a modified region in an object
KR100824880B1 (ko) 2006-11-10 2008-04-23 삼성에스디아이 주식회사 유기 전계 발광 표시 장치 및 그 제조 방법
KR100824881B1 (ko) 2006-11-10 2008-04-23 삼성에스디아이 주식회사 유기 전계 발광 표시 장치 및 그 제조 방법
KR100833738B1 (ko) * 2006-11-30 2008-05-29 삼성에스디아이 주식회사 유기 전계 발광 표시 장치 및 그 제조 방법
KR100824902B1 (ko) 2006-12-13 2008-04-23 삼성에스디아이 주식회사 유기 전계 발광 표시 장치 및 그 제조 방법
KR100890250B1 (ko) * 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US7759629B2 (en) * 2007-03-20 2010-07-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
JP5136110B2 (ja) * 2008-02-19 2013-02-06 ソニー株式会社 固体撮像装置の製造方法
KR101656843B1 (ko) 2008-07-10 2016-09-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광장치 및 전자기기
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
KR102618171B1 (ko) 2009-09-16 2023-12-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 장치 및 이의 제조 방법
KR101108161B1 (ko) * 2009-12-24 2012-01-31 삼성모바일디스플레이주식회사 유기 발광 표시 장치 및 그 제조방법
US9075576B2 (en) * 2010-02-25 2015-07-07 Blackberry Limited Keypads for mobile devices and method of manufacturing the same
KR101147988B1 (ko) * 2010-07-13 2012-05-24 포항공과대학교 산학협력단 물리적 박리 방법을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
CN101980393A (zh) * 2010-09-21 2011-02-23 福建钧石能源有限公司 大面积柔性光电器件的制造方法
KR101702943B1 (ko) * 2010-10-29 2017-02-22 엘지이노텍 주식회사 발광소자의 제조방법
US8921948B2 (en) 2011-01-12 2014-12-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TWI535032B (zh) 2011-01-12 2016-05-21 半導體能源研究所股份有限公司 半導體裝置的製造方法
US8536571B2 (en) 2011-01-12 2013-09-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP5872912B2 (ja) * 2011-01-21 2016-03-01 株式会社半導体エネルギー研究所 発光装置
DE112012004373T5 (de) * 2011-10-18 2014-07-10 Fuji Electric Co., Ltd Verfahren zur trennung eines trägersubstrats von einem festphasengebundenen wafer und verfahren zur herstellung einer halbleitervorrichtung
JP2013135181A (ja) * 2011-12-27 2013-07-08 Panasonic Corp フレキシブルデバイスの製造方法
JP2013251255A (ja) * 2012-05-04 2013-12-12 Semiconductor Energy Lab Co Ltd 発光装置の作製方法
TWI645578B (zh) 2012-07-05 2018-12-21 半導體能源研究所股份有限公司 發光裝置及發光裝置的製造方法
KR102296378B1 (ko) 2012-08-10 2021-09-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 전자 장치
TWI596751B (zh) * 2012-08-30 2017-08-21 財團法人工業技術研究院 軟性顯示器與其製法
US20140144593A1 (en) * 2012-11-28 2014-05-29 International Business Machiness Corporation Wafer debonding using long-wavelength infrared radiation ablation
US9586291B2 (en) 2012-11-28 2017-03-07 Globalfoundries Inc Adhesives for bonding handler wafers to device wafers and enabling mid-wavelength infrared laser ablation release
US9847512B2 (en) * 2012-12-22 2017-12-19 Industrial Technology Research Institute Electronic device package structure and manufacturing method thereof
WO2014129519A1 (en) 2013-02-20 2014-08-28 Semiconductor Energy Laboratory Co., Ltd. Peeling method, semiconductor device, and peeling apparatus
TWI555166B (zh) * 2013-06-18 2016-10-21 矽品精密工業股份有限公司 層疊式封裝件及其製法
TWI532162B (zh) 2013-06-25 2016-05-01 友達光電股份有限公司 可撓式顯示面板及其製造方法
WO2015000095A1 (en) 2013-07-05 2015-01-08 Industrial Technology Research Institute Flexible display and method for fabricating the same
KR101663763B1 (ko) * 2013-07-31 2016-10-07 엘지디스플레이 주식회사 터치스크린을 구비한 표시장치
JP2015046391A (ja) * 2013-08-01 2015-03-12 株式会社半導体エネルギー研究所 発光装置、及び電子機器
TWI576190B (zh) * 2013-08-01 2017-04-01 Ibm 使用中段波長紅外光輻射燒蝕之晶圓剝離
CN109273622B (zh) 2013-08-06 2021-03-12 株式会社半导体能源研究所 剥离方法
TWI794098B (zh) 2013-09-06 2023-02-21 日商半導體能源研究所股份有限公司 發光裝置以及發光裝置的製造方法
JP6513929B2 (ja) 2013-11-06 2019-05-15 株式会社半導体エネルギー研究所 剥離方法
CN105793957B (zh) 2013-12-12 2019-05-03 株式会社半导体能源研究所 剥离方法及剥离装置
CN104752621B (zh) * 2013-12-26 2017-05-17 昆山工研院新型平板显示技术中心有限公司 一种提高有源矩阵有机发光显示器显示质量的方法
KR102215812B1 (ko) 2014-01-09 2021-02-17 삼성디스플레이 주식회사 소자 기판 제조 방법 및 상기 방법을 이용하여 제조한 표시 장치
DE112015000866T5 (de) 2014-02-19 2016-11-17 Semiconductor Energy Laboratory Co., Ltd. Lichtemittierende Vorrichtung und Ablöseverfahren
CN103956363B (zh) * 2014-03-03 2016-09-21 上海天马有机发光显示技术有限公司 复合基板及其制造方法、柔性显示装置及其制造方法
TWI679560B (zh) 2014-03-13 2019-12-11 日商半導體能源研究所股份有限公司 觸控面板
WO2015152158A1 (ja) 2014-03-31 2015-10-08 株式会社Joled 積層体および積層体の剥離方法ならびに可撓性デバイスの製造方法
CN106465494B (zh) 2014-04-11 2019-01-22 株式会社半导体能源研究所 发光装置
TWI559510B (zh) * 2014-06-23 2016-11-21 群創光電股份有限公司 顯示裝置
US9799829B2 (en) 2014-07-25 2017-10-24 Semiconductor Energy Laboratory Co., Ltd. Separation method, light-emitting device, module, and electronic device
TWI561325B (en) * 2014-08-01 2016-12-11 Au Optronics Corp Display module manufacturing method and display module
KR102328677B1 (ko) * 2014-10-17 2021-11-19 삼성디스플레이 주식회사 플렉서블 디스플레이 장치 및 그 제조방법
TWI696108B (zh) 2015-02-13 2020-06-11 日商半導體能源研究所股份有限公司 功能面板、功能模組、發光模組、顯示模組、位置資料輸入模組、發光裝置、照明設備、顯示裝置、資料處理裝置、功能面板的製造方法
US10020418B2 (en) * 2015-03-25 2018-07-10 International Business Machines Corporation Simplified process for vertical LED manufacturing
KR102385339B1 (ko) 2015-04-21 2022-04-11 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
KR102427672B1 (ko) * 2015-08-11 2022-08-02 삼성디스플레이 주식회사 플렉서블 디스플레이 장치 및 그 제조방법
CN105552247B (zh) * 2015-12-08 2018-10-26 上海天马微电子有限公司 复合基板、柔性显示装置及其制备方法
JP6822858B2 (ja) 2016-01-26 2021-01-27 株式会社半導体エネルギー研究所 剥離の起点の形成方法及び剥離方法
JP6863803B2 (ja) 2016-04-07 2021-04-21 株式会社半導体エネルギー研究所 表示装置
US10181424B2 (en) * 2016-04-12 2019-01-15 Semiconductor Energy Laboratory Co., Ltd. Peeling method and manufacturing method of flexible device
JP6738205B2 (ja) * 2016-06-06 2020-08-12 昭和電工パッケージング株式会社 ラミネート材
JP6738206B2 (ja) * 2016-06-06 2020-08-12 昭和電工パッケージング株式会社 ラミネート材の製造方法
KR20170140495A (ko) * 2016-06-10 2017-12-21 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
CN108511575B (zh) * 2017-02-28 2023-03-17 日亚化学工业株式会社 光学部件的制造方法
US10424374B2 (en) 2017-04-28 2019-09-24 Micron Technology, Inc. Programming enhancement in self-selecting memory
US10854813B2 (en) 2018-02-09 2020-12-01 Micron Technology, Inc. Dopant-modulated etching for memory devices
US10693065B2 (en) 2018-02-09 2020-06-23 Micron Technology, Inc. Tapered cell profile and fabrication
US10424730B2 (en) 2018-02-09 2019-09-24 Micron Technology, Inc. Tapered memory cell profiles
TWI695863B (zh) 2018-04-23 2020-06-11 日商旭化成股份有限公司 聚醯亞胺前驅體樹脂組合物
EP3786213A4 (en) 2018-04-23 2022-01-19 Shin-Etsu Chemical Co., Ltd. COMPOUND WITH SILICON
US20210339159A1 (en) 2018-08-17 2021-11-04 Sony Interactive Entertainment Inc. Toy system, casing, separate toy, separate toy assessment method, and program
KR102174928B1 (ko) * 2019-02-01 2020-11-05 레이저쎌 주식회사 멀티 빔 레이저 디본딩 장치 및 방법
WO2020241523A1 (ja) 2019-05-24 2020-12-03 旭化成株式会社 ポリイミド前駆体及びポリイミド樹脂組成物
US11587474B2 (en) 2019-07-24 2023-02-21 Au Optronics Corporation Flexible device array substrate and manufacturing method of flexible device array substrate
CN111162097B (zh) * 2020-01-03 2022-03-29 武汉天马微电子有限公司 一种显示面板和显示装置
KR20210094193A (ko) 2020-01-20 2021-07-29 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
CN113555306A (zh) * 2020-04-23 2021-10-26 深圳市柔宇科技有限公司 弹性电子装置及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020184959A1 (en) * 1996-11-12 2002-12-12 Luk Getriebe-Systeme Gmbh Emergency facilities for influencing defective constituents of power trains in motor vehicles

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US24635A (en) * 1859-07-05 Construction op sheet-metal coffins
US32210A (en) * 1861-04-30 Rigging-clasp
DE3322382A1 (de) * 1983-06-22 1985-01-10 Preh, Elektrofeinmechanische Werke Jakob Preh Nachf. Gmbh & Co, 8740 Bad Neustadt Verfahren zur herstellung von gedruckten schaltungen
JPH02257618A (ja) * 1989-03-29 1990-10-18 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US7075501B1 (en) * 1990-12-31 2006-07-11 Kopin Corporation Head mounted display system
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
US5258325A (en) * 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
JP3483581B2 (ja) * 1991-08-26 2004-01-06 株式会社半導体エネルギー研究所 半導体装置
US6849872B1 (en) 1991-08-26 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor
JPH05206468A (ja) * 1991-09-02 1993-08-13 Fuji Xerox Co Ltd 薄膜トランジスタおよびその製造方法
KR930015211A (ko) 1991-12-12 1993-07-24 이헌조 레이저 다이오드(LD : Laser Diode) 반도체 구조 및 제조방법
JPH05347186A (ja) 1992-06-12 1993-12-27 Clarion Co Ltd エレクトロルミネセンス・ディスプレイ
JP3242452B2 (ja) * 1992-06-19 2001-12-25 三菱電機株式会社 薄膜太陽電池の製造方法
WO1994007177A1 (en) * 1992-09-11 1994-03-31 Kopin Corporation Color filter system for display panels
US5781164A (en) * 1992-11-04 1998-07-14 Kopin Corporation Matrix display systems
JP3238223B2 (ja) 1993-01-20 2001-12-10 株式会社東芝 液晶表示装置および表示装置
JPH06280026A (ja) * 1993-03-24 1994-10-04 Semiconductor Energy Lab Co Ltd 成膜装置及び成膜方法
JP3362439B2 (ja) 1993-03-31 2003-01-07 ソニー株式会社 量子効果素子およびその製造方法
JP3332467B2 (ja) * 1993-04-06 2002-10-07 三洋電機株式会社 多結晶半導体の製造方法
KR100333153B1 (ko) * 1993-09-07 2002-12-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치제작방법
JPH07109573A (ja) * 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
JP3150840B2 (ja) * 1994-03-11 2001-03-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3698749B2 (ja) * 1995-01-11 2005-09-21 株式会社半導体エネルギー研究所 液晶セルの作製方法およびその作製装置、液晶セルの生産システム
JP3364081B2 (ja) 1995-02-16 2003-01-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5757456A (en) * 1995-03-10 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating involving peeling circuits from one substrate and mounting on other
US5834327A (en) * 1995-03-18 1998-11-10 Semiconductor Energy Laboratory Co., Ltd. Method for producing display device
JP4063896B2 (ja) * 1995-06-20 2008-03-19 株式会社半導体エネルギー研究所 有色シースルー光起電力装置
DE19547691C1 (de) * 1995-12-20 1997-04-24 Lohmann Therapie Syst Lts Verfahren zur Herstellung transdermaler therapeutischer Pflaster (TTS)
KR100481994B1 (ko) 1996-08-27 2005-12-01 세이코 엡슨 가부시키가이샤 박리방법,박막디바이스의전사방법,및그것을이용하여제조되는박막디바이스,박막집적회로장치및액정표시장치
JP4619461B2 (ja) 1996-08-27 2011-01-26 セイコーエプソン株式会社 薄膜デバイスの転写方法、及びデバイスの製造方法
JP3809681B2 (ja) 1996-08-27 2006-08-16 セイコーエプソン株式会社 剥離方法
JP4619462B2 (ja) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 薄膜素子の転写方法
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
USRE38466E1 (en) * 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
DE69728022T2 (de) * 1996-12-18 2004-08-12 Canon K.K. Vefahren zum Herstellen eines Halbleiterartikels unter Verwendung eines Substrates mit einer porösen Halbleiterschicht
US6210479B1 (en) * 1999-02-26 2001-04-03 International Business Machines Corporation Product and process for forming a semiconductor structure on a host substrate
US6344662B1 (en) * 1997-03-25 2002-02-05 International Business Machines Corporation Thin-film field-effect transistor with organic-inorganic hybrid semiconductor requiring low operating voltages
US5981970A (en) * 1997-03-25 1999-11-09 International Business Machines Corporation Thin-film field-effect transistor with organic semiconductor requiring low operating voltages
US5946551A (en) * 1997-03-25 1999-08-31 Dimitrakopoulos; Christos Dimitrios Fabrication of thin film effect transistor comprising an organic semiconductor and chemical solution deposited metal oxide gate dielectric
CA2233096C (en) * 1997-03-26 2003-01-07 Canon Kabushiki Kaisha Substrate and production method thereof
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
JPH1126733A (ja) * 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
JP3116085B2 (ja) * 1997-09-16 2000-12-11 東京農工大学長 半導体素子形成法
JPH11160734A (ja) * 1997-11-28 1999-06-18 Semiconductor Energy Lab Co Ltd 液晶電気光学装置
JP3809733B2 (ja) 1998-02-25 2006-08-16 セイコーエプソン株式会社 薄膜トランジスタの剥離方法
JPH11243209A (ja) * 1998-02-25 1999-09-07 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置、アクティブマトリクス基板、液晶表示装置および電子機器
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
JP3619058B2 (ja) * 1998-06-18 2005-02-09 キヤノン株式会社 半導体薄膜の製造方法
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6117797A (en) * 1998-09-03 2000-09-12 Micron Technology, Inc. Attachment method for heat sinks and devices involving removal of misplaced encapsulant
KR100337623B1 (ko) 1998-11-10 2002-05-24 모리 마코토 광반응장치
JP2000150168A (ja) 1998-11-13 2000-05-30 Toppan Printing Co Ltd 耐熱性低抵抗正孔輸送材料および有機薄膜発光素子
US6268695B1 (en) * 1998-12-16 2001-07-31 Battelle Memorial Institute Environmental barrier material for organic light emitting device and method of making
WO2000038247A1 (en) 1998-12-18 2000-06-29 Infineon Technologies Ag Reduced diffusion of a mobile specie from a metal oxide ceramic
US6664169B1 (en) * 1999-06-08 2003-12-16 Canon Kabushiki Kaisha Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus
JP3447619B2 (ja) 1999-06-25 2003-09-16 株式会社東芝 アクティブマトリクス基板の製造方法、中間転写基板
JP4465745B2 (ja) 1999-07-23 2010-05-19 ソニー株式会社 半導体積層基板,半導体結晶基板および半導体素子ならびにそれらの製造方法
TW544727B (en) * 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6391220B1 (en) * 1999-08-18 2002-05-21 Fujitsu Limited, Inc. Methods for fabricating flexible circuit structures
JP2001085715A (ja) * 1999-09-09 2001-03-30 Canon Inc 半導体層の分離方法および太陽電池の製造方法
JP2001085154A (ja) 1999-09-16 2001-03-30 Denso Corp 表示装置
TW516244B (en) * 1999-09-17 2003-01-01 Semiconductor Energy Lab EL display device and method for manufacturing the same
JP2001177101A (ja) * 1999-12-20 2001-06-29 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP3885440B2 (ja) 1999-12-27 2007-02-21 凸版印刷株式会社 光電変換素子及びその製造方法
JP4478268B2 (ja) 1999-12-28 2010-06-09 セイコーエプソン株式会社 薄膜デバイスの製造方法
US7060153B2 (en) 2000-01-17 2006-06-13 Semiconductor Energy Laboratory Co., Ltd. Display device and method of manufacturing the same
JP4748859B2 (ja) * 2000-01-17 2011-08-17 株式会社半導体エネルギー研究所 発光装置の作製方法
TW494447B (en) 2000-02-01 2002-07-11 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP4712198B2 (ja) 2000-02-01 2011-06-29 株式会社半導体エネルギー研究所 表示装置の作製方法
JP4884592B2 (ja) * 2000-03-15 2012-02-29 株式会社半導体エネルギー研究所 発光装置の作製方法及び表示装置の作製方法
JP2001267578A (ja) * 2000-03-17 2001-09-28 Sony Corp 薄膜半導体装置及びその製造方法
TW493282B (en) 2000-04-17 2002-07-01 Semiconductor Energy Lab Self-luminous device and electric machine using the same
JP4889872B2 (ja) * 2000-04-17 2012-03-07 株式会社半導体エネルギー研究所 発光装置及びそれを用いた電気器具
US20010030511A1 (en) * 2000-04-18 2001-10-18 Shunpei Yamazaki Display device
US6492026B1 (en) * 2000-04-20 2002-12-10 Battelle Memorial Institute Smoothing and barrier layers on high Tg substrates
JP4713010B2 (ja) 2000-05-08 2011-06-29 株式会社半導体エネルギー研究所 発光装置及びその作製方法
US6608449B2 (en) 2000-05-08 2003-08-19 Semiconductor Energy Laboratory Co., Ltd. Luminescent apparatus and method of manufacturing the same
JP2002026182A (ja) * 2000-07-07 2002-01-25 Sanyo Electric Co Ltd 半導体装置の製造方法
JP4869471B2 (ja) * 2000-07-17 2012-02-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6739931B2 (en) 2000-09-18 2004-05-25 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating the display device
JP2002164181A (ja) * 2000-09-18 2002-06-07 Semiconductor Energy Lab Co Ltd 表示装置及びその作製方法
US6664732B2 (en) * 2000-10-26 2003-12-16 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
JP3974749B2 (ja) * 2000-12-15 2007-09-12 シャープ株式会社 機能素子の転写方法
US6624839B2 (en) * 2000-12-20 2003-09-23 Polaroid Corporation Integral organic light emitting diode printhead utilizing color filters
US6774010B2 (en) * 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US7115453B2 (en) * 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6448152B1 (en) * 2001-02-20 2002-09-10 Silicon Genesis Corporation Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer
JP4046948B2 (ja) 2001-02-26 2008-02-13 株式会社日立製作所 有機発光表示装置
JP2002328624A (ja) 2001-04-26 2002-11-15 Sony Corp 車両用表示装置
TWI257828B (en) * 2001-05-31 2006-07-01 Seiko Epson Corp EL device, EL display, EL illumination apparatus, liquid crystal apparatus using the EL illumination apparatus and electronic apparatus
TW564471B (en) 2001-07-16 2003-12-01 Semiconductor Energy Lab Semiconductor device and peeling off method and method of manufacturing semiconductor device
JP2003142666A (ja) 2001-07-24 2003-05-16 Seiko Epson Corp 素子の転写方法、素子の製造方法、集積回路、回路基板、電気光学装置、icカード、及び電子機器
US6814832B2 (en) * 2001-07-24 2004-11-09 Seiko Epson Corporation Method for transferring element, method for producing element, integrated circuit, circuit board, electro-optical device, IC card, and electronic appliance
JP2003109773A (ja) * 2001-07-27 2003-04-11 Semiconductor Energy Lab Co Ltd 発光装置、半導体装置およびそれらの作製方法
JP5057619B2 (ja) 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW554398B (en) * 2001-08-10 2003-09-21 Semiconductor Energy Lab Method of peeling off and method of manufacturing semiconductor device
TW558743B (en) * 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
US6875671B2 (en) * 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US20030074524A1 (en) 2001-10-16 2003-04-17 Intel Corporation Mass storage caching processes for power reduction
TW594947B (en) * 2001-10-30 2004-06-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
TWI264121B (en) * 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
US6953735B2 (en) * 2001-12-28 2005-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device by transferring a layer to a support with curvature
JP2003223992A (ja) * 2002-01-31 2003-08-08 Toyota Industries Corp 有機elカラー表示装置
US6911772B2 (en) * 2002-06-12 2005-06-28 Eastman Kodak Company Oled display having color filters for improving contrast
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
CN100391004C (zh) * 2002-10-30 2008-05-28 株式会社半导体能源研究所 半导体装置以及半导体装置的制作方法
CN1332471C (zh) 2005-09-23 2007-08-15 周明明 一种铅酸蓄电池胶体电解液

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020184959A1 (en) * 1996-11-12 2002-12-12 Luk Getriebe-Systeme Gmbh Emergency facilities for influencing defective constituents of power trains in motor vehicles

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014064024A (ja) * 2004-05-21 2014-04-10 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2007038641A (ja) * 2005-06-28 2007-02-15 Semiconductor Energy Lab Co Ltd 印刷装置と印刷方法
CN104638009A (zh) * 2005-08-31 2015-05-20 株式会社半导体能源研究所 半导体器件及其制造方法
CN102097439B (zh) * 2005-12-02 2012-12-05 株式会社半导体能源研究所 半导体装置
JP2007305678A (ja) * 2006-05-09 2007-11-22 Seiko Epson Corp 積層体の製造方法、電気光学装置及び電子機器
JP2008244188A (ja) * 2007-03-28 2008-10-09 Seiko Epson Corp 薄膜層の剥離方法、薄膜デバイスの転写方法
JP2009033123A (ja) * 2007-06-27 2009-02-12 Semiconductor Energy Lab Co Ltd Soi基板の作製方法および半導体装置の作製方法
US9207477B2 (en) 2011-04-28 2015-12-08 Sharp Kabushiki Kaisha Display module and display device
WO2013054792A1 (ja) * 2011-10-12 2013-04-18 旭硝子株式会社 密着性樹脂層付き電子デバイスの製造方法
US9925749B2 (en) 2013-09-06 2018-03-27 Semiconductor Energy Laboratory Co., Ltd. Bonding apparatus and stack body manufacturing apparatus
US10583641B2 (en) 2013-09-06 2020-03-10 Semiconductor Energy Laboratory Co., Ltd. Bonding apparatus and stack body manufacturing apparatus
CN104701257A (zh) * 2013-12-05 2015-06-10 旭硝子株式会社 电子设备的制造方法
JP2016115930A (ja) * 2014-12-11 2016-06-23 パナソニックIpマネジメント株式会社 電子素子の製造方法、可撓性基板の製造方法、積層基板および電子素子
JP2017183717A (ja) * 2016-03-24 2017-10-05 株式会社半導体エネルギー研究所 半導体装置およびその作製方法、および剥離装置
US10586817B2 (en) 2016-03-24 2020-03-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and separation apparatus
US11107846B2 (en) 2016-03-24 2021-08-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and separation apparatus
WO2019100414A1 (zh) * 2017-11-22 2019-05-31 武汉华星光电半导体显示技术有限公司 柔性oled显示面板的制备方法

Also Published As

Publication number Publication date
JP2020123724A (ja) 2020-08-13
JP5872627B2 (ja) 2016-03-01
JPWO2004040648A1 (ja) 2006-03-02
US20070158745A1 (en) 2007-07-12
US20110159771A1 (en) 2011-06-30
JP2011124590A (ja) 2011-06-23
AU2003275614A1 (en) 2004-05-25
CN1708853A (zh) 2005-12-14
JP2014194946A (ja) 2014-10-09
US20130214434A1 (en) 2013-08-22
US20110312111A1 (en) 2011-12-22
US9224667B2 (en) 2015-12-29
JP2011142332A (ja) 2011-07-21
US20120211874A1 (en) 2012-08-23
JP5433598B2 (ja) 2014-03-05
US20170047359A1 (en) 2017-02-16
US9929190B2 (en) 2018-03-27
US9508620B2 (en) 2016-11-29
TW200425486A (en) 2004-11-16
US7923348B2 (en) 2011-04-12
JP6253617B2 (ja) 2017-12-27
JP4693411B2 (ja) 2011-06-01
US8415679B2 (en) 2013-04-09
US7547612B2 (en) 2009-06-16
JP5577421B2 (ja) 2014-08-20
US20150311439A1 (en) 2015-10-29
JP2017059833A (ja) 2017-03-23
KR20110095951A (ko) 2011-08-25
TWI316753B (en) 2009-11-01
US20090275196A1 (en) 2009-11-05
US20040232413A1 (en) 2004-11-25
KR101079757B1 (ko) 2011-11-04
JP2013179306A (ja) 2013-09-09
JP5277263B2 (ja) 2013-08-28
JP2018166209A (ja) 2018-10-25
US8012854B2 (en) 2011-09-06
JP2016021407A (ja) 2016-02-04
CN100391004C (zh) 2008-05-28
KR20050059259A (ko) 2005-06-17
KR101169371B1 (ko) 2012-07-30
US8173520B2 (en) 2012-05-08
US7189631B2 (en) 2007-03-13

Similar Documents

Publication Publication Date Title
JP6253617B2 (ja) 発光装置および電子機器
KR101043663B1 (ko) 표시장치 제조방법
KR100993130B1 (ko) 반도체 장치 제작 방법
JP2003174153A (ja) 剥離方法および半導体装置の作製方法、および半導体装置
JP4267394B2 (ja) 剥離方法、及び半導体装置の作製方法
JP4602035B2 (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2004525641

Country of ref document: JP

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057006398

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20038A26418

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057006398

Country of ref document: KR

122 Ep: pct application non-entry in european phase