WO2004017388A2 - Lithographic template and method of formation - Google Patents

Lithographic template and method of formation Download PDF

Info

Publication number
WO2004017388A2
WO2004017388A2 PCT/US2003/022559 US0322559W WO2004017388A2 WO 2004017388 A2 WO2004017388 A2 WO 2004017388A2 US 0322559 W US0322559 W US 0322559W WO 2004017388 A2 WO2004017388 A2 WO 2004017388A2
Authority
WO
WIPO (PCT)
Prior art keywords
forming
template
layer
transparent conductive
conductive layer
Prior art date
Application number
PCT/US2003/022559
Other languages
French (fr)
Other versions
WO2004017388A3 (en
Inventor
Albert Alec Talin
Jeffrey H. Baker
William J. Dauksher
Andy Hooper
Douglas J. Resnick
Original Assignee
Freescale Semiconductor, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor, Inc. filed Critical Freescale Semiconductor, Inc.
Priority to AU2003256620A priority Critical patent/AU2003256620A1/en
Publication of WO2004017388A2 publication Critical patent/WO2004017388A2/en
Publication of WO2004017388A3 publication Critical patent/WO2004017388A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion

Definitions

  • the present invention relates to semiconductor devices, microelectronic devices, micro electro mechanical devices, microfluidic devices, photonic devices, and more particularly to a lithographic template, a method of forming the lithographic template and a method for forming semiconductor devices with the lithographic template.
  • the fabrication of integrated circuits involves the creation of several layers of materials that interact in some fashion.
  • One or more of these layers may be patterned so various regio ns of the layer have different electrical characteristics, which may be intercon nected within the layer or to other layers to create electrical components and circuits.
  • These regions may be created by selectively introducing or removing various materials.
  • the patterns that define such regions are often created by lith ographic processes. For example, a layer of photoresist material is applied onto a layer overlying a. wafer substrate. A photomask (containing clear and opaque areas) is used to selectively expose this photoresist material by a form of radiation, such as ultraviolet light, electrons, or x-rays.
  • Lithographic processes such as that described above are typically used to transfer patterns from a photomask to a device.
  • Several new lithographic techniques which accomplish this need and have a basis in imprinting and stamping have been proposed.
  • SFIL Step and Flash Imprint Lithography
  • SFIL templates are typically made by applying a layer of chrome, 10-100 nm thick, on to a transparent quartz plate.
  • a resist layer is applied to the chrome and patterned using either an electron beam or optical expos ure system.
  • the resist is then placed in a developer to form patterns on the chrome layer.
  • the resist is used as a mask to etch the chrome layer.
  • the chrome then serves as a hard mask for the etching of the quartz plate. Finally, the chrome is removed, thereby forming a quartz template contai ning relief images in the quartz.
  • SFIL process During a typical SFIL process, a substrate ia coated with an organic planarization layer, and brought into close proximity of a transparent SFIL template, typically comprised of quartz, containing a relief image and coated with a low surface energy material.
  • An ultraviolet or deep ultraviolet sensitive photocurable organic solution is deposited betwoen the template and the coated substrate.
  • the template is brought into contact with the substrate, and more particularly the photocurable organic layer.
  • the organic layer is cured, or crosslinked, at room temperature by illuminating through the template.
  • the light source typically uses ultraviolet radiation. A range of wavelengths (150 nm-500 nm) is- possible, however, depending upon the transmissive properties of the template and photosensitivity of the photocurable organic.
  • the template is next separated from the substrate and the organic layer, leaving behind an organic replica of the template relief on the planarization layer.
  • This pattern is then etched with a -short halogen breakthrough, followed by an oxygen reactive ion etch (RIE) to fo rm a high-resolution, high aspect-ratio feature in the organ ⁇ c layer and planarization layer.
  • RIE oxygen reactive ion etch
  • a lithographic mask is used as a stenci l to impart an aerial image of light into a photoresist material.
  • a lithographic template has a relief image etched into its surface, creatin g a form or mold. A pattern is defined when a photocurable liquid flows into the relief image and is subsequently cured. The attributes necessary for masks and templates, therefore, are quite different.
  • quartz is very resistive and prone to charging during e-beam irradiation. Accordingly, there is a desire to include within the template fabrication a transparent conductive coating to eliminate this concern.
  • a transparent co nductive coating, or layer will provide for the elimination of image distorting charging effects during electron beam patterning of the template, elimination of charging effects during scanning elect ron microscope inspection of the fabricated template, and service as an etch s-top barrier layer during oxide patterning.
  • the most convenient transparent conductive material is indium-tin-oxide (ITO), although many other transparent conductive materials providing these benefits can be used. Common deposition techniques for ITO involve heating the substrate to approximately 200°C during the deposition process. Unfortunately, this produces fi lms which are rough and grainy. This production of a rough and grainy conductive layer will not produce the desired results as described above.
  • This invention relates to semiconductor devices, microelectronic devices, micro electro mechanical devices, microfluic ⁇ c devices, and more p articularly to a lithographic template, a method of forming the lithographic template and a method for forming devices with the lithographic template.
  • a lithographic template including a substrate, a transparent conductive layer, and an etched patterning layer.
  • the lithographic template is formed by providing a transparent substrate and forming a transparent conductive layer on the substrate using low pressure sputtering techniques, thereby pro /iding for a smooth layer having conductive properties sufficient to eliminate surface charging, while maintaining transparency to ultraviolet light.
  • the next steps in forming the lithographic template include, forming a patterning layer on the smooth transparent conductive layer, forming a patterned resist layer on the patterning layer, etching the patterning layer to expose portions of the smooth transparent conductive layer, and removing the patterned resist layer to expose the etched patterning layer, thus defining a lithographic template.
  • a method for making a device with the lithographic template including the steps of provid ing a substrate, coatin g the substrate with a photocurable liquid, providing a lithographic template as previously disclosed, positioning the lithographic template in contact with the photocurable liquid, applying pressure to the template so that a pattern is created in the photocurable liquid, transmitting radiation through the litho graphic template to expose at least a portion of the photocurable material on the substrate, thereby further affecting the pattern in the photocurable liquid, and removing the template from the substrate.
  • FIGs. 1-7 illustrate in cross-section views, process steps for fabricati ng a lithographic template in accordance with the present invention.
  • FIG. 8 is a simplified process flow diagram fabricating a semiconductor device with a lithographic template in accordance with the present invention.
  • the present invention relates to the elimination of image distorting charging effects during electron beam patterning of a template used in step and flash imprint lithography (SFIL), the elimination of charging effect during scanning electron microscope inspection of the fabricated templates, and the elimination of false defects during optical and e-beam defect inspection. It is proposed that a bi-layer of materials be deposited on a substrate surface, thereby forming a lithographic template including these improvements.
  • FIGs. 1-7 illustrated in cross-sectional "views, are a pluralhy of process steps for fabricating a lithographic template according to the present invention.
  • FIG. 1 illustrated is a first step in the process of fabricating a lithographic template 10 according to the present invention. More specifically, illustrated is substrate 12, having a s-urface 14.
  • Substrate 12 is disclosed as being comprised of a transparent material, such as a quartz material, a polycarbonate material, a pyrex material, a calcium fluoride
  • Substrate 12 is formed of a transparent material to allow for the passage therethrough of light.
  • Transparent conductive layer 16 is disclosed as being formed of a transparent material thereby providing for the subsequent passing therethrough of preferably 90% of li ⁇ jht in the ultraviolet range.
  • Various materials are proposed for fabrication of transparent con uctive layer 16. It is disclosed that the specific type of material utilized will have bearing on the resulting process steps that must be undertaken to complete fabrication of te plate 10.
  • Transparent materials that are disclosed as being suitable for the fabrication of transparent conductive layer 16 include zinc tin oxide (Zn 2 Sn0 4 ), zinc tin oxide (ZnSn0 3 ), zinc indium oxide (Zn2l i2 ⁇ 5 ), zinc oxide (ZnO), zinc oxide doped with aluminum ( nO:AI), zinc oxide doped with fluorine (ZnO:F), zinc oxide doped with gallium, (ZnO:Ga), zinc oxide doped with boron (ZnO:B), zinc oxide doped with indium (ZnO:ln), magnesium indium oxide (MgIn2 ⁇ 4), gallium indium oxide (GalnOa), gall ium indium oxide ((C3a,In) 2 ⁇ 3 ), indiu m tin oxide (ln 4 Sn 3 O- ⁇ 2 ), indium oxide doped with tin (ln 2 0 3 :Sn), cadmium tin oxide (Cd 2 Sn0 4 ), tin oxide
  • Transparent conductive layer 16 is formed to assist with the el imination of image distorting charging effects that occur during electron beam patterning of the template, elimination of charging effects that occur during scanning electron microscope inspection of the fabricated template , elimination of false defects during optical and e-beam defect inspection, and to serve as an etch stop barrier during subsequent patterning of the remaining layers.
  • Transparent conductive layer 16 is disclosed as having a thickness dependent upon the charge conductivity of the material used, the transmissive properties of the material used, and the etch selectivity to the patterning layer. It is disclosed that transparent conductive layer 16 is preferable formed as smooth as substrate surface 14 on which it is formed.
  • transparent conductive layer 16 is described as being formed: (i) extremely smooth ( ⁇ 1 nm rms, and preferably ⁇ 0.3nm rms); (ii) having the ability to transmit therethrough approximately 90% o r greater ultraviolet light used during subsequent processing steps; (iii) sufficiently conductive to eliminate surface charging; and (iv) having a sufficiently low etch rate relative to the patterning layer in order to overcome any microloading effects.
  • transparent conductive layer 16 must have sufficient strength to survive the stresses associated with template manufacturing and subsequent handling during the fabrication of the semiconductor devices with the completed litlnographic template.
  • transparent conductive layer 16 is formed of indi um-tin-oxide (ITO).
  • Transparent conductive layer 16 is disclosed as having a thickness that is adjusted for maximum transmittance of radiation used to cure a subsequent resist layer (discussed presently) present within the template structure. Typically this thickness is in a range of 10-1000 nm, having a preferred thickness of approximately 80 nm, thereby able to transmit ultraviolet light, and more particularly light having a wavelength of 365nm. Transparent conductive layer 16 is formed having a surface 18, on surface 14 of substrate 12 by sputtering.
  • Deposition conditions which will yield a layer with the desired qualities for SFIL include: (i) low pressure sputtering, generally less than 6 mTorr, and preferably less than 3 Torr; (ii) a low oxygen partial pressure, typically less than 2%; ⁇ iii) at a power of 100 Watts RF for a 100cm diameter target; and (iv) a sputteri ng rate of 5-10nm/min.
  • a low pressure sputtering generally less than 6 mTorr, and preferably less than 3 Torr
  • a low oxygen partial pressure typically less than 2%
  • ⁇ iii at a power of 100 Watts RF for a 100cm diameter target
  • a sputteri ng rate of 5-10nm/min.
  • Transparent conductive layer 16 is formed of a material having a resistivity of below one kilo ohm-cm, and preferably below 1 ohm-c m. Fabrication of transparent conductive layer 16 as disclosed herein results in -an ITO film having resistivity of less than 1 ohm-cm, as compared to 0.001 ohm-cm for many commercial ITO films. These commercial ITO films do not have the high transmittance at UV wavelengths required to cure the SFIL resist, and ha_ve an order of magnitude higher roughness, which is detrimental to nanometer scale lithography.
  • Fabrication of transparent conductive layer 16 in this manner optimizes the UV transmittance and low surface roughness of the layer, while providing sufficient conductivity to eliminate charging during e-beam patterning or post fabrication SEM inspection.
  • Comme rcial ITO processes optimize low resistivity and transmittance in the visible portion of the spectrum.
  • su bstrate 12 having formed thereon transparent conductive layer 16.
  • a patterning layer 20 is additionally formed on s urface 18 of transparent conductive layer 16, a patterning layer 20.
  • Patterning layer 20 is disclosed as being formed of either an opaque or transparent material, dependent upon overall design objective, as well as the material comprising transparent conductive layer 16. More specifically, it is disclosed that the specific type of material utilized will have bearing on the resulting process steps that must be undertaken to complete fabricati n of template 10.
  • Patterning layer 20 is generally disclosed as being formed of a material having a different reflectivity (or index of refraction) or different atomic number than the material used for transparent conductive layer 16. This difference in atomio number will provide for improved inspectability properties, as described presently.
  • Transparent materials that are disclosed as being suitable for the fabrication of
  • nitride SiON
  • indium-tin-oxide ITO
  • Opaque materials that are disclosed as being suitable for the fabrication of patterning layer 20 sre tungsten (W) , tungsten suicide (WSi), tungsten silicon nitride (WSiN), tungsten alloys, tantalum (Ta), tantalum suicide (TaSi) or (TaSi x ), where 1 ⁇ x ⁇ 2, tantalum silicon nitride (TaSiN), tantalum alloys, titanium (Ti), titanium alloys, molybdenum (Mo), molybdenum suicide (MoSi) or (MoSi x ), where 1 ⁇ x « 2, molybdenum alloys , gold (Au), ch rome (Cr), or the like.
  • Patterning layer 20 may be used to assist charge dissipation during e-beam writing- In addition, patterning layer 20 aids in SEM-based template inspection due to the varying materials utilized in the plurality of layers. Patterning layer 20 is disclosed as generally having a thickness dependent upon the desired aspect ratio of the photocurable resist. Specifically, patterning layer 20 will need to have sufficient mechanica I strength and durability to survive the stresses associated with template manufacturing and subsequent handling during the fabrication of the semiconductor devices with the completed lithographi c template.
  • Patterning layer 20 is therefore generally disclosed as having a thickness of between 10 and 5000 nm , and a preferred thickness of at least 50 nm. Patterning layer 20 , having a surface 22, is formed on surface 18 of transparent conductive layer 163 by spin coating, sputtering, vapor deposition, or the like.
  • substrate 12 having formed thereon surface 14, transparent conductive layer 16 and patterning layer 20 , formed on surface 18 of transparent conductive layer 16.
  • a resist layer 24 is patterned as illustrated in FIG. 5 by standard optical or e-beam patterning techniques.
  • Resist layer 24 is typically formed of a standard photoresist or electron-beam resist material well know in the art such as an organic polymer that is patterned so as to serve as a mask for the subsequent etching of patterning layer 20. It is additionally disclosed that anticipated by this disclosure is the optional inclusion of a hardmask layer (not shown), sandwiched between patterning layer 20 and resist layer 24. In the instance where a hard mask layer is included, it is
  • SiON silicon nitride
  • SiN silicon nitride
  • photoresist layer ⁇ .A serves as a mask for the etching therethrough of patterning layer 20.
  • patterning layer 20 is etched through to surface 18 of transparent conductive layer 16, thereby exposing portions 25 of transparent conductive layer 16.
  • Etching of patterning layer 20 is accomplished through standard wet or dry etch techniques.
  • patterning layer 20 is overetched, if required, to provide for improv/ed uniformity stopping against transparent conductive layer 16.
  • resist layer 24 is removed.
  • FIG. 7 illustrates in cross-sectional view, a completed lithographic template 10 including substrate 12 having surface 14, transpare nt conductive layer 16 overlying surface 14 of substrate " 12, transparent conductive layer 16 having a surface 18, and patterning layer 20 overlying surface 18 of transparent conductive layer 16.
  • template 10 defines therein a relief image 26.
  • template 10 is a single tiered structure, it is anticipated by this disclosure "that template 10 can be formed as a multi-tiered structure having a transparent conductive layer present therein. Further information on the fabrication of a multi-tiered lithographic template can be found in pending U.S. Patent application, bearing serial number 10/081 ,1 99, and attorney docket number CR 01-031 , filed February, 22, 2002, entitled “METHOD OF FABRICATING ⁇ TIERED STRUCTURE USING A MULTI- LAYERED RESIST STACK AND USE", assigned to the same assignee and incorporated herein by this reference.
  • FIG. 8 Shown in FIG. 8 is a process flow diagram wherein a lithographic template, generally similar to template 10 of FIGs. 1-7, fabricated in accorda nee with the present invention is used to fabricate a semiconductor device 30.
  • a semiconductor substrate 32 is provided.
  • Semiconductor substrate is then coated 34 with a radiation sensitive material, such as a photocurable organic layer or a photoresist layer.
  • the semiconductor substrate may h ave overlying devices or device layer such as polysilicon, oxide, metal, etc., as N ⁇ as trench and diffusion regions o r the like.
  • a lithographic template is fabricated 36 in accordance with the description given for FIGs. 1 -7.
  • the radiation sensitive material layer coated semiconductor substrate is then placed adjacent 38 the lithographic template.
  • a slight pressure is applied 40 to the template so that the radiation sensitive material layer flows into the relief images on the tempi -ate. Radiation is then transmitted 42 through the lithographic template, including the substrate, the transparent conductive layer and the patterning layer (for the cases when the patterning layer is transparent), and imaged onto the radia_tion sensitive material layer coated semiconductor substrate to further define and expose a pattern in the radiation sensitive material layer.
  • the template is thereafter removed 44 from the semiconductor device, thereby leaving a patterned organic layer which is then used as an image laye r for subsequent processing.
  • the photoresist layer can then be used as a mask, either in conjunction with ion implantation to form implanted regions in the semiconductor substrate, or can be used in conjunction with conventional wet or dry etches to transfer the pattern into the semiconductor substrate, or into device layers overlying the semiconductor substrate.
  • a template generally similar to template 10 of FIGs. 7, to form microelectronic devices, m icro electro mechanical devices, and microfluidic devices.
  • the present invention provides for elimination of image distorting charging effects during electron beam patterning of the template and elimination of charging effects during scanning electron microscope inspection of the fabricated template.

Abstract

This invention relates to semiconductor devices, microelectronic devices, micro electro mechanical devices, microfluidic devices, photonic devices, and more particularly to a lithographic template having a substrate (12), a transparent conductive layer (16) formed on a surface (14) of the substrate (12) by low pressure sputtering to a thickness that allows for preferably 90% transmission of ultraviolet light therethrough, and a patterning layer (20) formed on a surface (18) of the transparent conductive layer (16). The template (10) is used in the fabrication of a semiconductor device (30) for affecting a pattern in device (30) by positioning the template (10) in close proximity to semiconductor device (30) having a radiation sensitive material formed thereon and applying a pressure to cause the radiation sensitive material to flow into the relief image present on the template. Radiation is then applied through the template so as to cure portions of the radiation sensitive material and define the pattern in the radiation sensitive material.

Description

LITHOGRAPHIC TEMPLATE AND METHOD OF FORMATION
Field of the Invention
The present invention relates to semiconductor devices, microelectronic devices, micro electro mechanical devices, microfluidic devices, photonic devices, and more particularly to a lithographic template, a method of forming the lithographic template and a method for forming semiconductor devices with the lithographic template.
Background of the Invention
The fabrication of integrated circuits involves the creation of several layers of materials that interact in some fashion. One or more of these layers may be patterned so various regio ns of the layer have different electrical characteristics, which may be intercon nected within the layer or to other layers to create electrical components and circuits. These regions may be created by selectively introducing or removing various materials. The patterns that define such regions are often created by lith ographic processes. For example, a layer of photoresist material is applied onto a layer overlying a. wafer substrate. A photomask (containing clear and opaque areas) is used to selectively expose this photoresist material by a form of radiation, such as ultraviolet light, electrons, or x-rays. Either the photoresist material exposed to the radiation, or that not exposed to the radiation, is removed by the application of a developer. An etch may then be applied to the layer not protected by the remaining resist, and when the resist is removed, the layer overlying the substrate is patterned.
Lithographic processes such as that described above are typically used to transfer patterns from a photomask to a device. As feature sizes on semiconductor devices decrease into the submicron range, there is a need for new lithographic processes, or techniques, to patte rn high-density semiconductor devices. Several new lithographic techniques which accomplish this need and have a basis in imprinting and stamping have been proposed. One in particular, Step and Flash Imprint Lithography (SFIL) hats been shown to be capable of patterning lines as small as 20 nm.
SFIL templates are typically made by applying a layer of chrome, 10-100 nm thick, on to a transparent quartz plate. A resist layer is applied to the chrome and patterned using either an electron beam or optical expos ure system. The resist is then placed in a developer to form patterns on the chrome layer. The resist is used as a mask to etch the chrome layer. The chrome then serves as a hard mask for the etching of the quartz plate. Finally, the chrome is removed, thereby forming a quartz template contai ning relief images in the quartz.
Overall, SFIL techniques benefit from their unique use of photochemistry, the use of ambient temperatures, and the low pressure required to carry out the
SFIL process. During a typical SFIL process, a substrate ia coated with an organic planarization layer, and brought into close proximity of a transparent SFIL template, typically comprised of quartz, containing a relief image and coated with a low surface energy material. An ultraviolet or deep ultraviolet sensitive photocurable organic solution is deposited betwoen the template and the coated substrate. Using minimal pressure, the template is brought into contact with the substrate, and more particularly the photocurable organic layer. Next, the organic layer is cured, or crosslinked, at room temperature by illuminating through the template. The light source typically uses ultraviolet radiation. A range of wavelengths (150 nm-500 nm) is- possible, however, depending upon the transmissive properties of the template and photosensitivity of the photocurable organic. The template is next separated from the substrate and the organic layer, leaving behind an organic replica of the template relief on the planarization layer. This pattern is then etched with a -short halogen breakthrough, followed by an oxygen reactive ion etch (RIE) to fo rm a high-resolution, high aspect-ratio feature in the organ ϊc layer and planarization layer.
The distinction between a lithographic mask and a lithographic template should be noted. A lithographic mask is used as a stenci l to impart an aerial image of light into a photoresist material. A lithographic template has a relief image etched into its surface, creatin g a form or mold. A pattern is defined when a photocurable liquid flows into the relief image and is subsequently cured. The attributes necessary for masks and templates, therefore, are quite different.
SFIL technology has been demonstrated to resolve -features as small as
20 nm. As such, a wide variety of feature sizes may be drawn on a single wafer.
Certain problems exist though with this SFIL template fabrication methodology as described above. In particular, problems exist with respect to: (i) uniform etching of the quartz template when only a chrome hard mask is utilized; (ii) image distorting charging effects during electron beam patterning of the template; (iii) the effects of charging during scanni ng electron microscope inspection of the fabricated template; and (iv) the elimination of the detection of false defects during optical or e-beam defect inspection.
Of concern is the fact that quartz is very resistive and prone to charging during e-beam irradiation. Accordingly, there is a desire to include within the template fabrication a transparent conductive coating to eliminate this concern. The inclusion of a transparent co nductive coating, or layer, will provide for the elimination of image distorting charging effects during electron beam patterning of the template, elimination of charging effects during scanning elect ron microscope inspection of the fabricated template, and service as an etch s-top barrier layer during oxide patterning. The most convenient transparent conductive material is indium-tin-oxide (ITO), although many other transparent conductive materials providing these benefits can be used. Common deposition techniques for ITO involve heating the substrate to approximately 200°C during the deposition process. Unfortunately, this produces fi lms which are rough and grainy. This production of a rough and grainy conductive layer will not produce the desired results as described above.
In addition, there exist problems with the electron-beam writing of the template and the inspection of the template subsequent to fabrication. With the inclusion of a conductive layer, charge build-up during electron-beam expos ure is avoided. In addition, inspectability is achievable due to the template besing comprised of multiple materials. Typical inspection systems use eit her light (ultraviolet or deep ultraviolet) or electrons to determine feature size and detect unwanted defects on the template. Light-based systems require a difference in reflection or index of refraction between patterned and unpatterned areas of the template to provide good image contrast. Likewise, an electron-based system requires a difference in atomic number between patterned and unpatterned areas of the template. To overcome this problem, multiple materials having either different optical properties or different ato mic numbers would allow for inspection, a necessity for sub-100nm features.
Accordingly, it would be beneficial to provide for a means of eli minating image distorting charging effects during electron beam patterninρj of the template and eliminate charging effects during scanning electron microscope inspection of the fabricated template.
It is a purpose of the present invention to provide for an improved lithographic template, a method of fabricating the improved lith ographic template, and a method for making semiconductor devices with the improved lithographic template in which included is a trans rent conductive layer.
It is a purpose of the present invention to provide for an improved lithographic template, a method of fabricating the improved lith ographic template, and a method for making semiconductor devices with the improved lithographic template in which elimination of image distorting charging effects during electron beam patterning of the template is achieved. It is a purpose of the present invention to provide for an improved lithographic template, a method of fabricating the improved lithographic te plate, and a method for making semiconductor devices with the improved lithographic template in which elimination of charging effects during scanning electron microscope inspection is achieved.
It is yet another purpose of the present invention to provide for an improved lithographic template, a meth od of fabricating the improved lithographic template, and a method for making semiconductor devices with the improved lithographic template in which improvement in the inspection of sub- micron structures is achieved by eliminating false defects during optical and e- beam defect inspection.
Summary of the I nvention
This invention relates to semiconductor devices, microelectronic devices, micro electro mechanical devices, microfluicϋc devices, and more p articularly to a lithographic template, a method of forming the lithographic template and a method for forming devices with the lithographic template. Disclosed is a lithographic template including a substrate, a transparent conductive layer, and an etched patterning layer. The lithographic template is formed by providing a transparent substrate and forming a transparent conductive layer on the substrate using low pressure sputtering techniques, thereby pro /iding for a smooth layer having conductive properties sufficient to eliminate surface charging, while maintaining transparency to ultraviolet light. The next steps in forming the lithographic template include, forming a patterning layer on the smooth transparent conductive layer, forming a patterned resist layer on the patterning layer, etching the patterning layer to expose portions of the smooth transparent conductive layer, and removing the patterned resist layer to expose the etched patterning layer, thus defining a lithographic template. Additionally, disclosed is a method for making a device with the lithographic template as provided, including the steps of provid ing a substrate, coatin g the substrate with a photocurable liquid, providing a lithographic template as previously disclosed, positioning the lithographic template in contact with the photocurable liquid, applying pressure to the template so that a pattern is created in the photocurable liquid, transmitting radiation through the litho graphic template to expose at least a portion of the photocurable material on the substrate, thereby further affecting the pattern in the photocurable liquid, and removing the template from the substrate.
Brief Description of the Drawings
The foregoing and further and more specific objects and advantages of the instant invention will become read ily apparent to those skilled in the art from the following detailed description of a preferred embodiment thereof taken in conjunction with the drawings, in which: FIGs. 1-7 illustrate in cross-section views, process steps for fabricati ng a lithographic template in accordance with the present invention; and
FIG. 8 is a simplified process flow diagram fabricating a semiconductor device with a lithographic template in accordance with the present invention.
It will be appreciated that for simplicity and clarity of illustration, elements illustrated in the drawings have not necessarily been drawn to scale. For example, the dimensions of some of the elements are exaggerated relati e to other elements for purposes of clarity. Further ore, where considered appropriate, reference numerals have been repeated among the drawings to indicate corresponding or analogous elements.
Description of the Preferred Embodi ment
The present invention relates to the elimination of image distorting charging effects during electron beam patterning of a template used in step and flash imprint lithography (SFIL), the elimination of charging effect during scanning electron microscope inspection of the fabricated templates, and the elimination of false defects during optical and e-beam defect inspection. It is proposed that a bi-layer of materials be deposited on a substrate surface, thereby forming a lithographic template including these improvements. Referring to FIGs. 1-7, illustrated in cross-sectional "views, are a pluralhy of process steps for fabricating a lithographic template according to the present invention. Referring more specifically to FIG. 1 , illustrated is a first step in the process of fabricating a lithographic template 10 according to the present invention. More specifically, illustrated is substrate 12, having a s-urface 14. Substrate 12 is disclosed as being comprised of a transparent material, such as a quartz material, a polycarbonate material, a pyrex material, a calcium fluoride
(CaF2) material, a magnesium fluoride material ( MgF2), or any other similar type
of material, that is transparent to light. Substrate 12 is formed of a transparent material to allow for the passage therethrough of light.
Referring now to FIG. 2, illustrated is substrate 12, having deposited thereon surface 14, a transparent conductive layer 16. Transparent conductive layer 16 is disclosed as being formed of a transparent material thereby providing for the subsequent passing therethrough of preferably 90% of li<jht in the ultraviolet range. Various materials are proposed for fabrication of transparent con uctive layer 16. It is disclosed that the specific type of material utilized will have bearing on the resulting process steps that must be undertaken to complete fabrication of te plate 10. Transparent materials that are disclosed as being suitable for the fabrication of transparent conductive layer 16 include zinc tin oxide (Zn2Sn04), zinc tin oxide (ZnSn03), zinc indium oxide (Zn2l i2θ5), zinc oxide (ZnO), zinc oxide doped with aluminum ( nO:AI), zinc oxide doped with fluorine (ZnO:F), zinc oxide doped with gallium, (ZnO:Ga), zinc oxide doped with boron (ZnO:B), zinc oxide doped with indium (ZnO:ln), magnesium indium oxide (MgIn2θ4), gallium indium oxide (GalnOa), gall ium indium oxide ((C3a,In)2θ3), indiu m tin oxide (ln4Sn3O-ι2), indium oxide doped with tin (ln203:Sn), cadmium tin oxide (Cd2Sn04), tin oxide (Sn02), tin oxide doped with fluorine CSn02:F), tin oxide doped with antimony (Sn02:Sb), titanium nitride (TiN), tantalum nitride (TaN), or the like. Transparent conductive layer 16 is formed to assist with the el imination of image distorting charging effects that occur during electron beam patterning of the template, elimination of charging effects that occur during scanning electron microscope inspection of the fabricated template , elimination of false defects during optical and e-beam defect inspection, and to serve as an etch stop barrier during subsequent patterning of the remaining layers. Transparent conductive layer 16 is disclosed as having a thickness dependent upon the charge conductivity of the material used, the transmissive properties of the material used, and the etch selectivity to the patterning layer. It is disclosed that transparent conductive layer 16 is preferable formed as smooth as substrate surface 14 on which it is formed. More specifically, transparent conductive layer 16 is described as being formed: (i) extremely smooth (<1 nm rms, and preferably <0.3nm rms); (ii) having the ability to transmit therethrough approximately 90% o r greater ultraviolet light used during subsequent processing steps; (iii) sufficiently conductive to eliminate surface charging; and (iv) having a sufficiently low etch rate relative to the patterning layer in order to overcome any microloading effects. In addition, transparent conductive layer 16 must have sufficient strength to survive the stresses associated with template manufacturing and subsequent handling during the fabrication of the semiconductor devices with the completed litlnographic template. In a preferred embodiment, transparent conductive layer 16 is formed of indi um-tin-oxide (ITO). Transparent conductive layer 16 is disclosed as having a thickness that is adjusted for maximum transmittance of radiation used to cure a subsequent resist layer (discussed presently) present within the template structure. Typically this thickness is in a range of 10-1000 nm, having a preferred thickness of approximately 80 nm, thereby able to transmit ultraviolet light, and more particularly light having a wavelength of 365nm. Transparent conductive layer 16 is formed having a surface 18, on surface 14 of substrate 12 by sputtering.
Deposition conditions which will yield a layer with the desired qualities for SFIL include: (i) low pressure sputtering, generally less than 6 mTorr, and preferably less than 3 Torr; (ii) a low oxygen partial pressure, typically less than 2%; ζiii) at a power of 100 Watts RF for a 100cm diameter target; and (iv) a sputteri ng rate of 5-10nm/min. During the sputtering of transparent conductive layer 16, no external heat is applied to substrate 12. Subsequent to t-he sputtering depositi on of transparent conductive layer 16 onto substrate 12, transparent conductive layer 16 is annealed at approximately 325°C (dependent upon material) or approximately 30 minutes in an ambient air oven.
Transparent conductive layer 16 is formed of a material having a resistivity of below one kilo ohm-cm, and preferably below 1 ohm-c m. Fabrication of transparent conductive layer 16 as disclosed herein results in -an ITO film having resistivity of less than 1 ohm-cm, as compared to 0.001 ohm-cm for many commercial ITO films. These commercial ITO films do not have the high transmittance at UV wavelengths required to cure the SFIL resist, and ha_ve an order of magnitude higher roughness, which is detrimental to nanometer scale lithography. Fabrication of transparent conductive layer 16 in this manner optimizes the UV transmittance and low surface roughness of the layer, while providing sufficient conductivity to eliminate charging during e-beam patterning or post fabrication SEM inspection. Comme rcial ITO processes optimize low resistivity and transmittance in the visible portion of the spectrum. "
Referring now to FIG. 3, illustrated is su bstrate 12, having formed thereon transparent conductive layer 16. There is additionally formed on s urface 18 of transparent conductive layer 16, a patterning layer 20. Patterning layer 20 is disclosed as being formed of either an opaque or transparent material, dependent upon overall design objective, as well as the material comprising transparent conductive layer 16. More specifically, it is disclosed that the specific type of material utilized will have bearing on the resulting process steps that must be undertaken to complete fabricati n of template 10. Patterning layer 20 is generally disclosed as being formed of a material having a different reflectivity (or index of refraction) or different atomic number than the material used for transparent conductive layer 16. This difference in atomio number will provide for improved inspectability properties, as described presently. Transparent materials that are disclosed as being suitable for the fabrication of
patterning layer 20 are silicon dioxide (Siθ2)=, silicon nitride (SiN), silicon oxy-
nitride (SiON), indium-tin-oxide (ITO), or the like. Opaque materials that are disclosed as being suitable for the fabrication of patterning layer 20 sre tungsten (W) , tungsten suicide (WSi), tungsten silicon nitride (WSiN), tungsten alloys, tantalum (Ta), tantalum suicide (TaSi) or (TaSix), where 1<x<2, tantalum silicon nitride (TaSiN), tantalum alloys, titanium (Ti), titanium alloys, molybdenum (Mo), molybdenum suicide (MoSi) or (MoSix), where 1<x« 2, molybdenum alloys , gold (Au), ch rome (Cr), or the like. It should be noted that some patterning layers may not require a transparent conductive layer, since the substrate itsel-f may perform sufficiently as an etch stop material. Patterning layer 20 may be used to assist charge dissipation during e-beam writing- In addition, patterning layer 20 aids in SEM-based template inspection due to the varying materials utilized in the plurality of layers. Patterning layer 20 is disclosed as generally having a thickness dependent upon the desired aspect ratio of the photocurable resist. Specifically, patterning layer 20 will need to have sufficient mechanica I strength and durability to survive the stresses associated with template manufacturing and subsequent handling during the fabrication of the semiconductor devices with the completed lithographi c template. Patterning layer 20 is therefore generally disclosed as having a thickness of between 10 and 5000 nm , and a preferred thickness of at least 50 nm. Patterning layer 20 , having a surface 22, is formed on surface 18 of transparent conductive layer 163 by spin coating, sputtering, vapor deposition, or the like.
Referring now to FIGs. 4 and 5, illustrated is substrate 12, having formed thereon surface 14, transparent conductive layer 16 and patterning layer 20 , formed on surface 18 of transparent conductive layer 16. Formed thereon surface 22 of patterning layer 20 is a resist layer 24, which is patterned as illustrated in FIG. 5 by standard optical or e-beam patterning techniques. Resist layer 24 is typically formed of a standard photoresist or electron-beam resist material well know in the art such as an organic polymer that is patterned so as to serve as a mask for the subsequent etching of patterning layer 20. It is additionally disclosed that anticipated by this disclosure is the optional inclusion of a hardmask layer (not shown), sandwiched between patterning layer 20 and resist layer 24. In the instance where a hard mask layer is included, it is
anticipated that it would be formed of chrome (Cr), silicon dioxide (Siθ2), silicon
o y-nitride (SiON), silicon nitride (SiN), or the like.
During fabrication, photoresist layer ≥.A serves as a mask for the etching therethrough of patterning layer 20. As illustrated in FIG. 6, patterning layer 20 is etched through to surface 18 of transparent conductive layer 16, thereby exposing portions 25 of transparent conductive layer 16. Etching of patterning layer 20 is accomplished through standard wet or dry etch techniques. Next, patterning layer 20 is overetched, if required, to provide for improv/ed uniformity stopping against transparent conductive layer 16. Finally, to complete template 1 0, resist layer 24 is removed.
FIG. 7 illustrates in cross-sectional view, a completed lithographic template 10 including substrate 12 having surface 14, transpare nt conductive layer 16 overlying surface 14 of substrate "12, transparent conductive layer 16 having a surface 18, and patterning layer 20 overlying surface 18 of transparent conductive layer 16. Upon completion, template 10 defines therein a relief image 26.
In addition, while it is disclosed herein that template 10 is a single tiered structure, it is anticipated by this disclosure "that template 10 can be formed as a multi-tiered structure having a transparent conductive layer present therein. Further information on the fabrication of a multi-tiered lithographic template can be found in pending U.S. Patent application, bearing serial number 10/081 ,1 99, and attorney docket number CR 01-031 , filed February, 22, 2002, entitled "METHOD OF FABRICATING Λ TIERED STRUCTURE USING A MULTI- LAYERED RESIST STACK AND USE", assigned to the same assignee and incorporated herein by this reference.
Shown in FIG. 8 is a process flow diagram wherein a lithographic template, generally similar to template 10 of FIGs. 1-7, fabricated in accorda nee with the present invention is used to fabricate a semiconductor device 30. Initially, a semiconductor substrate 32 is provided. Semiconductor substrate is then coated 34 with a radiation sensitive material, such as a photocurable organic layer or a photoresist layer. The semiconductor substrate may h ave overlying devices or device layer such as polysilicon, oxide, metal, etc., as NΘ\\ as trench and diffusion regions o r the like. A lithographic template is fabricated 36 in accordance with the description given for FIGs. 1 -7. The radiation sensitive material layer coated semiconductor substrate is then placed adjacent 38 the lithographic template. A slight pressure is applied 40 to the template so that the radiation sensitive material layer flows into the relief images on the tempi -ate. Radiation is then transmitted 42 through the lithographic template, including the substrate, the transparent conductive layer and the patterning layer (for the cases when the patterning layer is transparent), and imaged onto the radia_tion sensitive material layer coated semiconductor substrate to further define and expose a pattern in the radiation sensitive material layer. The template is thereafter removed 44 from the semiconductor device, thereby leaving a patterned organic layer which is then used as an image laye r for subsequent processing. The photoresist layer can then be used as a mask, either in conjunction with ion implantation to form implanted regions in the semiconductor substrate, or can be used in conjunction with conventional wet or dry etches to transfer the pattern into the semiconductor substrate, or into device layers overlying the semiconductor substrate. It should be understood that although the template fabricated in accordance with the present invention is described in the preferred embodiment as being used to fabricate a semiconductor device, that anticipated is the use of a template, generally similar to template 10 of FIGs. 7, to form microelectronic devices, m icro electro mechanical devices, and microfluidic devices.
The foregoing description and illustrations contained herein demonstrate many of the advantages associated with the present invention. In particular, the present invention provides for elimination of image distorting charging effects during electron beam patterning of the template and elimination of charging effects during scanning electron microscope inspection of the fabricated template.
Thus it is apparent that there has been provided, in accordance with the invention, a lithographic template, and a method of its formation and use that fully meets the need and advantages set forth previously. Although the invention has been described and i llustrated with reference to specific embodiments thereof, it is not intended that the invention be limited to these illustrative embodiments. Those skilled in the art will recognize that modifications and variations can be made without departing from the spirit of the invention . Therefore, it is intended that this invention encompass all such variations and modifications as fall within the scope of the appended claims.

Claims

We claim:
1. A method for forming a lithographic template comprising the steps of: providing a transparent substrate, th e substrate having a surface; forming a transparent conductive layer on the surface of the transparent substrate; forming a patterning layer on a su rface of the transparent conductive layer; forming a patterned resist layer on the surface of the patterning layer; etching the patterning layer, thereby defining an etched patterning layer; and removing the patterned resist layer.
2. A method of forming a lithographic template as clai med in claim 1 , wherein the step of providing a transparent substrate is further characterized as providing a substrate of one of a quartz material, a polycarbonate material, a
calcium fluoride (Ca F2) material, a magnesium fluoride (MgF2) material, or a
pyrex material.
3. A method for forming a lithographic template as clai med in claim 1 , wherein the step of forming a transparent conductive l ayer is further characterized as forming the transparent conductive layer of one of zinc tin oxide (Zn2Sn04), zinc tin oxide (ZnSn03), zinc indium oxide (Zn2ln2θ5), zinc oxide (ZnO), zinc oxide doped with aluminum (2n:AI), zinc oxide doped with fluorine (ZnO:F), zinc oxide doped with gallium, (ZnO:Ga), zinc oxide doped with fcoron (ZnO:B), zinc oxide doped with indium (ZnO:ln), magnesium indium oxide (Mgln2O4), gallium indium oxide (Galn03), gallium indium oxide ((Ga,ln )203), indium tin oxide (ln4Sn30-ι2), indium oxide doped with tin (ln203:Sn), cadmium tin oxide (Gd2Sn04), tin oxide (Sn02), tin oxide doped with fluorine (SnOa:^), tin oxide doped with antimony (Snθ2:Sb), tantalum nitride (TaN), or titanium nitride (TIN).
4. A method for forming a lithographic template as claimed in claim 1 wherein the step of forming a transparent conductive layer includes usin j low pressure sputtering to form the transparent conductive layer.
5. A method of forming a lithographic template as claimed in claim 4 wherein the step of using lo'W pressure sputtering to form the transparent conductive layer includes sputtering at a pressure of less than 6mTorr, h-aving an oxygen partial pressure of less than 2%.
6. A method of forming a lithographic tem late as claimed in claim 4 further including the step of annealing the transparent conductive layer prior to step of forming a patterning layer on a surface of the transparent conductive layer.
7. A method of forming a lithographic template as claimed in claim 1 wherein the step of forming a transparent conductk/e layer includes forming a transparent conductive layer having a thickness adjusted for maximum transmittance of radiation used to cure the patterned resist layer.
8. A method for forming a lithographic template as claimed in claim 7 wherein the step of forming a transparent conductive layer includes forming a transparent conductive layer that is at least 90% transmissive to ultraviolet light.
9. A method of forming a lithographic template as claimed in claim 7 wherein the step of forming a transparent conductive layer includes forming a transparent conductive layer having a thickness of 80 nm for maximum transmittance of radiation at 365 nm.
10. A method of forming a lithographic template as claimed in claim 1 wherein the step of forming a transparent conductive layer includes forming a transparent conductive layer having a resistivity of less than one kilo ohm-cm.
11. A method for forming a lithographic template as claimed in claim 1 , wherein the step of forming a patterning layer is further characterized as forming a patterning layer of one of an opaque material or a transparent material.
12. A method for forming a lithographic template as claimed in claim 11 , wherein the step of forming a patterning layer of an opaque material is further characterized as forming the patterning layer of one of tungsten (W), tungsten suicide (WSi), tungsten silicon nitride ( Λ SiN), tantalum (Ta), tantalum alloys, tungsten alloys, gold (Au), chrome (Cr), tantalum suicide (TaSi), tantalum suicide (TaSix) where 1 <x<2, titanium (Ti), titanium alloys, molybdenu (Mo), molybdenum silicide (MoS i), molybdenum silicide (MoSix) where 1 <x<2, molybdenum alloys, or tantalum silicon nitride (TaSiN).
13. A method for forming a lithographic template as claimed in claim 1 1 , wherein the step of forming a patterning layer of a transparent material is further
characterized as forming the patterning layer of one of silicon dioxide (Siθ2),
silicon nitride (SiN), indium tin oxide (ITO), or silicon oxy-nitride (SiON).
14. A lithographic tern plate comprising: a substrate having a surface; a transparent conductive layer formed on the surface of the substrate; and an etched patterning layer formed on a surface of the transparent conductive layer, the etched patterning layer having defined therein a relief image.
15. A method for making a device comprising the steps of: providing a substrate; coating the substrate with a radiation sensitive material layer; fabricating a lithographic template; wherein the lithographic template comprises; a substrate having a surface; a transparent conductive layer formed on the surface of the substrate; and an etched patterning layer formed on a surface of the transparent conductive layer, the etche patterning layer having defined therein a relief image; positioning the lithographic template in contact with the radiation sensitive material layer, the radiation sensitive material layer being between the template and the substrate; applying pressure to the template, the radiation sensitive material thereby flowing into the relief pattern on the template; transmitting radiation through the lithographic template to expose at least a portion of the radiation sensitive material layer on the substrate, thereby further affecting the pattern in the radiation sensitive material layer; and removing the template from the substrate.
PCT/US2003/022559 2002-08-15 2003-07-18 Lithographic template and method of formation WO2004017388A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003256620A AU2003256620A1 (en) 2002-08-15 2003-07-18 Lithographic template and method of formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/222,734 2002-08-15
US10/222,734 US7083880B2 (en) 2002-08-15 2002-08-15 Lithographic template and method of formation and use

Publications (2)

Publication Number Publication Date
WO2004017388A2 true WO2004017388A2 (en) 2004-02-26
WO2004017388A3 WO2004017388A3 (en) 2004-08-12

Family

ID=31715050

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/022559 WO2004017388A2 (en) 2002-08-15 2003-07-18 Lithographic template and method of formation

Country Status (3)

Country Link
US (2) US7083880B2 (en)
AU (1) AU2003256620A1 (en)
WO (1) WO2004017388A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017114358A1 (en) * 2015-12-30 2017-07-06 中国建材国际工程集团有限公司 Transparent conductive layer stack including patterned metal functional layer and manufacturing method therefor
CN112301317A (en) * 2020-10-30 2021-02-02 连云港恒顺工业科技有限公司 Surface treatment process for claw type vacuum pump rotor

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US20060193532A1 (en) * 2005-02-25 2006-08-31 William Roberts Optimizing focal plane fitting functions for an image field on a substrate
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
JP4262267B2 (en) * 2005-09-06 2009-05-13 キヤノン株式会社 MOLD, IMPRINT APPARATUS AND DEVICE MANUFACTURING METHOD
WO2007030527A2 (en) * 2005-09-07 2007-03-15 Toppan Photomasks, Inc. Photomask for the fabrication of a dual damascene structure and method for forming the same
KR100785035B1 (en) 2006-12-11 2007-12-12 삼성전자주식회사 Nano imprint master and manufacturing method thereof
US7968253B2 (en) 2006-06-20 2011-06-28 Samsung Electronics Co., Ltd. Nano imprint master and method of manufacturing the same
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
JP2008091782A (en) * 2006-10-04 2008-04-17 Toshiba Corp Pattern forming template, pattern forming method and nano-imprinter
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
JP4982213B2 (en) * 2007-03-12 2012-07-25 株式会社日立ハイテクノロジーズ Defect inspection apparatus and defect inspection method
WO2008150499A1 (en) * 2007-05-30 2008-12-11 Molecular Imprints, Inc. Template having a silicon nitride, silicon carbide, or silicon oxynitride film
US7836420B2 (en) * 2007-10-22 2010-11-16 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with assist feature
US8114331B2 (en) * 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US20090200266A1 (en) * 2008-02-08 2009-08-13 Molecular Imprints, Inc. Template Pillar Formation
DE102008019665A1 (en) * 2008-04-18 2009-10-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Transparent barrier layer system
JP2010027743A (en) * 2008-07-16 2010-02-04 Ebara Corp Glass substrate for imprint, resist pattern forming method, and method and apparatus for inspecting glass substrate for imprint
JP4609562B2 (en) * 2008-09-10 2011-01-12 日立電線株式会社 Stamper for fine structure transfer and manufacturing method thereof
KR101343570B1 (en) * 2008-12-18 2013-12-20 한국전자통신연구원 Thin Film Transistor Using Boron-Doped Oxide Semiconductor Thin Film and Method for Preparing the Same
CN101900936A (en) * 2009-05-26 2010-12-01 鸿富锦精密工业(深圳)有限公司 Impression mould and production method thereof
DE102010027070A1 (en) * 2010-07-13 2012-01-19 Eberhard-Karls-Universität Tübingen Gas sensor and method for its production
KR20140076357A (en) * 2012-12-12 2014-06-20 삼성전자주식회사 Nanoimprint stamp having high contrast alignment mark and method of fabricating the same
US9928727B2 (en) 2015-07-28 2018-03-27 Carrier Corporation Flame detectors
US10126165B2 (en) 2015-07-28 2018-11-13 Carrier Corporation Radiation sensors
US9865766B2 (en) 2015-07-28 2018-01-09 Carrier Corporation Ultraviolet photodetectors and methods of making ultraviolet photodetectors
US9806125B2 (en) 2015-07-28 2017-10-31 Carrier Corporation Compositionally graded photodetectors
CN109683445A (en) * 2019-01-10 2019-04-26 京东方科技集团股份有限公司 A kind of joining method of nano-pattern, nano impression plate, grating and production method
WO2021174426A1 (en) * 2020-03-03 2021-09-10 安徽精卓光显技术有限责任公司 Antenna and manufacturing method therefor, and electronic device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817242A (en) * 1995-08-04 1998-10-06 International Business Machines Corporation Stamp for a lithographic process
US6004699A (en) * 1997-02-28 1999-12-21 Nec Corporation Photomask used for projection exposure with phase shifted auxiliary pattern
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0553289A (en) * 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
US6635393B2 (en) * 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6653030B2 (en) * 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817242A (en) * 1995-08-04 1998-10-06 International Business Machines Corporation Stamp for a lithographic process
US6004699A (en) * 1997-02-28 1999-12-21 Nec Corporation Photomask used for projection exposure with phase shifted auxiliary pattern
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
BEN-SHALOM A ET AL: "SNO2 TRANSPARENT CONDUCTOR FILMS PRODUCED BY FILTERED VACUUM ARC DEPOSITION" THIN SOLID FILMS, ELSEVIER-SEQUOIA S.A. LAUSANNE, CH, vol. 236, no. 1/2, 15 December 1993 (1993-12-15), pages 20-26, XP000415509 ISSN: 0040-6090 *
GORDON R G: "CRITERIA FOR CHOOSING TRANSPARENT CONDUCTORS" MRS BULLETIN, PITTSBURGH, US, vol. 25, no. 8, August 2000 (2000-08), pages 52-57, XP001087787 *
MASATO KIUCHI ET AL: "TITANIUM NITRIDE FOR TRANSPARENT CONDUCTORS" APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 64, no. 8, 21 February 1994 (1994-02-21), pages 1048-1049, XP000425897 ISSN: 0003-6951 *
MINAMI T ET AL: "HIGHLY TRANSPARENT AND CONDUCTIVE ZN2IN2O5 THIN FILMS PREPARED BY RF MAGNETRON SPUTTERING" JAPANESE JOURNAL OF APPLIED PHYSICS, PUBLICATION OFFICE JAPANESE JOURNAL OF APPLIED PHYSICS. TOKYO, JP, vol. 34, no. 8A, 1995, pages L971-L974, XP000563076 ISSN: 0021-4922 *
PARK G -S ET AL: "Characterization of SnO/sub 2/ films on glass by transmission electron microscopy" THIN SOLID FILMS, 3 APRIL 2000, ELSEVIER, SWITZERLAND, vol. 365, no. 1, pages 7-11, XP004195119 ISSN: 0040-6090 *
RESNICK D J ET AL: "New methods for fabricating step and flash imprint lithography templates" NANOSTRUCTURE SCIENCE, METROLOGY, AND TECHNOLOGY, GAITHERSBURG, MD, USA, 5-7 SEPT. 2001, vol. 4608, pages 176-181, XP002276868 Proceedings of the SPIE - The International Society for Optical Engineering, 2002, SPIE-Int. Soc. Opt. Eng, USA ISSN: 0277-786X *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017114358A1 (en) * 2015-12-30 2017-07-06 中国建材国际工程集团有限公司 Transparent conductive layer stack including patterned metal functional layer and manufacturing method therefor
CN106935668A (en) * 2015-12-30 2017-07-07 中国建材国际工程集团有限公司 Transparency conducting layer stacking and its manufacture method comprising pattern metal functional layer
CN112301317A (en) * 2020-10-30 2021-02-02 连云港恒顺工业科技有限公司 Surface treatment process for claw type vacuum pump rotor
CN112301317B (en) * 2020-10-30 2021-05-18 连云港恒顺工业科技有限公司 Surface treatment process for claw type vacuum pump rotor

Also Published As

Publication number Publication date
US20040033424A1 (en) 2004-02-19
US20060222968A1 (en) 2006-10-05
AU2003256620A8 (en) 2004-03-03
US7083880B2 (en) 2006-08-01
US7432024B2 (en) 2008-10-07
WO2004017388A3 (en) 2004-08-12
AU2003256620A1 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
US7432024B2 (en) Lithographic template and method of formation and use
US6387787B1 (en) Lithographic template and method of formation and use
KR101018519B1 (en) Multi-tiered lithographic template
US7425392B2 (en) Lithographic template and method of formation and use
US6890688B2 (en) Lithographic template and method of formation and use
US7063919B2 (en) Lithographic template having a repaired gap defect method of repair and use
US20040224261A1 (en) Unitary dual damascene process using imprint lithography
EP1795958A1 (en) Method of fabricating nanoimprint mold
KR20010075196A (en) Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
Resnick et al. Improved step and flash imprint lithography templates for nanofabrication
KR100542464B1 (en) Fabrication method of extreme ultraviolet radiation mask mirror using atomic force microscope lithography
KR20070110208A (en) Nano imprint blankmask, nano imprint stamp and its manufacturing method
US6514877B1 (en) Method using sub-micron silicide structures formed by direct-write electron beam lithography for fabricating masks for extreme ultra-violet and deep ultra-violet lithography
Willson et al. Lithographic template and method of formation and use
KR20180126812A (en) Nano imprint blankmask , Nano imprint mold and method for fabricating of the same
KR20230011836A (en) Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for Fabricating of the same
TW202144899A (en) Reflective mask and manufacturing method thereof

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP