WO2003105221A1 - Cmos transistors with differentially strained channels of different thickness - Google Patents

Cmos transistors with differentially strained channels of different thickness Download PDF

Info

Publication number
WO2003105221A1
WO2003105221A1 PCT/US2003/017275 US0317275W WO03105221A1 WO 2003105221 A1 WO2003105221 A1 WO 2003105221A1 US 0317275 W US0317275 W US 0317275W WO 03105221 A1 WO03105221 A1 WO 03105221A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
surface layer
thickness
region
type
Prior art date
Application number
PCT/US2003/017275
Other languages
French (fr)
Inventor
Matthew T. Currie
Anthony J. Lochtefeld
Eugene A. Fitzgerald
Original Assignee
Amberwave Systems Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amberwave Systems Corporation filed Critical Amberwave Systems Corporation
Priority to AU2003237322A priority Critical patent/AU2003237322A1/en
Publication of WO2003105221A1 publication Critical patent/WO2003105221A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor structure having a substrate with a surface layer including strained silicon. The surface layer has a first region with a first thickness less than a second thickness of a second region. A gate dielectric layer is disposed over a portion of at least the first surface layer region.

Description

CMOS TRANSISTORS WITH DIFFERENTIALLY STRAINED CHANNELS OF DIFFERENT THICKNESS
Field of the Invention
The present invention relates generally to semiconductor structures and particularly to semiconductor structures formed on strained semiconductor layers.
Background
The recent development of silicon (Si) substrates with strained layers has increased the options available for design and fabrication of field-effect transistors (FETs). Enhanced performance of n-type metal-oxide-semiconductor (NMOS) transistors has been demonstrated with heteroj unction metal-oxide-semiconductor field effect transistors (MOSFETs) built on substrates having strained silicon and relaxed silicon-germanium (SiGe) layers. Tensilely- strained silicon greatly enhances electron mobilities. NMOS devices with strained silicon surface channels, therefore, have improved performance with higher switching speeds. Hole mobilities are enhanced in tensilely-strained silicon as well, but to a lesser extent for strain levels less than approximately 1.5%. Equivalent enhancement of p-type metal-oxide-semiconductor (PMOS) device performance, therefore, in such surface-channel devices presents a challenge.
A structure that incorporates a compressively strained SiGe layer in tandem with a tensilely strained Si layer can provide greatly enhanced electron and hole mobilities. In this structure, electron transport typically occurs within a surface tensilely strained Si channel and hole transport occurs within the compressively strained SiGe layer below the Si layer. To support the fabrication of NMOS transistors as well as PMOS transistors on this structure, the surface tensilely strained Si layer has a typical thickness of 50 - 200 Angstroms (A) for providing a channel for conduction of electrons. If this layer is thinner than 50 A, the beneficial mobility enhancement is significantly reduced because the electrons are no longer completely confined within the strained Si layer. Although some NMOS devices are operational with a strained silicon surface channel of only 50 A, even this strained silicon layer thickness may be too thick to allow modulation of p-type carriers in a buried SiGe layer by an operating voltage applied to the gate of a PMOS transistor.
Complementary metal-oxide silicon (CMOS) circuit design is simplified if carrier mobilities are enhanced equally for both NMOS and PMOS devices. In conventional silicon- based devices, electron mobilities are approximately two times greater than hole mobilities. As noted, electron mobilities have been substantially increased with strained silicon. Methods for equally increasing hole and electron mobilities by forming dual-channel NMOS and PMOS devices on the same substrate are problematic, in part because of different surface strained- silicon thickness requirements for the two types of devices.
Summary
In a dual-channel CMOS structure, electron transport takes place in a surface channel, e.g., a strained silicon layer with a thickness greater than 5 nanometers (nm). Hole transport occurs either in a buried channel, such as a buried compressed SiGe channel, or in both the strained silicon surface layer and the buried compressed SiGe layer. Hole mobility in this type of structure is improved because of a reduction in hole scattering due to sub-band splitting, and because of a reduction in hole effective mass, both of which are associated with transport in strained SiGe and strained Si. In a MOSFET, having carriers such as holes close to the gate improves switching speeds.
A thinned strained silicon layer above a PMOS channel facilitates control of hole transport by a voltage applied to a gate above the PMOS channel. If the strained silicon layer over the PMOS channel is too thick, the majority of carriers will be pulled closer to the surface from the buried channel. This configuration will result in a lack of device performance enhancement by the buried channel. Selectively thinning the strained silicon layer above a PMOS channel while maintaining a greater strained silicon thickness as an NMOS channel enables better control of hole transport in p-channel devices while simultaneously providing an adequate channel for electron transport in n-channel devices.
In an aspect, the invention features a semiconductor structure having a surface layer having strained silicon disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness. The structure also includes a gate dielectric disposed over a portion of at least the first region of the surface layer.
One or more of the following features may also be included. The gate dielectric layer may be disposed over a portion of the second region of the surface layer. The gate dielectric layer thickness may be approximately 10-100 A. The first thickness may be approximately 7-20 A. In another aspect, the invention features a semiconductor structure having a surface layer with strained silicon disposed over a substrate. A portion of the surface layer has a minimum thickness necessary for growing a silicon dioxide layer having satisfactory integrity.
One or more of the following features may also be included. The minimum surface layer thickness may be approximately 10 - 20 A. The surface layer may be disposed over the underlying layer and the underlying layer may induce strain in the surface layer. The underlying layer may include germanium and/or silicon. The underlying layer may be disposed over an insulator layer.
In yet another aspect, a surface layer including strained silicon is disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness. The first region has a first source and a first drain, with the first source and the first drain including a first type of dopant. The second region has a second source and a second drain, with the second source and the second drain including a second type of dopant. One or more of the following features may also be included. The surface layer may include tensilely strained silicon. The first type of dopant may be p-type and the second type of dopant may be n-type. The substrate may include a region under compressive strain sharing an interface with the surface layer, the tensilely strained surface layer enhancing mobility of electrons and the compressively strained substrate region enhancing mobility of holes. A gate may be disposed above the surface layer, with the first thickness being sufficiently small such that application of an operating voltage to the gate modulates movement of charge carriers within the compressively strained substrate region, and a majority of the charge carriers populate the compressively strained substrate region. An insulator may be provided between the gate and the surface layer. The compressively strained substrate region may include silicon and/or germanium.
In another aspect, the invention features a method for forming a semiconductor structure. The method includes providing a substrate having a surface layer disposed thereon, the surface layer including strained silicon. A sacrificial layer is selectively formed in a portion of the surface layer. The sacrificial layer is selectively removed to define a first region of the surface layer having a first thickness proximate a second region of the surface layer having a second thickness, with the first thickness being less than the second thickness.
One or more of the following features may also be included. Prior to forming the sacrificial layer, a masking layer may be formed over the surface layer, and a portion of the masking layer removed to expose the portion of the surface layer. The sacrificial layer may subsequently be selectively formed in the portion of the surface layer exposed by the masking layer. Forming the masking layer may include forming a masking silicon nitride layer. Forming the masking layer may also include forming a pad silicon dioxide layer prior to forming the masking silicon nitride layer. A first source and a first drain may be formed in the first region of the surface layer, the first source and the first drain including a first type of dopant. A second source and a second drain may be formed in the second region of the surface layer, the second source and the second drain including a second type of dopant. The first type of dopant may be n-type and the second type of dopant may be p-type. The surface layer may be disposed over a relaxed layer. The relaxed layer may comprise germanium and/or silicon.
Brief Description of Drawings
Figures 1 - 8 are a series of schematic cross-sectional views of a semiconductor substrate illustrating a process for fabricating a semiconductor structure on the substrate; and Figures 9 - 10 are schematic cross-sectional views of an alternative embodiment of a semiconductor structure fabricated on a substrate.
Like referenced features identify common features in corresponding drawings.
Detailed Description Referring to Figure 1 , which illustrates a structure amenable to use with the present invention, a substrate 10 is made of a semiconductor, such as silicon. Several layers collectively indicated at 11 are formed on substrate 10. In particular, a graded SiGe layer 12 is disposed over substrate 10. Graded SiGe layer 12 has a grading rate of, for example, 10%) Ge per micron of thickness, and a thickness Ti of, for example, 2 - 5 microns. A relaxed SiGe layer 14 is disposed over graded SiGe layer 12. Relaxed SiGe layer 14 contains, for example, 20 - 50% Ge and has a thickness T2 of, e.g., 0.2 - 2 microns. A compressed SiGe layer 16, under compressive strain, is disposed over relaxed SiGe layer 14. Compressed SiGe layer 16 has a Ge content higher than the Ge content of relaxed SiGe layer 14. Compressed SiGe layer 16 contains, for example, 40 - 100%> Ge and has a thickness T3 of, e.g., 10 - 200 Angstroms (A). In an embodiment, compressed SiGe layer 16 thickness T3 is approximately 100 A. A tensilely strained silicon surface layer 18 is disposed over compressed SiGe layer 16, sharing an interface 19 with compressed SiGe layer 16. Strained silicon surface layer 18 has a starting thickness T4 of, for example, 50 - 300 A. In an embodiment, starting thickness T4 is approximately 200 A. A suitable substrate 10 with layers 11 can be readily obtained from, e.g., IQE Silicon Compounds, Ltd., UK.
Referring to Figure 2, a first masking layer 20, such as a pad silicon dioxide layer, hereinafter referred to as pad oxide 20, is deposited over strained silicon surface layer 18 by a deposition method such as low-pressure chemical vapor deposition (LPCVD). Pad oxide 20 has a thickness T of, e.g., 100 A. Subsequently, a second masking layer 22, such as a masking silicon nitride layer, hereinafter referred to as masking nitride 22, is deposited over pad oxide 20 by a deposition method such as plasma enhanced chemical vapor deposition (PECND). Masking nitride 22 has a thickness T6 of, for example, 500 - 1000 A. Referring to Figure 3, a photoresist layer is deposited over a top surface 24 of masking nitride 22 and patterned to form a photoresist mask 26. Photoresist mask 26 exposes top surface 24 of a first portion 28 of masking nitride 22 disposed over a first region 30 of substrate 10 and layers 11. A device such as a PMOS transistor will be formed in first region 30 with subsequent processing (see, e.g., PMOS transistor 59 in Figure 8). Photoresist mask 26 covers top surface 24 of a second portion 32 of masking nitride 22 disposed over a second region 34 of substrate 10 and layers 11, including strained silicon surface layer 18. A device, such as an ΝMOS transistor, will be formed in second region 34 with subsequent processing (see, e.g., ΝMOS transistor 64 in Figure 8).
Referring to Figure 3 and also to Figure 4, first masking nitride portion 28 and a first portion 38 of pad oxide 20 underneath first masking nitride portion 28 are both removed, leaving behind second masking nitride portion 32 and a second portion 40 of pad oxide 20 that are protected by photoresist mask 26. Specifically, exposed first masking nitride portion 28 may be removed by a removal process such as a reactive ion etch (RIE) using gases such as a combination of nitrogen trifluoride, ammonia, and oxygen, or a combination of hydrogen bromide, chlorine, and oxygen. First pad oxide portion 38 may be removed by a wet etch that is selective to silicon, such as a hydrofluoric acid etch. The removal of pad oxide portion 38 exposes a portion 41 of strained silicon surface layer 18. Ions are introduced into areas not covered by photoresist mask 26, including first region 30, to form a well 36, defined, for purposes of illustration, by the boundary 36b. For example, n-type ions, such as phosphorus, are implanted to form well 36 for a PMOS transistor. The dosage and energy of the phosphorus ion implantation is, for example, 400 keV with 1.5 x 1013 atoms/cm2. After the selective removal of first portions 28, 38 of masking nitride 22 and pad oxide 20 and the formation of well 36, photoresist mask 26 is removed by a stripping process such as a dry strip in an oxygen plasma. Referring to Figure 5, a sacrificial layer 44 is formed on portion 41 of strained silicon surface layer 18. Sacrificial layer 44 is, for example, silicon dioxide grown by thermal oxidation. Thermal oxidation parameters may include, for example, an oxygen ambient at atmospheric pressure at 900°C for 30 minutes. In an alternative embodiment, sacrificial layer 44 is silicon dioxide grown using a mixture of oxygen and hydrogen. During formation of sacrificial layer 44, this layer consumes a part of the thickness of portion 41 of strained silicon surface layer 18 in region 30. In an embodiment in which sacrificial layer 44 is silicon dioxide, sacrificial layer 44 typically builds up to a thickness T7 of slightly more than twice a thickness T8 of strained silicon surface layer 18 that is removed in region 30 by the growth of sacrificial layer 44. For example, if strained silicon surface layer 18 has a starting thickness T4 of 200 A, and thinned first region 41 of strained silicon surface layer 18 with an initial thickness T of, for example, 20 A, is desired in first region 30, a thickness T8 of 180 A of strained silicon surface layer 18 needs to be removed in first region 30. This strained silicon thickness Tg can be consumed by growing sacrificial layer 44 having thickness T of approximately 400 A. Referring to Figure 5 and also to Figure 6, portion 32 of masking nitride layer 22 in region 34 is removed by, for example, an RIE process. Subsequently, portion 40 of pad oxide 20 and substantially all of sacrificial layer 44 are removed with an oxide etch selective to silicon, such as a hydrofluoric acid etch. The removal of sacrificial layer 44 exposes thinned first region 41 of strained silicon surface layer 18 with initial thickness T9 disposed over first substrate region 30. Thinned strained silicon surface layer first region 41 is proximate a second
(unthinned) region 47 of strained silicon surface layer 18 in second substrate region 34. Initial thickness T9 of thinned surface layer first region 41 is less than starting thickness T4 of surface layer second region 47, which remains substantially unmodified. Initial thickness T9 is selected to be relatively thin so as to, in a PMOS transistor, facilitate control by a gate voltage of hole transport in SiGe layer 16 and possibly in strained silicon surface layer 18 (see, e.g., PMOS transistor 59 in Figure 8). Referring still to Figures 5 and 6 and also to Figure 7, initial thickness T9 of strained silicon surface layer 18 also has a minimum limit. Strained silicon surface layer 18, including both thinned first region 41 and unthinned second region 47, must be thick enough to enable subsequent growth of a gate dielectric 48, such as a gate oxide, having satisfactory integrity. For purposes hereof, a gate oxide with satisfactory integrity is one that has, for example, a relatively low interface state density, e.g., less than 1 x 1011 eV'cm"2, and/or a relatively low leakage current, e.g., <10 nanoamperes/square micrometer (nA/μm2) to 1 microampere/square micron (μA/μm ) or even 10 μA/μm , preferably approximately 10 - 100 nA/μm at 100°C. In some preferred embodiments, the leakage current may range from approximately 10 - 100 nA/μm2 . Thermal oxidation of SiGe or deposition of oxide films on SiGe results in high interface state density (>1 x lθ" - 1 x 1012 eV"1 cm"2). High interface state density at the semiconductor-insulator interface leads to undesirable shifts in threshold voltage and in extreme cases unacceptably large subthreshold slope. It is preferable, therefore, to grow gate oxide layers on silicon, rather than on SiGe. A thin gate oxide layer with satisfactory integrity can be grown on strained silicon surface layer 18 having a thickness T of approximately 10 - 20 A. In an embodiment, strained silicon surface layer 18 thickness T is approximately 15 A. Gate oxide, when grown on silicon, consumes a silicon thickness equal to approximately one-half of the thickness of the gate oxide grown. Leaving a margin for error, initial thickness T of thinned strained silicon surface layer 41 can therefore be approximately 15 A when the desired gate dielectric thickness is approximately 15 A. Alternatively, T can be selected as the final desired thickness, and gate dielectric layer 48 can be deposited rather than grown. A gate dielectric layer 48 is formed on a top surface 50 of strained silicon surface layer
18. Gate dielectric layer 48 is, for example, a gate oxide with satisfactory integrity having a thickness Tι0 of approximately 10 - 100 A. In an embodiment, gate dielectric layer 48 thickness Tio is approximately 15 A. If the initial thickness T of thinned strained silicon surface layer first region 41 is 15 A after removal of sacrificial layer 44 (see Figures 5 and 6), thinned strained silicon surface layer 41 has a lower final thickness Ti i after growth of dielectric layer 48; once again, an oxide layer grown on strained silicon surface layer 18 typically builds up to a thickness of slightly more than twice a thickness of strained silicon surface layer 18 that is removed in region 30 by the growth of the oxide layer. Thinned strained silicon surface layer first region 41 final thickness Tn is, for example, less than 10 A when gate dielectric layer 48 has a thickness Tio of approximately 15 A and thinned strained silicon surface layer first region 41 initial thickness T9 is 15 A. Second strained silicon surface layer region 47 is also thinner after gate dielectric layer 48 growth. If strained silicon surface layer region 47 initial thickness T4 is 200 A (see Figure 1), after growth of gate dielectric layer 48 with thickness Tio of, e.g., 15 A, strained silicon surface layer region 47 final thickness Tj2 is approximately 192 A. Referring to Figure 8, a conducting layer, such as doped polysilicon, is deposited over gate dielectric layer 48. The conducting layer is patterned by, for example, photolithography and etching, to define a first gate 52 in first region 30 and a second gate 54 in second region 34. First gate 52 is, for example, a gate for a PMOS transistor 59 and second gate 54 is, for example, a gate for an NMOS transistor 64. A first source 56 and a first drain 58 (defined for purposes of illustration, by the interior boundaries) are formed in first region 30, proximate first gate 52. First source 56 and first drain 58 can be formed by the implantation of p-type ions, such as boron. PMOS transistor 59 includes first source 56, first drain 58, first gate 52 and a first dielectric layer portion 48a. A second source 60 and a second drain 62 are formed in second region 34, proximate second gate 54. Second source 60 and second drain 62 can be formed by the implantation of n-type ions, such as phosphorus. NMOS transistor 64 includes second source 60, second drain 62, second gate 54, and a second dielectric layer portion 48b.
During operation of PMOS transistor 59, an operating voltage bias 52v is applied to first gate 52. The operating voltage 52v modulates the movement of charge carriers in PMOS transistor 59. More specifically, charge carriers 67, e.g., holes travel through a compressed channel 66 in compressed SiGe layer 16 from first source 56 to first drain 58. The compressive strain of compressed SiGe layer 16 enhances the mobility of holes. Final thickness Tπ of strained silicon surface layer first region 41 is sufficiently small so that the operating voltage 52v applied to first gate 52 can modulate the movement of charge carriers 67 within compressed SiGe layer 16, and without drawing a majority of the charge carriers into tensilely strained silicon surface layer first region 41 between first source 56 and first drain 58. The majority of carriers 67 remain in compressed channel 66 in compressed SiGe layer 16, thereby retaining the benefits of enhanced performance resulting from greater carrier mobilities. During operation of NMOS transistor 64, an operating voltage 54v is applied to second gate 54. Charge carriers 67, e.g., electrons travel through a strained channel 68 in strained silicon surface layer second region 47 from second source 60 to second drain 62. The strain of surface layer 18 enhances the mobility of electrons, and final thickness Tj2 of strained silicon surface layer second region 47 is sufficiently high to confine the electrons in channel 68. A dual-channel CMOS device 70 includes PMOS transistor 59 and NMOS transistor 64.
In PMOS transistor 58, thinner thickness Tn of strained silicon surface layer first region 41 allows modulation of carriers 67, e.g., holes, in compressed channel 66 by bias 52v applied to first gate 52. In adjacent NMOS transistor 64, thicker thickness Tι2 of strained silicon surface layer second region 47 provides an adequate volume for confinement of carriers 67, e.g. electrons, in strained channel 68.
Referring to Figure 9, in an embodiment, an alternative layer structure 111 is provided on a substrate 100. Substrate 100 is a semiconductor, such as silicon. An insulator layer 120 is disposed over substrate 100. Insulator layer 120 is made of an insulating material such as glass or silicon dioxide, and has a thickness Tι3 of, e.g., 500 - 1500 A. A relaxed SiGe layer 140 is disposed over insulator layer 120. Relaxed SiGe layer 140 contains, for example, 30% Ge and has a thickness Tι4 of, e.g., 500 A. A compressed SiGe layer 160 is disposed over relaxed SiGe layer 140. Compressed SiGe layer 160 contains, for example, 60% Ge and has a thickness Tι5 within a range of, e.g., 20 - 200 A. In an embodiment, compressed SiGe layer 160 thickness Tι5 is 100 A. A strained silicon surface layer 180 is disposed over compressed SiGe layer 160. Strained silicon surface layer 180 has a thickness Tι6 within a range of, for example, 50 - 200 A. In an embodiment, strained silicon surface layer 180 thickness Tι6 is 150 A. A suitable substrate 100 with layers 11 1, also called a SiGe-on-insulator (SGOI) substrate, can be produced using a combination of wafer bonding and ultrahigh vacuum chemical vapor deposition, as described, for example, by Cheng, et al., PCT Application Number PCT/US01/41680, International Publication Number WO 02/15244 A2, 2002, incorporated herein by reference, and Cheng et al., Journal of Electronic Materials, Vol. 30, No. 12, 2001, incorporated herein by reference. Relaxed SiGe layer 140 is optional. In an alternative embodiment, compressed SiGe layer 160 and strained silicon surface layer 180 can be provided directly onto insulator layer 120 by, e.g., wafer bonding.
Referring to Figure 10, strained silicon surface layer 180 is selectively thinned by, e.g., formation of a sacrificial oxide (not shown), as described above with reference to Figures 2 - 6. Strained silicon surface layer 180 has a first region 200 with a thickness Tι7, that is less than a thickness Tι8 of a second region 210 of strained silicon surface layer 180. Substrate 100 with layers 111 is subsequently processed, as described above with reference to Figures 7 - 8, to form, for example, a PMOS transistor (not shown) in first region 220 with thinned strained silicon surface layer 200 and an NMOS transistor (not shown) in second region 230.
While the invention has been particularly shown and described with reference to specific embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined by the following claims. For example, the described semiconductor structures can be fabricated on a substrate without a graded SiGe layer. PMOS well formation can be performed either before or after patterning of pad oxide and masking nitride layers, either before or after the formation of the sacrificial oxide, and either before or after the removal of the sacrificial oxide. Masking nitride can be removed by a wet etch, such as by a heated phosphoric acid bath. Strained silicon layer can be selectively thinned by methods other than growth of a sacrificial oxide, such as by etching. It is noted that various processing sequences such as cleaning steps can remove a thickness of exposed strained silicon. The final thickness of thinned strained silicon surface layer first region and the final thickness of strained silicon surface layer region may, therefore, be affected by these additional process steps. These steps can be taken into consideration when calculating appropriate initial and final strained silicon thicknesses to obtain desired final thicknesses after the gate dielectric layer is formed.
Gate dielectric can be a material that is deposited, e.g., a high-k dielectric. In this embodiment, the exposed strained silicon layer will not be consumed during the gate dielectric formation process. An NMOS device can be formed in a region having a thinner strained silicon layer than the strained silicon layer thickness in a region where a PMOS device is formed. First source and first drain can be n-type, and second source and second drain can be p-type. PMOS and NMOS devices can be fabricated on various alternative substrates, using methods described above.
The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.

Claims

Claims What is claimed is: 1. A semiconductor structure comprising: a surface layer comprising strained silicon disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness; and a gate dielectric layer disposed over a portion of at least the first region of the surface layer.
2. The structure of claim 1, wherein the gate dielectric layer comprises silicon dioxide.
3. The structure of claim 1, wherein the gate dielectric layer is disposed over a portion of the second region of the surface layer.
4. The structure of claim 1 , wherein the gate dielectric layer has a thickness of approximately 10 - 100 A.
5. The structure of claim 4, wherein the first thickness is approximately 7 - 20 A.
6. The structure of claim 5, wherein the first thickness is less than 10 A.
7. The structure of claim 4, wherein the gate dielectric layer has a thickness of approximately 15 A.
8. The structure of claim 7, wherein the first thickness is less than 10 A.
9. A semiconductor structure comprising: a surface layer comprising strained silicon disposed over a substrate, wherein a portion of the surface layer has a minimum thickness necessary for growing a silicon dioxide layer having satisfactory integrity.
10. The structure of claim 9, wherein the minimum surface layer thickness is approximately 10 - 20 A.
11. The structure of claim 10, wherein the minimum surface layer thickness is approximately 15 A.
12. The structure of claim 9 further comprising: an underlying layer, wherein the surface layer is disposed over the underlying layer and the underlying layer induces strain in the surface layer.
13. The structure of claim 12, wherein the underlying layer comprises germanium.
14. The structure of claim 12, wherein the underlying layer comprises silicon.
15. The structure of claim 12 further comprising: an insulator layer, wherein the underlying layer is disposed over the insulator layer.
16. A semiconductor structure comprising: a surface layer comprising strained silicon disposed over a substrate, the surface layer including a first region having a first thickness and a second region having a second thickness, the first thickness being less than the second thickness; a first source and a first drain in the first region, the first source and the first drain including a first type of dopant; and a second source and a second drain in the second region, the second source and the second drain including a second type of dopant.
17. The structure of claim 16, wherein the surface layer comprises tensilely strained silicon.
18. The structure of claim 16, wherein the first type of dopant is p-type and the second type of dopant is n-type.
19. The structure of claim 16, wherein the first type of dopant is n-type and the second type of dopant is p-type.
20. The structure of claim 16, wherein the substrate comprises a region under compressive strain sharing an interface with the surface layer, the strained surface layer enhancing mobility of electrons and the compressively strained substrate region enhancing mobility of holes.
21. The structure of claim 20 further comprising: a gate disposed above the surface layer, the first thickness being sufficiently small such that application of an operating voltage to the gate modulates movement of a plurality of charge carriers within the compressively strained substrate region and a majority of the carriers populate the compressively strained substrate region.
22. The structure of claim 21 further comprising: an insulator between the gate and the surface layer.
23. The structure of claim 20, wherein the compressively strained substrate region comprises silicon.
24. The structure of claim 20, wherein the compressively strained substrate region comprises germanium.
25. A method for forming a semiconductor structure, the method comprising the steps of: providing a substrate having a surface layer disposed thereon, the surface layer comprising strained silicon; selectively forming a sacrificial layer in a portion of the surface layer; and substantially removing the sacrificial layer to define a first region of the surface layer having a first thickness proximate a second region of the surface layer having a second thickness, wherein the first thickness is less than the second thickness.
26. The method of claim 25 further comprising: prior to forming the sacrificial layer, forming a masking layer over the surface layer; and removing a portion of the masking layer to expose the portion of the surface layer, wherein the sacrificial layer is subsequently selectively formed in the portion of the surface layer exposed by the masking layer.
27. The method of claim 26, wherein forming the masking layer comprises forming a masking silicon nitride layer.
28. The method of claim 27, wherein forming the masking layer comprises forming a pad silicon dioxide layer prior to forming the masking silicon nitride layer.
29. The method of claim 25 further comprising: forming a first source and a first drain in the first region of the surface layer, the first source and the first drain including a first type of dopant; and forming a second source and a second drain in the second region of the surface layer, the second source and the second drain including a second type of dopant.
30. The method of claim 29, wherein the first type of dopant is n-type and the second type of dopant is p-type.
31. The method of claim 29, wherein the first type of dopant is p-type and the second type of dopant is n-type.
32. The method of claim 25, wherein the surface layer is disposed over a relaxed layer.
33. The method of claim 32, wherein the relaxed layer comprises germanium.
34. The method of claim 32, wherein the relaxed layer comprises silicon.
PCT/US2003/017275 2002-06-07 2003-06-03 Cmos transistors with differentially strained channels of different thickness WO2003105221A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003237322A AU2003237322A1 (en) 2002-06-07 2003-06-03 Cmos transistors with differentially strained channels of different thickness

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/164,665 2002-06-07
US10/164,665 US7138649B2 (en) 2001-08-09 2002-06-07 Dual-channel CMOS transistors with differentially strained channels

Publications (1)

Publication Number Publication Date
WO2003105221A1 true WO2003105221A1 (en) 2003-12-18

Family

ID=29710253

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/017275 WO2003105221A1 (en) 2002-06-07 2003-06-03 Cmos transistors with differentially strained channels of different thickness

Country Status (3)

Country Link
US (2) US7138649B2 (en)
AU (1) AU2003237322A1 (en)
WO (1) WO2003105221A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005119762A1 (en) * 2004-05-27 2005-12-15 Massachusetts Institute Of Technology Single metal gate material cmos using strained si-silicon germanium heterojunction layered substrate

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
EP1399974A1 (en) * 2001-06-21 2004-03-24 Massachusetts Institute Of Technology Mosfets with strained semiconductor layers
JP2004538634A (en) * 2001-08-06 2004-12-24 マサチューセッツ インスティテュート オブ テクノロジー Semiconductor substrate having strained layer and method for forming the same
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7172930B2 (en) * 2004-07-02 2007-02-06 International Business Machines Corporation Strained silicon-on-insulator by anodization of a buried p+ silicon germanium layer
US7582947B2 (en) * 2005-10-05 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High performance device design
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US7975246B2 (en) * 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20130237046A1 (en) * 2012-03-09 2013-09-12 Chien-Ting Lin Semiconductor process
US20130285117A1 (en) * 2012-04-27 2013-10-31 International Business Machines Corporation CMOS WITH SiGe CHANNEL PFETs AND METHOD OF FABRICATION
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
KR102021765B1 (en) 2013-06-17 2019-09-17 삼성전자 주식회사 Semiconductor Device
KR20160067640A (en) * 2014-12-04 2016-06-14 삼성전자주식회사 Semiconductor device having heterostructure and method of forming the same
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US9865681B1 (en) * 2017-03-08 2018-01-09 Globalfoundries Inc. Nanowire transistors having multiple threshold voltages
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US11183429B2 (en) * 2019-03-25 2021-11-23 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device including forming a gate insulating material layer on a protection layer and removing the gate insulation material layer and the protection layer on the first region

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US20020052084A1 (en) * 2000-05-26 2002-05-02 Fitzgerald Eugene A. Buried channel strained silicon FET using a supply layer created through ion implantation
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4692992A (en) * 1986-06-25 1987-09-15 Rca Corporation Method of forming isolation regions in a semiconductor device
JPS63122176A (en) 1986-11-11 1988-05-26 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and its manufacture
US4920076A (en) 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
DE3816358A1 (en) 1988-05-13 1989-11-23 Eurosil Electronic Gmbh NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF
US4958318A (en) 1988-07-08 1990-09-18 Eliyahou Harari Sidewall capacitor DRAM cell
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5079447A (en) * 1990-03-20 1992-01-07 Integrated Device Technology BiCMOS gates with improved driver stages
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5312766A (en) 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
JPH04307974A (en) 1991-04-05 1992-10-30 Sharp Corp Electrically erasable nonvolatile semiconductor storage device
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5291439A (en) 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5242847A (en) * 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JP3494458B2 (en) 1993-10-05 2004-02-09 沖電気工業株式会社 Semiconductor nonvolatile memory device and method of manufacturing the same
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
DE19533313A1 (en) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
US6413636B1 (en) * 1996-06-27 2002-07-02 Mark A. Andrews Protective yarn
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
EP0838858B1 (en) 1996-09-27 2002-05-15 Infineon Technologies AG CMOS integrated circuit and method of manufacturing the same
EP0844651A1 (en) 1996-11-26 1998-05-27 Xerox Corporation Method of controlling oxidation in multilayer semiconductor structure comprising Group III elements
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5951757A (en) * 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
JP3535527B2 (en) 1997-06-24 2004-06-07 マサチューセッツ インスティテュート オブ テクノロジー Controlling threading dislocations in germanium-on-silicon using graded GeSi layer and planarization
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
JP3059145B2 (en) 1997-12-12 2000-07-04 松下電子工業株式会社 Nonvolatile semiconductor memory device and driving method thereof
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
TW415103B (en) * 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
JP3762221B2 (en) 1998-04-10 2006-04-05 マサチューセッツ・インスティテュート・オブ・テクノロジー Silicon germanium etch stop layer system
JP4258034B2 (en) 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
JP3403076B2 (en) * 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP3974329B2 (en) 1999-03-12 2007-09-12 インターナショナル・ビジネス・マシーンズ・コーポレーション Layered structure for forming Ge channel field effect transistors
JP4521542B2 (en) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6204529B1 (en) 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP2001160594A (en) 1999-09-20 2001-06-12 Toshiba Corp Semiconductor device
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
WO2001054202A1 (en) 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
KR100392166B1 (en) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 Semiconductor device and method for manufacturing the same
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
DE10025264A1 (en) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
US6693641B1 (en) * 2000-05-25 2004-02-17 Intel Corporation Calculating display mode values
US6437375B1 (en) * 2000-06-05 2002-08-20 Micron Technology, Inc. PD-SOI substrate with suppressed floating body effect and method for its fabrication
US6461945B1 (en) * 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
AU2001268577A1 (en) 2000-06-22 2002-01-02 Massachusetts Institute Of Technology Etch stop layer system
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
JP2004523103A (en) 2000-12-04 2004-07-29 アンバーウェーブ システムズ コーポレイション CMOS inverter circuit using strained silicon surface channel MOSFET
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
JP2002241195A (en) 2001-02-15 2002-08-28 Mitsubishi Materials Silicon Corp Method for producing epitaxial multilayer film and epitaxial multilayer film
WO2002071488A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2004531054A (en) 2001-03-02 2004-10-07 アンバーウェーブ システムズ コーポレイション Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071491A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
EP1399974A1 (en) * 2001-06-21 2004-03-24 Massachusetts Institute Of Technology Mosfets with strained semiconductor layers
JP2004538634A (en) * 2001-08-06 2004-12-24 マサチューセッツ インスティテュート オブ テクノロジー Semiconductor substrate having strained layer and method for forming the same
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6812086B2 (en) * 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US20040119101A1 (en) * 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
KR100528486B1 (en) * 2004-04-12 2005-11-15 삼성전자주식회사 Non-volatile memory devices and method for forming the same
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
US7719058B2 (en) * 2005-10-12 2010-05-18 Seliskar John J Mixed-signal semiconductor platform incorporating fully-depleted castellated-gate MOSFET device and method of manufacture thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020052084A1 (en) * 2000-05-26 2002-05-02 Fitzgerald Eugene A. Buried channel strained silicon FET using a supply layer created through ion implantation
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005119762A1 (en) * 2004-05-27 2005-12-15 Massachusetts Institute Of Technology Single metal gate material cmos using strained si-silicon germanium heterojunction layered substrate

Also Published As

Publication number Publication date
US20030227013A1 (en) 2003-12-11
US7138649B2 (en) 2006-11-21
US20060266997A1 (en) 2006-11-30
AU2003237322A1 (en) 2003-12-22

Similar Documents

Publication Publication Date Title
US7138649B2 (en) Dual-channel CMOS transistors with differentially strained channels
JP4110085B2 (en) Manufacturing method of double gate type field effect transistor
US6844227B2 (en) Semiconductor devices and method for manufacturing the same
US8211761B2 (en) Semiconductor system using germanium condensation
US6583000B1 (en) Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US7425483B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US6916727B2 (en) Enhancement of P-type metal-oxide-semiconductor field effect transistors
US9159629B2 (en) High performance CMOS device design
US20060128111A1 (en) Raised sti process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
EP1178532A2 (en) NMOS and PMOS with strained channel layer
US9076867B2 (en) Semiconductor device structures including strained transistor channels
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US20130193514A1 (en) Method to enable the formation of silicon germanium channel of fdsoi devices for pfet threshold voltage engineering
US7439120B2 (en) Method for fabricating stress enhanced MOS circuits
US20120171820A1 (en) Strained mos device and methods for its fabrication
US20050186722A1 (en) Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
WO2009093295A1 (en) Semiconductor device and manufacturing method of same
JP2780670B2 (en) Manufacturing method of epitaxial channel MOS transistor
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
JP2004079874A (en) Semiconductor device and manufacturing method therefor
JP2007067118A (en) Semiconductor device and manufacturing method thereof
US6657261B2 (en) Ground-plane device with back oxide topography
JP3200231B2 (en) Method for manufacturing semiconductor device
WO2006001249A1 (en) Semiconductor device and method for manufacturing same
US20070018251A1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP