WO2003102696A2 - A method for photolithography using multiple illuminations and a single fine feature mask - Google Patents

A method for photolithography using multiple illuminations and a single fine feature mask Download PDF

Info

Publication number
WO2003102696A2
WO2003102696A2 PCT/US2003/016862 US0316862W WO03102696A2 WO 2003102696 A2 WO2003102696 A2 WO 2003102696A2 US 0316862 W US0316862 W US 0316862W WO 03102696 A2 WO03102696 A2 WO 03102696A2
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
illumination
features
mask
illuminator
Prior art date
Application number
PCT/US2003/016862
Other languages
French (fr)
Other versions
WO2003102696A3 (en
Inventor
Michael Fritze
Brian M. Tyrell
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Priority to AU2003240931A priority Critical patent/AU2003240931A1/en
Publication of WO2003102696A2 publication Critical patent/WO2003102696A2/en
Publication of WO2003102696A3 publication Critical patent/WO2003102696A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/46Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of different originals, e.g. enlargers, roll film printers
    • G03B27/48Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of different originals, e.g. enlargers, roll film printers with original in the form of a film strip moving continuously and compensation for consequent image movement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Definitions

  • Provisional Patent Application Serial Number 60/383,972 filed on May 29, 2002.
  • the entire contents of U.S. Provisional Patent Application Serial Number 60/383,972 filed on May 29, 2002 are hereby incorporated by reference.
  • the present invention is directed to the photolithographic fabrication of fine features on using a fine feature mask. More particularly, the present invention is directed to a process and methodology of photolithographically fabricating fine features using multiple illuminations of a same feature mask
  • phase-shifters that also transmit some or all of the radiation incident thereon, but which shift the phase of the radiation approximately 180° relative to the openings forming the features. In this way, the radiation from the phase shifter destructively interferes with the radiation from the field regions, providing enhanced contrast at the feature's edge.
  • a mask in this process, includes a semiconductor circuit layout pattern typically formed of opaque chrome, on a transparent glass (typically Si ⁇ 2) substrate.
  • a stepper which includes a light source and optics/lenses, projects light coming through the reticle to image the circuit pattern, typically with a 4X to 5X reduction factor, on a photo-resist film formed on a silicon wafer.
  • chrome refers to an opaque masking material that is typically but not always comprised of chrome.
  • the transmission of the opaque material may also vary such as in the case of an attenuating phase shift mask. It is further noted that the relative phase of the transmitted light may vary, as in the case of a phase shift mask.
  • Figure 1 is an example of a conventional optical projection lithography apparatus.
  • the optical projection lithography apparatus includes a light source 20, a photomask 22, and reduction optics 24.
  • a wafer 26, having a layer of photo-resist 28 thereon, is placed within the optical projection lithography apparatus, and the light-source 20 generates a beam of light 21 that is incident upon the photomask 22.
  • the reduction optics 24 reduces the light beam to cause a pattern 30 that exposes the photo-resist layer 28, creating the pattern 30 of reacted material in the resist layer 28. In this manner, a pattern 32, provided on the mask 22, is transferred to the photo-resist layer 28 on the wafer 26.
  • the photo-resist pattern 30 is then transferred to the underlying wafer 26 through standard etching processes using standard semiconductor fabrication techniques. Both positive and negative tone resists can be used to produce either positive or negative images of the mask pattern on the wafer.
  • FIG. 2 is a further example of a conventional photolithography methodology.
  • a mask 100 comprises a first region 101, which may be referred to as the 0° phase and a second region 102 which may be referred to as the 180° phase. As shown, the second region 102 is adjacent to the first region 101 along interface 105.
  • Intensity curve 110 shows the intensity of radiation at the image plane, I, as a fraction of the intensity incident on the mask 100, 1 0 .
  • the intensity 111 underneath region 101 away from the interface 105 is nearly equal to the intensity incident on region 101.
  • the intensity 112 underneath section 102 away from interface 105 is nearly equal to the intensity incident on region 102.
  • underneath the interface 105 there is a sharp drop 115 in the intensity at the image plane due to the destructive interference between the radiation transmitted through regions 101 and 102.
  • the exposure conditions can be adjusted such that the portion of the photosensitive layer underneath interface 105 is substantially unexposed, while portions of the photosensitive layer under regions 101 and 102 away from interface 105 are substantially exposed.
  • a positive photoresist layer after exposure and development, a thin line of photoresist will remain in the region underneath interface 105, while the remainder of the photoresist layer will be removed.
  • this conventional methodology method may be used to form a narrow line in a positive photoresist layer or a narrow opening in a negative photoresist layer.
  • a photosensitive layer is exposed to a first reticle having a pattern of parallel lines defined by alternating phase regions to form a first set of parallel features. Then, the photosensitive layer is exposed to a second reticle having a pattern of parallel lines defined by alternating phase regions to form a first set of parallel features which are arranged substantially orthogonal to those features formed by the first reticle. As a result of these two exposures, a small dimension latent image is formed at every intersection of the first and second sets of features. Finally, a third exposure is performed using a standard trim or contact mask to expose those latent images where no contacts are desired. This method can also form contact hole or pillar features depending on the resist tone used (positive for pillars, negative for contact holes).
  • FIG. 3 illustrates an example of a mask, (chromeless phase shift mask) having 180° phase region(s) 210 and a plurality of 0° phase regions 200, that can be used to exposed a substrate to realize a dense array of contact hole features.
  • This mask in this example, has a constant feature pitch of 250 nm.
  • the resulting image for the simulation region 220 of Figure 3 is defined by the schematic illustration of Figure 4.
  • the Figures used to in this application are not necessarily to scale, and thus, as set forth above, it is further noted that the features illustrated in Figure 3 should be set apart by a constant feature pitch.
  • the resulting image for the simulation region 220 is a plurality of areas 240 of high intensity peaking at high intensity sections 241 and a plurality of areas 200 of low intensity bottoming out at low intensity sections 201.
  • the peak intensity was 0.58 I 0 and the minimum intensity was 0.37 I 0 , wherein I 0 is the original intensity of the light incident upon the mask.
  • the contrast of this exemplary conventional photolithographic process is 0.21 (0.58 - 0.37) that is relatively low for a manufacturable process.
  • the resulting optical image intensity is a function of the proximity of features. Contrast is lost as feature pitch values decrease. As a result, the resulting size of features located in densely populated regions can be different than the size for those features that are isolated from the densely populated features. This is known as the "optical proximity" effect. With respect to optical proximity effect, the critical dimension of features depends on feature density. Moreover, optical proximity effects can become more severe in sub-wavelength lithography.
  • optical proximity effects can result in dense lines 261 and isolated line 262 on wafer 260 being printed with different sizes, even if the same size on the mask, as illustrated in Figure 10, or dense contacts 263 and isolated contact 264 on wafer 260 being printed with different sizes, even if the same size on the mask, as illustrated in Figure 11. Since the performance and yield of the circuit depends on the size and size tolerance of the gates and contacts, this is an undesirable result.
  • Spatial frequency effects are caused by the "low-pass filter” behavior of a projection lithography lens wherein high spatial frequencies do not pass through the lens. This results in corner rounding and line end shortening.
  • An example of this effect is illustrated in Figure 12.
  • a desired image is represented by mask 2200, but the actual image pattern 265 on the wafer is shortened and rounded.
  • additional features have been conventionally introduced on the mask that can involve both printable as well as sub-resolution elements. In these methods, extra features such as serifs, mousebites, hammerheads, and scattering bars are added to the mask features in order to correct for optical proximity effects and other spatial frequency effects.
  • the process requires the moving in and out of two different masks or reticles of the optical path or one mask requiring rotation between exposures to produce a two- dimensional pattern prior to the trimming process.
  • This requirement of two different masks or one rotating mask introduces alignment problems into the photolithographic process that must be overcome.
  • the use the two different masks or one rotating mask to produce a two-dimensional pattern prior to trimming introduces a significant time delay between exposures so as to allow the precise alignment the masks or rotated mask prior to exposure.
  • the conventional process results in very low contrast for the dense contact features.
  • a photolithographic process that can produce dense two-dimensional features without introducing alignment problems or significant time delays in the fabrication process. Furthermore, it is desirable to provide a photolithographic process that provides enhanced contrast properties. Moreover, it is desirable to develop an imaging method that can produce dense two-dimensional features without introducing alignment problems or significant time delays in the fabrication process while mitigating optical proximity and spatial frequency effects without adding complex optical proximity correction features to the mask, while preserving the resolution enhancement aspects required by sub-wavelength lithography.
  • One aspect of the present invention is a method of forming a feature pattern on a substrate.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings and exposes the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings.
  • a second aspect of the present invention is a method for defining multiple fine features in a resist.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings; exposes the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings; and sub-threshold exposes the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
  • a third aspect of the present invention is a method of forming a feature pattern on a substrate.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with X-dipole illumination and exposes the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination.
  • a fourth aspect of the present invention is a method for defining multiple fine features in a resist.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with X-dipole illumination; exposes the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination; and sub- threshold exposes the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
  • a fifth aspect of the present invention is a method for photolithographically forming a wide range of useful patterns.
  • the method illuminates a same template mask multiple times. Each exposure of the same template mask has different illumination parameters.
  • a sixth aspect of the present invention is a method of forming a feature pattern on a substrate.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; adds a phase shifter to the illuminator; and exposes the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter.
  • the phase shifter enables the pattern upon substrate to be shifted in Cartesian space with fine resolution.
  • Another aspect of the present invention is a method of forming an interdigitated pattern on a substrate.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; adds a phase shifter element to an optical path of the illuminator; and exposes the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter element in the optical path thereof, the phase shifter shifting the pattern upon substrate in Cartesian space to produce the interdigitated pattern.
  • a further aspect of the present invention is a method of forming a feature pattern on a substrate.
  • the method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator having the phase shifter element in the optical path thereof, the phase shifter element enabling the pattern to be aligned upon a substrate in Cartesian space with fine resolution.
  • the illuminator includes a light source and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
  • a further aspect of the present invention is a photolithographic exposure system.
  • the photolithographic exposure system included an illuminator, a projection optics system, and a substrate stage.
  • the illuminator further includes a light source and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
  • Figure 1 is a schematic diagram of the lithography apparatus in accordance with the present invention
  • Figure 2 is an illustration of an intensity profile obtained by phase-edge photolithography
  • Figure 3 is an illustration of a dense array of dense contact hole features
  • Figure 4 is an illustration of an aerial image of a contact mask with annular illumination
  • Figure 5 is an illustration of a dense contact mask imaged by X-dipole illumination in accordance with the concepts of the present invention
  • Figure 6 is an illustration of a dense contact mask imaged by Y-dipole illumination in accordance with the concepts of the present invention
  • Figure 7 is a simulation of the exposure illumination intensities that are experienced by a substrate or contact mask as a result of a double exposure summing of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention
  • Figure 8 is an illustration of aerial image intensities of the resulting image of Figure 7 in accordance with the concepts of the present invention.
  • Figure 9 is a flowchart illustrating the exposure methodology of a substrate in accordance with the concepts of the present invention.
  • Figure 10 is an illustration of an optical proximity effect with respect to fabricating lines
  • Figure 11 is an illustration of an optical proximity effect with respect to fabricating contact holes or pillars
  • Figure 12 is an illustration of a spatial frequency effect with respect to fabricating lines
  • Figures 13-16 are top views of various dense-feature mask pattern configurations in accordance with the present invention.
  • Figure 17 is a block diagram illustrating an illumination source that varies the illumination parameters between subsequent exposures of the same mask according to the concepts of the present invention.
  • the present invention is directed to an imaging approach that overcomes the limitations of the conventional techniques, and confers a number of advantages. It addresses the problems of alignment problems or significant time delays in the fabrication process while mitigating optical proximity and spatial frequency effects without adding complex optical proximity correction features to the mask, while preserving the resolution enhancement aspects required by sub-wavelength lithography.
  • lines refers to either the trenches or the raised areas; e.g., plateaus; on a wafer.
  • contacts refers to either the holes or pillars on a wafer.
  • the described photoresists may either be a negative tone or a positive tone. The descriptions are applicable to either positive or negative imaging of the wafer or substrate.
  • any image that is lithographically exposed can be thought of in Fourier space, where components of various spatial frequencies sum to form the complete image.
  • the lens acts as a low-pass filter because it has a finite aperture.
  • Spatial frequency effects cause corner rounding and line-end shortening because higher diffraction orders are filtered out, but the first diffraction order typically passes through the lens unfiltered.
  • Optical proximity effects cause the same features spatially apart from each other on a substrate to realize a size differential even though these features were formed using the same mask. This effect is typically described quantitatively in terms of critical dimension versus pitch.
  • the phrase, "dense features” refers to an area on the substrate having a multitude of features positioned very closely to each other.
  • a mask including a dense repetitive structure of features that results in a large array of densely populated features on the film or substrate.
  • the pattern of dense features may be locally or globally periodic.
  • the mask is designed to print dense features near the resolution limit of the lithography stepper used, thus defining a pattern "grid.”
  • the fine features (such as transistor gates and contacts) are laid out on this grid.
  • the allowed feature grid locations correspond to feature locations on the dense feature mask.
  • FIG. 1 is a schematic block diagram of a conventional optical projection lithography apparatus.
  • the conventional optical projection lithography apparatus includes a light source 20, a photomask 22, and reduction optics 24.
  • a wafer 26 having a layer of photoresist 28 is presented to the conventional optical projection lithography apparatus, and the light-source 20 generates a beam of light 21 that is incident upon the photomask 22 and reduced by reduction optics 24 to cause a pattern 30 to be exposed in the photoresist layer 28. In this manner, a pattern 32 provided on the mask 22 is transferred to the photoresist layer 28 on the wafer 26.
  • the conventional photolithographic processes introduced alignment problems and time delays as a result of using two different masks or a rotated mask to generate dense two-dimensional image features.
  • the concepts of the present invention propose modifying the properties of the illumination while maintaining the mask in a stationary position over the substrate between exposures.
  • Figure 9 illustrates a flowchart of this concept.
  • the first step, SI is to position the desired dense feature template over the substrate to be exposed or imaged.
  • the substrate is exposed with a first set of illumination settings at step S3.
  • the substrate is exposed by X-dipole illumination at step S3.
  • Figure 5 illustrates a contact mask imaged by X- dipole illumination, for one set of partial coherence and mask parameters, in accordance with the concepts of the present invention.
  • the mask remains at its current position.
  • the mask is not rotated, arid the mask is not swapped out so that a new mask having a different orientation is positioned over the substrate to be exposed or imaged.
  • step S5 the substrate is exposed with a second set of illumination settings, the second set of illumination settings being different from the first set of illumination settings.
  • the substrate is exposed by Y-dipole illumination at step S5.
  • Figure 6 illustrates a contact mask imaged by Y- dipole illumination, for one set of partial coherence and mask parameters, in accordance with the concepts of the present invention.
  • the double exposure of an X-dipole illumination and a Y-dipole illumination produces a dense pattern of illumination intensity areas (440 and 400) that two-dimensionally periodically varies in value in a substantially discrete manner between a normalized maximum intensity of 0.75 (401) and a normalized minimum intensity of 0.26 (441).
  • Figure 8 illustrates another perspective of the results of the double exposure of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention. More specifically, Figure 8 illustrates image intensities normalized along a X-direction (430 of Figure 7). As can be seen from this graphical illustration, the double exposure of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention produces a varying intensity pattern having a pitch of about 250 nm. This enables the present invention to produce dense feature patterns, such as contacts, using a single dense feature mask, which is not moved or rotated between exposures; only the illumination settings are changed between exposures.
  • the imaged pattern can, optionally, be modified to remove any unwanted features, in such a situation, a trim mask can then be positioned over the substrate to be exposed or imaged at step S7. Thereafter, the trim mask and substrate are exposed at step S9 to remove any unwanted features.
  • the different illuminations correspond to a X- dipole illumination and a Y-dipole illumination; however, these differences in the illuminations are not restricted to dipole illumination differences. More specifically, examples of various differences in illumination are, but are not limited to, illuminator aperture design, illumination wavefront magnitude, illumination wavefront phase, illumination wavefront partial coherence, illumination wavelength, and/or illumination polarization.
  • the dense-feature mask 22 is a phase-shift mask comprising a pattern of periodic features.
  • the phase-shift mask 22 may be formed of, for example, fused SiO 2 .
  • Periodic trenches can be are formed in the mask 22 to provide an interference pattern upon illumination that results in the desired photoresist pattern on the wafer or substrate.
  • the present invention is also applicable to other types of phase-shift masks such as alternating aperture (AAPSM) or attenuating phase-shifters (APSM).
  • An attenuating phase shift mask is a phase shift mask in which there are multiple regions. A set of regions is included for which there is a relative phase shift of zero degrees and a transmissivity between zero and one. Other sets of regions have a phase shift typically of about 180 degrees and a transmissivity between zero and one. In some applications, attenuating phase shift masks with phase shifts between zero and ⁇ 180 degrees may be used.
  • the present invention can be utilized with a variety of masks such as illustrated in Figures 13-16.
  • the line features 23A, 23B, and 23C can be formed in a variety of configurations.
  • horizontal line features 23 A are formed parallel to each other in the X- direction
  • vertical line features 23B are formed parallel to each other in the Y-direction.
  • the mask shown in Figure 15 includes features formed in a horizontal orientation 23A in a first region of the mask 22 and features formed in a vertical orientation 23B in a second region of the mask 22.
  • the features may be formed in other patterns, including locally regular patterns.
  • a unique feature pattern 23C is employed in the mask of Figure 16.
  • Other such unique combinations of patterns are applicable to the present invention.
  • the present invention is immune to optical proximity effects. More specifically, assuming the original dense feature mask has a more complex pattern, such as the "L"-shaped pattern 23C of Figure 16, as these features become small, sharp features, such as comers and line-ends tend to become distorted. For this reason, various forms of simple optical proximity correction or spatial frequency correction features may be configured directly into the dense feature mask template in order to pre-distort the template pattern.
  • the present invention provides the advantage in mask cost amortization.
  • the present invention can also be utilized with a dense feature mask having sub-resolution gratings.
  • printable features of the dense feature mask when double exposed using dipole illumination, result in fine features formed on the wafer, while the sub-resolution features of the phase-shift mask result in solid patterns on the wafer.
  • these solid patterns can be trimmed appropriately so as to form interconnects between the trimmed fine features.
  • the present invention can produce dense one-dimensional arrays, useful for generating device gate patterns, by utilizing a single dense two-dimensional fine feature array and a single dipole illumination.
  • An alternative embodiment of the present invention may use either a grating mask or a general mask, which is exposed with various illuminator settings to selectively create either a negation or modulation of features occurring in frequency space.
  • a grating mask example this allows the resulting grating pattern to be controllably shifted in Cartesian space with good position precision with respect to the substrate origin. This is a useful capability when decomposing a pattern into multiple exposures.
  • a phase shifter can be added to one of the elements of the illuminator or added to the optical path of the illuminator.
  • the addition of the phase shifter enables the pattern to be shifted in Cartesian space with fine precision. This is particularly important in applications directed to the fine or precise alignment of the exposure pattern.
  • the alignment can be controlled without physically moving the mask or wafer, thereby enabling a finer resolution of positioning of the pattern upon the substrate being exposed.
  • Interdigitated patterns can also be formed by using custom illumination (with phase shifter) to shift the pattern between 1 st and 2 nd exposures.
  • the addition of the phase shifter in the illuminator in combination with a single exposure provides a controlled shift of image, which is useful for precise alignment.
  • the addition of the phase shifter in the illuminator in combination with a double exposure provides a controlled image shift that can produce interdigitated patterns to increase printable pattern density.
  • the addition of the phase shifter in this embodiment of the present invention, provides two benefits, precise alignment or increased printable pattern density, depending upon the exposure environment.
  • Figure 17 is a schematic block diagram of the optical projection lithography apparatus according to the concepts of the present invention described above with respect to varying the illumination parameters between exposures of the same mask.
  • the optical projection lithography apparatus of Figure 17 further includes a photomask 722 and reduction optics 724.
  • a wafer 726 having a layer of photoresist 728 is presented to the optical projection lithography apparatus via a conventional substrate stage (not shown).
  • the illuminator 700 using light-source 720 and illumination parameter-modifying element 723, generates a beam of light 721 that is operated upon the photomask 722 and reduction optics 724 to cause a pattern 730 to be exposed in the photoresist layer 728. In this manner, a pattern 732 provided on the mask 722 is transferred to the photoresist layer 728 on the wafer 726.
  • the illumination parameter-modifying element 723 provides the capability of changing the illumination parameters of the beam of light 721 coming from the illuminator 700 between exposures. It is noted that the illumination parameter- modifying element 723 may be a conventional optical element that enables the varying of the illuminator aperture parameters. Moreover, the illumination parameter- modifying element 723 may be a conventional optical element that provides the capability of differentiation in illumination wavefront magnitude, illumination wavefront phase, illumination wavefront partial coherence, illumination (shape or intensity), and/or illumination polarization. Lastly, the illumination parameter- modifying element 723 may be a phase shifting element so as to shift the created pattern in Cartesian space.
  • the illumination parameter-modifying element 723 may include orthogonal dipole apertures or an illuminator-shaping element with a spatially varying phase.
  • the spatially varying phase may be adjusted continuously or discretely.
  • the illumination parameter-modifying element 723 may include an aperture with movable parts.
  • the location of the illumination parameter-modifying element 723 in Figure 17 is for illustration purposes only. The actual location of the illumination parameter-modifying element 723 in the optical path will depend on which parameter is actually be modified.
  • the dense feature mask may be replaced with a template mask that may include dense features, isolated features, or any combination thereof.
  • trim mask steps S7 and S9 may be eliminated entirely, thus producing as a final product an untrimmed set of features.
  • Such an application of the concepts of the present invention has numerous potential applications, such as in photonic structures.
  • a mask containing a two-dimensional array of dense contact features is used.
  • two dipole illuminations are used in a double exposure, with the axes of the two dipoles being orthogonal to one another.
  • the two-dimensional dense feature array exposes the resist as if it were a one-dimensional grating in a first orientation
  • this array exposes the resist as if it were a one-dimensional grating in a second orientation
  • a subsequent trim exposure is used to produce a trimmed random contact pattern from the dense feature array.
  • a wide range of useful patterns may be formed through the use of multiple illuminations of the same template mask, with different illumination parameters for each exposure of the template mask.
  • One advantage of the present invention is that the contrast and consistency benefits of using two one-dimensional gratings can be achieved while using only a single dense feature mask.
  • a further advantage of the present invention is the decrease in fabrication time because changing the illuminator settings is often much faster than replacing a mask. Also, the present invention eliminates the alignment precision required in the exposure of two masks or a rotating mask.
  • the present invention extends optical lithography resolution limits with respect to imaging a random contact array.
  • the present invention may be readily inserted into an existing process, and in some cases, provide immediate throughput and/or resolution enhancement for manufacturers already using dense only contact lithography methods.
  • the concepts of the present invention are also readily applicable to other RET approaches.
  • the thrust of the concepts of the present invention is directed to the formation of a desired image from the multiple exposure of the same feature photomask.

Abstract

A method forms a feature pattern on a substrate by exposing the substrate (726 of Figure 17), using a mask (722 of Figure 17) having a pattern of features (832 of Figure 17) thereon, with illumination having a first set of settings (23 of Figure 17). The substrate is exposed a second time, using the same mask having the pattern of features thereon, with illumination having a second set of settings (723 of Figure 17). The mask having the pattern of features thereon remains stationary between the two illumination exposures of the substrate.

Description

A METHOD FOR PHOTOLITHOGRAPHY USING MULTIPLE ILLUMINATIONS AND A SINGLE FINE FEATURE MASK
GOVERNMENT RIGHTS NOTICE The present invention was made with government support under Grant
(Contract) Number, F19628-00-C-0002, awarded by the United States Air Force. The Government has certain rights to this invention.
PRIORITY INFORMATION The present patent application claims priority under 35 U.S.C. § 119 from U.S.
Provisional Patent Application Serial Number 60/383,972 filed on May 29, 2002. The entire contents of U.S. Provisional Patent Application Serial Number 60/383,972 filed on May 29, 2002 are hereby incorporated by reference.
FIELD OF THE PRESENT INVENTION
The present invention is directed to the photolithographic fabrication of fine features on using a fine feature mask. More particularly, the present invention is directed to a process and methodology of photolithographically fabricating fine features using multiple illuminations of a same feature mask
BACKGROUND OF THE PRESENT INVENTION In the semiconductor industry, there is a continuing effort to increase device density by scaling device size. The workhorse patterning technology over the past several decades has been optical lithography due to its high throughput and mature infrastructure. Conventional scaling of optical lithography is growing increasingly difficult as feature sizes continue to drop further below the available exposure wavelengths ushering in an era of "subwavelength" lithography. This situation is not likely to change in the future as commercially required feature sizes are shrinking much faster that the wavelengths of new exposure tools. Sub- wavelength lithography has been enabled by the semiconductor industry by the introduction of a variety of optical resolution enhancement techniques (RETs) including optical proximity correction (OPC), off-axis illumination (OAI) and phase shift masks (PSMs). Phase shift mask methods offer the greatest resolution enhancement potential. Although increasing resolution, these RET methods can substantially increase mask costs which are difficult to amortize over moderate to low volume wafer production runs.
It is the purpose of this invention to offer a novel method of producing dense contact features. This method will allow the cost amortization of expensive RET photomasks over moderate to low production volumes. This method will also enhance resolution and increase the process latitude of fabricating dense contact patterns that are amoung the most difficult levels to pattern in the semiconductor industry. - In order to form small-dimensioned features, a variety of phase-shifting techniques have been proposed. In some of these methods, features are defined by forming open regions in an opaque layer on a mask or reticle (referred to generally as "mask" herein). The open regions transmit substantially all radiation incident thereon. Near or surrounding these open regions are phase-shifters that also transmit some or all of the radiation incident thereon, but which shift the phase of the radiation approximately 180° relative to the openings forming the features. In this way, the radiation from the phase shifter destructively interferes with the radiation from the field regions, providing enhanced contrast at the feature's edge.
In this process, a mask, or "reticle," includes a semiconductor circuit layout pattern typically formed of opaque chrome, on a transparent glass (typically Siθ2) substrate. A stepper, which includes a light source and optics/lenses, projects light coming through the reticle to image the circuit pattern, typically with a 4X to 5X reduction factor, on a photo-resist film formed on a silicon wafer. The term chrome refers to an opaque masking material that is typically but not always comprised of chrome. The transmission of the opaque material may also vary such as in the case of an attenuating phase shift mask. It is further noted that the relative phase of the transmitted light may vary, as in the case of a phase shift mask.
Figure 1 is an example of a conventional optical projection lithography apparatus. As illustrated in Figure 1, the optical projection lithography apparatus includes a light source 20, a photomask 22, and reduction optics 24. A wafer 26, having a layer of photo-resist 28 thereon, is placed within the optical projection lithography apparatus, and the light-source 20 generates a beam of light 21 that is incident upon the photomask 22. The reduction optics 24 reduces the light beam to cause a pattern 30 that exposes the photo-resist layer 28, creating the pattern 30 of reacted material in the resist layer 28. In this manner, a pattern 32, provided on the mask 22, is transferred to the photo-resist layer 28 on the wafer 26.
The photo-resist pattern 30 is then transferred to the underlying wafer 26 through standard etching processes using standard semiconductor fabrication techniques. Both positive and negative tone resists can be used to produce either positive or negative images of the mask pattern on the wafer.
Figure 2 is a further example of a conventional photolithography methodology. As illustrated in Figure 2, a mask 100 comprises a first region 101, which may be referred to as the 0° phase and a second region 102 which may be referred to as the 180° phase. As shown, the second region 102 is adjacent to the first region 101 along interface 105. Intensity curve 110 shows the intensity of radiation at the image plane, I, as a fraction of the intensity incident on the mask 100, 10.
As shown, the intensity 111 underneath region 101 away from the interface 105 is nearly equal to the intensity incident on region 101. Similarly, the intensity 112 underneath section 102 away from interface 105 is nearly equal to the intensity incident on region 102. However, underneath the interface 105 there is a sharp drop 115 in the intensity at the image plane due to the destructive interference between the radiation transmitted through regions 101 and 102.
The exposure conditions can be adjusted such that the portion of the photosensitive layer underneath interface 105 is substantially unexposed, while portions of the photosensitive layer under regions 101 and 102 away from interface 105 are substantially exposed. In the case of a positive photoresist layer, after exposure and development, a thin line of photoresist will remain in the region underneath interface 105, while the remainder of the photoresist layer will be removed.
In the case of a negative photoresist layer, after exposure and development, the unexposed region underneath interface 105 will be removed while photoresist under the remainder of the photosensitive layer will be hardened, and will remain after development. Thus, this conventional methodology method may be used to form a narrow line in a positive photoresist layer or a narrow opening in a negative photoresist layer.
In one conventional method, as described in US Patent Number 5,635,316 and US Patent Number 5,766,829, a photosensitive layer is exposed to a first reticle having a pattern of parallel lines defined by alternating phase regions to form a first set of parallel features. Then, the photosensitive layer is exposed to a second reticle having a pattern of parallel lines defined by alternating phase regions to form a first set of parallel features which are arranged substantially orthogonal to those features formed by the first reticle. As a result of these two exposures, a small dimension latent image is formed at every intersection of the first and second sets of features. Finally, a third exposure is performed using a standard trim or contact mask to expose those latent images where no contacts are desired. This method can also form contact hole or pillar features depending on the resist tone used (positive for pillars, negative for contact holes).
A schematic example of simulated results of a single exposure conventional photolithographic process is further illustrated in Figures 3 and 4. In this simulation, a dense array of contact holes features is the desired result. Figure 3 illustrates an example of a mask, (chromeless phase shift mask) having 180° phase region(s) 210 and a plurality of 0° phase regions 200, that can be used to exposed a substrate to realize a dense array of contact hole features. This mask, in this example, has a constant feature pitch of 250 nm.
The imaging performance of the mask of Figure 3 was simulated for a typical conventional lithography process with a 248 nm stepper wavelength, an NA (numerical aperture) of 0.6, and annular illumination with partial coherence <j\ = 0.6,σo = 0.8, wherein a dense contact grid is subjected to a single exposure. The resulting image for the simulation region 220 of Figure 3 is defined by the schematic illustration of Figure 4. As noted below, the Figures used to in this application are not necessarily to scale, and thus, as set forth above, it is further noted that the features illustrated in Figure 3 should be set apart by a constant feature pitch.
As illustrated in Figure 4, the resulting image for the simulation region 220 is a plurality of areas 240 of high intensity peaking at high intensity sections 241 and a plurality of areas 200 of low intensity bottoming out at low intensity sections 201. In this simulation, the peak intensity was 0.58 I0 and the minimum intensity was 0.37 I0, wherein I0 is the original intensity of the light incident upon the mask. Thus, the contrast of this exemplary conventional photolithographic process is 0.21 (0.58 - 0.37) that is relatively low for a manufacturable process.
It is further noted that in any optical lithography technique, the resulting optical image intensity is a function of the proximity of features. Contrast is lost as feature pitch values decrease. As a result, the resulting size of features located in densely populated regions can be different than the size for those features that are isolated from the densely populated features. This is known as the "optical proximity" effect. With respect to optical proximity effect, the critical dimension of features depends on feature density. Moreover, optical proximity effects can become more severe in sub-wavelength lithography. The optical proximity effects can result in dense lines 261 and isolated line 262 on wafer 260 being printed with different sizes, even if the same size on the mask, as illustrated in Figure 10, or dense contacts 263 and isolated contact 264 on wafer 260 being printed with different sizes, even if the same size on the mask, as illustrated in Figure 11. Since the performance and yield of the circuit depends on the size and size tolerance of the gates and contacts, this is an undesirable result.
Spatial frequency effects are caused by the "low-pass filter" behavior of a projection lithography lens wherein high spatial frequencies do not pass through the lens. This results in corner rounding and line end shortening. An example of this effect is illustrated in Figure 12. As illustrated in Figure 12, a desired image is represented by mask 2200, but the actual image pattern 265 on the wafer is shortened and rounded. To compensate for optical proximity and spatial frequency effects, additional features have been conventionally introduced on the mask that can involve both printable as well as sub-resolution elements. In these methods, extra features such as serifs, mousebites, hammerheads, and scattering bars are added to the mask features in order to correct for optical proximity effects and other spatial frequency effects. These conventional methods involve sophisticated algorithms with very large data size, as different corrections are required for each separation distance between the features. For this reason, conventional feature size correction ("OPC" or optical proximity correction) is a costly and time-consuming process. Such methods also add substantial mask fabrication complexity. This can lower mask yield and increase mask cost.
Notwithstanding, the conventional methods described above present various drawbacks.
For example, in some of the conventional methods described above, which use a crossed double exposure of two phase shift grating masks to make contact arrays, the process requires the moving in and out of two different masks or reticles of the optical path or one mask requiring rotation between exposures to produce a two- dimensional pattern prior to the trimming process. This requirement of two different masks or one rotating mask introduces alignment problems into the photolithographic process that must be overcome.
Furthermore, the use the two different masks or one rotating mask to produce a two-dimensional pattern prior to trimming introduces a significant time delay between exposures so as to allow the precise alignment the masks or rotated mask prior to exposure. In the conventional method described above, which uses a phase shift mask requiring only one exposure to make the dense contact array, the conventional process results in very low contrast for the dense contact features.
Lastly, the conventional methodologies, as described above, often utilize annular or other types of off-axis illumination that provides insufficient contrast for very dense contact features.
Therefore, it is desirable to provide a photolithographic process that can produce dense two-dimensional features without introducing alignment problems or significant time delays in the fabrication process. Furthermore, it is desirable to provide a photolithographic process that provides enhanced contrast properties. Moreover, it is desirable to develop an imaging method that can produce dense two-dimensional features without introducing alignment problems or significant time delays in the fabrication process while mitigating optical proximity and spatial frequency effects without adding complex optical proximity correction features to the mask, while preserving the resolution enhancement aspects required by sub-wavelength lithography.
SUMMARY OF THE PRESENT INVENTION
One aspect of the present invention is a method of forming a feature pattern on a substrate. The method exposes the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings and exposes the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings.
A second aspect of the present invention is a method for defining multiple fine features in a resist. The method exposes the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings; exposes the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings; and sub-threshold exposes the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
A third aspect of the present invention is a method of forming a feature pattern on a substrate. The method exposes the substrate, using a mask having a pattern of features thereon, with X-dipole illumination and exposes the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination.
A fourth aspect of the present invention is a method for defining multiple fine features in a resist. The method exposes the substrate, using a mask having a pattern of features thereon, with X-dipole illumination; exposes the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination; and sub- threshold exposes the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
A fifth aspect of the present invention is a method for photolithographically forming a wide range of useful patterns. The method illuminates a same template mask multiple times. Each exposure of the same template mask has different illumination parameters.
A sixth aspect of the present invention is a method of forming a feature pattern on a substrate. The method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; adds a phase shifter to the illuminator; and exposes the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter. The phase shifter enables the pattern upon substrate to be shifted in Cartesian space with fine resolution.
Another aspect of the present invention is a method of forming an interdigitated pattern on a substrate. The method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; adds a phase shifter element to an optical path of the illuminator; and exposes the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter element in the optical path thereof, the phase shifter shifting the pattern upon substrate in Cartesian space to produce the interdigitated pattern.
A further aspect of the present invention is a method of forming a feature pattern on a substrate. The method exposes the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator having the phase shifter element in the optical path thereof, the phase shifter element enabling the pattern to be aligned upon a substrate in Cartesian space with fine resolution.
Another aspect of the present invention is an illuminator for a lithography apparatus. The illuminator includes a light source and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
A further aspect of the present invention is a photolithographic exposure system. The photolithographic exposure system included an illuminator, a projection optics system, and a substrate stage. The illuminator further includes a light source and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention may take form in various components and arrangements of components, and in various steps and arrangements of steps. The drawings are only for purposes of illustrating a preferred embodiment or embodiments and are not to be construed as limiting the present invention, wherein:
Figure 1 is a schematic diagram of the lithography apparatus in accordance with the present invention; Figure 2 is an illustration of an intensity profile obtained by phase-edge photolithography;
Figure 3 is an illustration of a dense array of dense contact hole features;
Figure 4 is an illustration of an aerial image of a contact mask with annular illumination; Figure 5 is an illustration of a dense contact mask imaged by X-dipole illumination in accordance with the concepts of the present invention;
Figure 6 is an illustration of a dense contact mask imaged by Y-dipole illumination in accordance with the concepts of the present invention;
Figure 7 is a simulation of the exposure illumination intensities that are experienced by a substrate or contact mask as a result of a double exposure summing of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention;
Figure 8 is an illustration of aerial image intensities of the resulting image of Figure 7 in accordance with the concepts of the present invention;
Figure 9 is a flowchart illustrating the exposure methodology of a substrate in accordance with the concepts of the present invention;
Figure 10 is an illustration of an optical proximity effect with respect to fabricating lines; Figure 11 is an illustration of an optical proximity effect with respect to fabricating contact holes or pillars;
Figure 12 is an illustration of a spatial frequency effect with respect to fabricating lines;
Figures 13-16 are top views of various dense-feature mask pattern configurations in accordance with the present invention; and
Figure 17 is a block diagram illustrating an illumination source that varies the illumination parameters between subsequent exposures of the same mask according to the concepts of the present invention.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
The present invention will be described in connection with preferred embodiments; however, it will be understood that there is no intent to limit the present invention to the embodiments described herein. On the contrary, the intent is to cover all alternatives, modifications, and equivalents as may be included within the spirit and scope of the present invention as defined by the appended claims.
For a general understanding of the present invention, reference is made to the drawings. In the drawings, like reference numbering has been used throughout to designate identical or equivalent elements. It is also noted that the various drawings illustrating the present invention are not drawn to scale and that certain regions have been purposely drawn disproportionately so that the features and concepts of the present invention could be properly illustrated.
The present invention is directed to an imaging approach that overcomes the limitations of the conventional techniques, and confers a number of advantages. It addresses the problems of alignment problems or significant time delays in the fabrication process while mitigating optical proximity and spatial frequency effects without adding complex optical proximity correction features to the mask, while preserving the resolution enhancement aspects required by sub-wavelength lithography. In the following description, the phrase, "lines," refers to either the trenches or the raised areas; e.g., plateaus; on a wafer. Moreover, the phrase, "contacts," refers to either the holes or pillars on a wafer. The described photoresists may either be a negative tone or a positive tone. The descriptions are applicable to either positive or negative imaging of the wafer or substrate. With respect to spatial frequency effects and optical proximity effects, any image that is lithographically exposed can be thought of in Fourier space, where components of various spatial frequencies sum to form the complete image. The lens acts as a low-pass filter because it has a finite aperture. Spatial frequency effects cause corner rounding and line-end shortening because higher diffraction orders are filtered out, but the first diffraction order typically passes through the lens unfiltered. Optical proximity effects cause the same features spatially apart from each other on a substrate to realize a size differential even though these features were formed using the same mask. This effect is typically described quantitatively in terms of critical dimension versus pitch. Lastly, the phrase, "dense features," refers to an area on the substrate having a multitude of features positioned very closely to each other.
In the present invention, a mask is provided including a dense repetitive structure of features that results in a large array of densely populated features on the film or substrate. The pattern of dense features may be locally or globally periodic. The mask is designed to print dense features near the resolution limit of the lithography stepper used, thus defining a pattern "grid." The fine features (such as transistor gates and contacts) are laid out on this grid. The allowed feature grid locations correspond to feature locations on the dense feature mask.
In this manner, only a single dense feature template is required for generating any of a number of different circuits and patterns. The re-usability of the template mask is desirable since this is often the most difficult and expensive mask to fabricate. This is especially true if the template mask is a phase-shift mask. A phase-shift mask is capable of imaging dense features very close to the Rayleigh limit for optical projection steppers.
Figure imgf000012_0001
As noted above, Figure 1 is a schematic block diagram of a conventional optical projection lithography apparatus. The conventional optical projection lithography apparatus includes a light source 20, a photomask 22, and reduction optics 24. A wafer 26 having a layer of photoresist 28 is presented to the conventional optical projection lithography apparatus, and the light-source 20 generates a beam of light 21 that is incident upon the photomask 22 and reduced by reduction optics 24 to cause a pattern 30 to be exposed in the photoresist layer 28. In this manner, a pattern 32 provided on the mask 22 is transferred to the photoresist layer 28 on the wafer 26. As noted above, the conventional photolithographic processes introduced alignment problems and time delays as a result of using two different masks or a rotated mask to generate dense two-dimensional image features. To address these problems, the concepts of the present invention propose modifying the properties of the illumination while maintaining the mask in a stationary position over the substrate between exposures. Figure 9 illustrates a flowchart of this concept. As shown in Figure 9, the first step, SI, is to position the desired dense feature template over the substrate to be exposed or imaged. After the desired dense feature template mask is positioned over the substrate to be exposed or imaged, the substrate is exposed with a first set of illumination settings at step S3.
In a preferred embodiment of the present invention, the substrate is exposed by X-dipole illumination at step S3. Figure 5 illustrates a contact mask imaged by X- dipole illumination, for one set of partial coherence and mask parameters, in accordance with the concepts of the present invention. In the example illustrated by Figure 5, the X-dipole illumination having a partial coherence of σi/σo =0.3/0.8 produces an image that has a constant intensity along a Y position on the mask and has an intensity that periodically varies in value in a substantially discrete manner between a normalized maximum intensity 0.75 (600) and a normalized minimum intensity of 0.26 (500) along an X position on the mask, resulting in a normalized contrast value of 0.49. Moreover, in the example illustrated by Figure 5, the relevant mask parameters are a pattern of 250 nm pitch chromeless phase shift contacts, and the relevant stepper parameters are a 248nm wavelength and NA=0.6.
It is noted that the normalized contrast values of the Figures are for illustrative purposes only and do not imply anything about the achievable contrast using the method according to the concepts of the present invention.
The mask remains at its current position. The mask is not rotated, arid the mask is not swapped out so that a new mask having a different orientation is positioned over the substrate to be exposed or imaged.
Using the same mask (in the same orientation), at step S5, the substrate is exposed with a second set of illumination settings, the second set of illumination settings being different from the first set of illumination settings.
In a preferred embodiment of the present invention, the substrate is exposed by Y-dipole illumination at step S5. Figure 6 illustrates a contact mask imaged by Y- dipole illumination, for one set of partial coherence and mask parameters, in accordance with the concepts of the present invention. As shown in Figure 6, the Y- dipole illumination having a partial coherence of σt/σo =0.3/0.8 produces an image that has a constant intensity along an X position on the mask and has an intensity that periodically varies in value in a substantially discrete manner between a normalized maximum intensity 0.75 (600) and a normalized minimum intensity of 0.26 (500) along a Y position on the mask, resulting in a normalized contrast value of 0.49. Moreover, in the example illustrated by Figure 6, the relevant mask parameters are a pattern of 250 nm pitch chromeless phase shift contacts, and the relevant stepper parameters are a 248nm wavelength and NA=0.6.
Upon completion of step S5, the substrate has imaged thereon a pattern of dense two-dimensional features, such as potential contacts. Figure 7 illustrates a simulation 420 of the exposure illumination intensities that are experienced by a substrate or contact mask as a result of a double exposure of an X-dipole illumination having a partial coherence of σi/σo =0.3/0.8 and a Y-dipole illumination having a partial coherence of σi/σo =0.3/0.8, in accordance with the concepts of the present invention. Moreover, in the example illustrated by Figure 7, the relevant mask parameters are a pattern of 250 nm pitch chromeless phase shift contacts, and the relevant stepper parameters are a 248nm wavelength and NA=0.6.
As shown in Figure 7, the double exposure of an X-dipole illumination and a Y-dipole illumination produces a dense pattern of illumination intensity areas (440 and 400) that two-dimensionally periodically varies in value in a substantially discrete manner between a normalized maximum intensity of 0.75 (401) and a normalized minimum intensity of 0.26 (441).
Figure 8 illustrates another perspective of the results of the double exposure of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention. More specifically, Figure 8 illustrates image intensities normalized along a X-direction (430 of Figure 7). As can be seen from this graphical illustration, the double exposure of an X-dipole illumination and a Y-dipole illumination in accordance with the concepts of the present invention produces a varying intensity pattern having a pitch of about 250 nm. This enables the present invention to produce dense feature patterns, such as contacts, using a single dense feature mask, which is not moved or rotated between exposures; only the illumination settings are changed between exposures.
The imaged pattern can, optionally, be modified to remove any unwanted features, in such a situation, a trim mask can then be positioned over the substrate to be exposed or imaged at step S7. Thereafter, the trim mask and substrate are exposed at step S9 to remove any unwanted features.
In the example set forth above, the different illuminations correspond to a X- dipole illumination and a Y-dipole illumination; however, these differences in the illuminations are not restricted to dipole illumination differences. More specifically, examples of various differences in illumination are, but are not limited to, illuminator aperture design, illumination wavefront magnitude, illumination wavefront phase, illumination wavefront partial coherence, illumination wavelength, and/or illumination polarization.
In a preferred embodiment of the present invention, the dense-feature mask 22 is a phase-shift mask comprising a pattern of periodic features. The phase-shift mask 22 may be formed of, for example, fused SiO2. Periodic trenches can be are formed in the mask 22 to provide an interference pattern upon illumination that results in the desired photoresist pattern on the wafer or substrate. The present invention is also applicable to other types of phase-shift masks such as alternating aperture (AAPSM) or attenuating phase-shifters (APSM).
An attenuating phase shift mask (APSM) is a phase shift mask in which there are multiple regions. A set of regions is included for which there is a relative phase shift of zero degrees and a transmissivity between zero and one. Other sets of regions have a phase shift typically of about 180 degrees and a transmissivity between zero and one. In some applications, attenuating phase shift masks with phase shifts between zero and ±180 degrees may be used.
Moreover, the present invention can be utilized with a variety of masks such as illustrated in Figures 13-16. With reference to Figures 13-16, the line features 23A, 23B, and 23C can be formed in a variety of configurations. In the configuration of Figure 13, horizontal line features 23 A are formed parallel to each other in the X- direction, while in Figure 14, vertical line features 23B are formed parallel to each other in the Y-direction. The mask shown in Figure 15 includes features formed in a horizontal orientation 23A in a first region of the mask 22 and features formed in a vertical orientation 23B in a second region of the mask 22.
Note, however, that in alternative embodiments, the features may be formed in other patterns, including locally regular patterns. For example, in the mask of Figure 16, a unique feature pattern 23C is employed. Other such unique combinations of patterns are applicable to the present invention. Moreover, if a standard dense feature mask is used to generate the dense features pattern with a given critical dimension, the present invention is immune to optical proximity effects. More specifically, assuming the original dense feature mask has a more complex pattern, such as the "L"-shaped pattern 23C of Figure 16, as these features become small, sharp features, such as comers and line-ends tend to become distorted. For this reason, various forms of simple optical proximity correction or spatial frequency correction features may be configured directly into the dense feature mask template in order to pre-distort the template pattern. For example, well-known techniques such as hammer-heads, serifs, and mouse bites may be added to the comer features so that the exposed final feature resembles the desired feature. By incorporating the optical proximity correction and/or spatial frequency correction directly in the dense feature mask, the standard features are automatically corrected on the dense feature mask rather than on the design-specific trim mask and interconnect mask, thereby providing an economically efficient solution to the optical proximity correction issue. As contemplated by the present invention, it is noted that by combining the concepts of the present invention with conventional optical proximity and spatial frequency correction techniques, economical solutions to many lithography problems may be devised. For example, one potential economic benefit of the present invention is the decreased nonrecurring cost of the mask. In other words, the present invention provides the advantage in mask cost amortization.
Furthermore, the present invention can also be utilized with a dense feature mask having sub-resolution gratings. In this embodiment, printable features of the dense feature mask, when double exposed using dipole illumination, result in fine features formed on the wafer, while the sub-resolution features of the phase-shift mask result in solid patterns on the wafer. Through the use of a trim mask, these solid patterns can be trimmed appropriately so as to form interconnects between the trimmed fine features.
Also, the present invention can produce dense one-dimensional arrays, useful for generating device gate patterns, by utilizing a single dense two-dimensional fine feature array and a single dipole illumination.
An alternative embodiment of the present invention may use either a grating mask or a general mask, which is exposed with various illuminator settings to selectively create either a negation or modulation of features occurring in frequency space. For a grating mask example, this allows the resulting grating pattern to be controllably shifted in Cartesian space with good position precision with respect to the substrate origin. This is a useful capability when decomposing a pattern into multiple exposures.
More specifically, as an example of this alternative embodiment of the present invention, a phase shifter can be added to one of the elements of the illuminator or added to the optical path of the illuminator. The addition of the phase shifter enables the pattern to be shifted in Cartesian space with fine precision. This is particularly important in applications directed to the fine or precise alignment of the exposure pattern. The alignment can be controlled without physically moving the mask or wafer, thereby enabling a finer resolution of positioning of the pattern upon the substrate being exposed. Interdigitated patterns can also be formed by using custom illumination (with phase shifter) to shift the pattern between 1st and 2nd exposures.
More specifically, in this alternative embodiment, the addition of the phase shifter in the illuminator in combination with a single exposure provides a controlled shift of image, which is useful for precise alignment. On the other hand, the addition of the phase shifter in the illuminator in combination with a double exposure provides a controlled image shift that can produce interdigitated patterns to increase printable pattern density. Thus, the addition of the phase shifter, in this embodiment of the present invention, provides two benefits, precise alignment or increased printable pattern density, depending upon the exposure environment.
Figure 17 is a schematic block diagram of the optical projection lithography apparatus according to the concepts of the present invention described above with respect to varying the illumination parameters between exposures of the same mask. The optical projection lithography apparatus of Figure 17, according to the concepts of the present invention, includes an illuminator 700 having a light source 720 and an illumination parameter-modifying element 723. The optical projection lithography apparatus of Figure 17 further includes a photomask 722 and reduction optics 724. A wafer 726 having a layer of photoresist 728 is presented to the optical projection lithography apparatus via a conventional substrate stage (not shown). The illuminator 700, using light-source 720 and illumination parameter-modifying element 723, generates a beam of light 721 that is operated upon the photomask 722 and reduction optics 724 to cause a pattern 730 to be exposed in the photoresist layer 728. In this manner, a pattern 732 provided on the mask 722 is transferred to the photoresist layer 728 on the wafer 726.
The illumination parameter-modifying element 723 provides the capability of changing the illumination parameters of the beam of light 721 coming from the illuminator 700 between exposures. It is noted that the illumination parameter- modifying element 723 may be a conventional optical element that enables the varying of the illuminator aperture parameters. Moreover, the illumination parameter- modifying element 723 may be a conventional optical element that provides the capability of differentiation in illumination wavefront magnitude, illumination wavefront phase, illumination wavefront partial coherence, illumination (shape or intensity), and/or illumination polarization. Lastly, the illumination parameter- modifying element 723 may be a phase shifting element so as to shift the created pattern in Cartesian space.
Moreover, the illumination parameter-modifying element 723 may include orthogonal dipole apertures or an illuminator-shaping element with a spatially varying phase. The spatially varying phase may be adjusted continuously or discretely. Lastly, the illumination parameter-modifying element 723 may include an aperture with movable parts.
It is further noted that the location of the illumination parameter-modifying element 723 in Figure 17 is for illustration purposes only. The actual location of the illumination parameter-modifying element 723 in the optical path will depend on which parameter is actually be modified.
In an additional embodiment, the dense feature mask may be replaced with a template mask that may include dense features, isolated features, or any combination thereof.
In an additional embodiment, the trim mask steps S7 and S9 may be eliminated entirely, thus producing as a final product an untrimmed set of features. Such an application of the concepts of the present invention has numerous potential applications, such as in photonic structures.
In summary, in a particular embodiment according to the concepts of the present invention, a mask containing a two-dimensional array of dense contact features is used. To produce a well-balanced dense contact grid in the photoresist, two dipole illuminations are used in a double exposure, with the axes of the two dipoles being orthogonal to one another.
In_other words, in the first exposure illumination, the two-dimensional dense feature array exposes the resist as if it were a one-dimensional grating in a first orientation, and in the second exposure illumination, this array exposes the resist as if it were a one-dimensional grating in a second orientation.
A subsequent trim exposure is used to produce a trimmed random contact pattern from the dense feature array. In general, a wide range of useful patterns may be formed through the use of multiple illuminations of the same template mask, with different illumination parameters for each exposure of the template mask.
One advantage of the present invention is that the contrast and consistency benefits of using two one-dimensional gratings can be achieved while using only a single dense feature mask. A further advantage of the present invention is the decrease in fabrication time because changing the illuminator settings is often much faster than replacing a mask. Also, the present invention eliminates the alignment precision required in the exposure of two masks or a rotating mask.
Lastly, the present invention extends optical lithography resolution limits with respect to imaging a random contact array. The present invention may be readily inserted into an existing process, and in some cases, provide immediate throughput and/or resolution enhancement for manufacturers already using dense only contact lithography methods.
Although the various examples discussed above with respect to the present invention have utilized a phase-shift mask or equivalent structure, the concepts of the present invention are also readily applicable to other RET approaches. In other words, the thrust of the concepts of the present invention is directed to the formation of a desired image from the multiple exposure of the same feature photomask.
While the present invention has been particularly shown and described' with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and detail may be made herein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims

What is claimed is:
L A method of forming a feature pattern on a substrate, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings; and (b) exposing the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings.
2. The method as claimed in claim 1, further comprising: (c) exposing the substrate to trim portions of the pattern on the substrate.
3. The method as claimed in claim 1, where the pattern of features corresponds to a template pattern of dense features.
4. The method as claimed in claim 1, wherein the mask having a pattern of features thereon remains stationary between the exposure of the substrate by the illumination having the first set of settings and the exposure of the substrate by the illumination having the second set of settings.
5. The method as claimed in claim 1, wherein the pattern of features is a pattern of regular dense features.
6. The method as claimed in claim 1, wherein the pattern of features is a pattern of dense features of a predetermined pitch and critical dimension.
7. The method as claimed in claim 1, wherein the pattern of features is a pattern of regular dense features of a predetermined pitch and critical dimension.
8. The method as claimed in claim 1, wherein the mask is a phase-shift mask.
9. The method as claimed in claim 8, wherein the phase-shift mask includes ' globally periodic features.
10. The method as claimed in claim 8, wherein the phase-shift mask includes locally periodic features.
11. The method as claimed in claim 8, wherein the phase-shift mask includes printable features, corresponding to features desired on the substrate, and sub- resolution^ features.
12. The method as claimed in claim 11, wherein the sub-resolution features of the phase-shift mask, when used to exposure the substrate, result in the formation of solid patterns on the substrate.
13. The method as claimed in claim 1, wherein any exposure of the substrate consists of a sequence of sub-threshold and/or above threshold exposures.
14. The method as claimed in claim 13, in which a sub-threshold exposure is used to locally modify the critical dimension produced by exposing the substrate to provide a pattern of regular dense features.
15. The method as claimed in claim 1, wherein the mask is an attenuating phase-shift mask.
16. The method as claimed in claim 1, wherein the mask is a chrome mask.
17. The method as claimed in claim 8, wherein the phase-shift mask includes optical proximity correction.
18. The method as claimed in claim 8, wherein the phase-shift mask includes
spatial frequency correction.
19. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in illuminator aperture design.
20. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in illumination wavefront magnitude.
21. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in illumination wavefront phase.
22. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in illumination wavefront partial coherence.
23. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in wavelength.
24. The method as claimed in claim 1, wherein the first set of settings and the second set of settings differ in polarization.
25. The method as claimed in claim 1, wherein the first set of settings includes using a phase shifter in the illuminator so as to shift the created pattern in Cartesian space.
26. The method as claimed in claim 1, wherein the second set of settings includes using a phase shifter in the illuminator so as to shift the created pattern in Cartesian space.
27. The method as claimed in claim 1, wherein the pattern of features is a pattern of dense holes.
28. The method as claimed in claim 1, wherein the pattern of features is a pattern of dense pillars.
29. A method for defining multiple fine features in a resist, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with illumination having a first set of settings; (b) exposing the substrate, using the same mask having the pattern of features thereon, with illumination having a second set of settings; and (c) sub-threshold exposing the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
30. The method as claimed in claim 29, wherein the gray-tone mask is a mask with sub-resolution features of varying pitch that produce a similar dose variation effect.
31. A method of forming a feature pattern on a substrate, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with X-dipole illumination; and (b) exposing the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination; and
32. The method as claimed in claim 31 , further comprising: (c) exposing the substrate to trim portions of the pattern on the substrate.
33. The method as claimed in claim 31, wherein the mask having a pattern of features thereon remains stationary between the exposure of the substrate by the X- dipole illumination and the exposure of the substrate by Y-dipole illumination.
34. The method as claimed in claim 31, where the pattern of features corresponds to a template pattern of dense features.
35. The method as claimed in claim 31, wherein the pattern of dense features is a pattern of regular dense features.
36. The method as claimed in claim 31, wherein the pattern of features is a pattern of dense features of a predetermined pitch and critical dimension.
37. The method as claimed in claim 31, wherein the pattern of features is a pattern of regular dense features of a predetermined pitch and critical dimension.
38. The method as claimed in claim 31, wherein the pattern of features is a pattern of dense holes.
39. The method as claimed in claim 31, wherein the pattern of features is a pattern of dense pillars.
40. The method as claimed in 31 , wherein the mask is a phase-shift mask.
41. The method as claimed in claim 40, wherein the phase-shift mask includes globally periodic features.
42. The method as claimed in claim 40, wherein the phase-shift mask includes locally periodic features.
43. The method as claimed in claim 40, wherein the phase-shift mask includes printable features, corresponding to features desired on the substrate, and sub- resolution features.
44. The method as claimed in claim 43, wherein the sub-resolution features of the phase-shift mask, when used to exposure the substrate, result in the formation of , solid patterns on the substrate.
45. The method as claimed in claim 31, wherein the mask is an attenuating phase-shift mask.
46. The method as claimed in claim 31, wherein the mask is a chrome mask.
47. The method as claimed in claim 40, wherein the phase-shift mask includes optical proximity correction.
48. The method as claimed in claim 40, wherein the phase-shift mask includes spatial frequency correction.
49. The method as claimed in claim 31, wherein the pattern of features is a pattern of dense holes.
50. The method as claimed in claim 31, wherein the pattern of features is a pattern of dense pillars.
51. The method as claimed in claim 31, wherein the X-dipole illumination includes using a phase shifter in the dipole illuminator so as to shift the created pattern in Cartesian space.
52. The method as claimed in claim 31, wherein the Y-dipole illumination includes using a phase shifter in the dipole illuminator so as to shift the created pattern in Cartesian space.
53. A method for defining multiple fine features in a resist, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with X-dipole illumination; (b) exposing the substrate, using the same mask having the pattern of features thereon, with Y-dipole illumination; and (c) sub-threshold exposing the substrate using a gray-tone mask to locally partially expose different regions of the substrate, thereby allowing for a range of critical dimensions to be defined by the feature definition exposure.
54. The method as claimed in claim 53, wherein the gray-tone mask is a mask with sub-resolution features of varying pitch that produce a similar dose variation effect.
55. A method for photolithographically forming a wide range of useful patterns, comprising: (a) illuminating a same template mask multiple times, each exposure of the same template mask having different illumination parameters.
56. The method as claimed in claim 55, wherein the different illumination parameters differ in illuminator aperture design.
57. The method as claimed in claim 55, wherein the different illumination parameters differ in illumination wavefront magnitude.
58. The method as claimed in claim 55, wherein the different illumination parameters differ in illumination wavefront phase.
59. The method as claimed in claim 55, wherein the different illumination parameters differ in illumination wavefront partial coherence.
60. The method as claimed in claim 55, wherein the different illumination parameters differ in wavelength.
61. The method as claimed in claim 55, wherein the different illumination parameters differ in polarization.
62. The method as claimed in claim 55, wherein the different illumination parameters differ by using a phase shifter in the illuminator so as to shift the created pattern in Cartesian space.
63. A method of forming a feature pattern on a substrate, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; (b) adding a phase shifter to the illuminator; and (c) exposing the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter, the phase shifter enabling the pattern upon substrate to be shifted in Cartesian space with fine resolution.
64. A method of forming an interdigitated pattern on a substrate, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator; (b) adding a phase shifter element to an optical path of the illuminator; and (c) exposing the substrate, using the same mask having the pattern of features thereon, with illumination from the illuminator having the phase shifter element in the optical path thereof, the phase shifter shifting the pattern upon substrate in Cartesian space to produce the interdigitated pattern.
65. A method of forming a feature pattern on a substrate, comprising: (a) exposing the substrate, using a mask having a pattern of features thereon, with illumination from an illuminator having the phase shifter element in the optical path thereof, the phase shifter element enabling the pattern to be. aligned upon substrate in Cartesian space with fine resolution.
66. An illuminator for a lithography apparatus, comprising: a light source; and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
67. _ The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illuminator aperture design.
68. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illumination wavefront magnitude.
69. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illumination wavefront phase.
70. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illumination wavefront partial coherence.
71. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illumination wavelength.
72. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means provides different illumination polarization.
73. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means is a phase shifter element to shift the created pattern in Cartesian space.
74. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means includes orthogonal dipole apertures.
75. The illuminator as claimed in claim 66, wherein said illumination parameter modifying means includes an illuminator shaping element with spatially varying phase.
76. The illuminator as claimed in claim 75, wherein the spatially varying phase is adjustable.
77. The illuminator as claimed in claim 75, wherein the spatially varying phase is continuously adjustable.
78. The illuminator as claimed in claim 75, wherein the spatially varying phase is discretely adjustable.
79. The illuminator as claimed in claim 75, wherein an aperture with moveable parts adjusts the spatially varying phase.
80. The illuminator as claimed in claim 68, wherein the illumination wavefront magnitude is continuously adjustable.
81. The illuminator as claimed in claim 68, wherein the illumination wavefront magnitude is discretely adjustable.
82. The illuminator as claimed in claim 69, wherein the illumination wavefront phase is continuously adjustable.
83. The illuminator as claimed in claim 69, wherein the illumination wavefront phase is discretely adjustable.
84. A photolithographic exposure system, comprising: an illuminator; a projection optics system; and a substrate stage; said illuminator including a light source and illumination parameter modifying means for varying illumination parameters between subsequent exposures of a same mask.
85. The photolithographic exposure system as claimed in claim 84, further comprising alignment means for a pattern upon a substrate.
86. The photolithographic exposure system as claimed in claim 85, wherein said alignment means is a phase shifter element within said illuminator to align the pattern in Cartesian space.
87. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illuminator aperture design.
88. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illumination wavefront magnitude.
89. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illumination wavefront phase.
90. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illumination wavefront partial coherence.
91. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illumination wavelength.
92. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means provides different illumination polarization.
93. The photolithographic exposure system as claimed in claim 84, wherein said illumination parameter modifying means is a phase shifter element to shift the created pattern in Cartesian space.
94. The illuminator as claimed in claim 84, wherein said illumination parameter modifying means includes orthogonal dipole apertures.
95. The illuminator as claimed in claim 94, wherein said illumination parameter modifying means includes an illuminator shaping element with spatially varying phase.
96. The illuminator as claimed in claim 95, wherein the spatially varying phase is adjustable.
97. The illuminator as claimed in claim 95, wherein the spatially varying phase is continuously adjustable.
98. The illuminator as claimed in claim 95, wherein the spatially varying
phase is discretely adjustable.
99. The illuminator as claimed in claim 95, wherein an aperture with moveable parts adjusts the spatially varying phase.
100. The illuminator as claimed in claim 86, wherein the illumination wavefront magnitude is continuously adjustable.
101. The illuminator as claimed in claim 86, wherein the illumination wavefront magnitude is discretely adjustable.
102. The illuminator as claimed in claim 87, wherein the illumination wavefront phase is continuously adjustable.
103. The illuminator as claimed in claim 87, wherein the illumination wavefront phase is discretely adjustable.
PCT/US2003/016862 2002-05-29 2003-05-29 A method for photolithography using multiple illuminations and a single fine feature mask WO2003102696A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003240931A AU2003240931A1 (en) 2002-05-29 2003-05-29 A method for photolithography using multiple illuminations and a single fine feature mask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US38397202P 2002-05-29 2002-05-29
US60/383,972 2002-05-29

Publications (2)

Publication Number Publication Date
WO2003102696A2 true WO2003102696A2 (en) 2003-12-11
WO2003102696A3 WO2003102696A3 (en) 2004-04-15

Family

ID=29711963

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/016862 WO2003102696A2 (en) 2002-05-29 2003-05-29 A method for photolithography using multiple illuminations and a single fine feature mask

Country Status (3)

Country Link
US (2) US6934007B2 (en)
AU (1) AU2003240931A1 (en)
WO (1) WO2003102696A2 (en)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004133427A (en) 2002-07-26 2004-04-30 Asml Masktools Bv Alignment dependent shield used with dipole illumination technology
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
EP1467252A1 (en) * 2003-04-07 2004-10-13 ASML Netherlands B.V. Device manufacturing method and mask set for use in the method
US20050008942A1 (en) * 2003-07-08 2005-01-13 Yung-Feng Cheng [photomask with internal assistant pattern forenhancing resolution of multi-dimension pattern]
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7253885B2 (en) * 2003-12-05 2007-08-07 Canon Kabushiki Kaisha Wavelength selecting method, position detecting method and apparatus, exposure method and apparatus, and device manufacturing method
US6960775B1 (en) * 2004-04-13 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
TWI334962B (en) * 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
TWI299429B (en) * 2005-10-06 2008-08-01 Promos Technologies Inc Method of exposure
US20070148558A1 (en) * 2005-12-27 2007-06-28 Shahzad Akbar Double metal collimated photo masks, diffraction gratings, optics system, and method related thereto
KR100721205B1 (en) * 2006-04-21 2007-05-23 주식회사 하이닉스반도체 Pattern decomposition and optical proximity effect correction method for double exposure
JP2008071838A (en) * 2006-09-12 2008-03-27 Nec Electronics Corp Method for manufacturing semiconductor device
US20080085471A1 (en) * 2006-10-10 2008-04-10 Anderson Brent A Photolithographic method using multiple photoexposure apparatus
US7794921B2 (en) * 2006-12-30 2010-09-14 Sandisk Corporation Imaging post structures using x and y dipole optics and a single mask
DE102007033243A1 (en) * 2007-07-12 2009-01-15 Carl Zeiss Sms Gmbh Method and device for analyzing a group of photolithography masks
KR101057186B1 (en) * 2008-04-25 2011-08-16 주식회사 하이닉스반도체 A phase inversion mask for a double patterning technique and a wafer exposure method using the same.
US8221635B2 (en) * 2009-03-03 2012-07-17 Raytheon Company Process for multiple platings and fine etch accuracy on the same printed wiring board
US8153522B2 (en) * 2010-03-02 2012-04-10 Micron Technology, Inc. Patterning mask and method of formation of mask using step double patterning
US8512938B2 (en) 2010-06-14 2013-08-20 Micron Technology, Inc. Methods of forming a pattern in a material and methods of forming openings in a material to be patterned
US8495528B2 (en) 2010-09-27 2013-07-23 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
FR2972293A1 (en) * 2011-03-04 2012-09-07 St Microelectronics Crolles 2 METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT ON THE FORMATION OF LINES AND SLICES
CN102736422B (en) * 2011-03-31 2015-07-22 上海微电子装备有限公司 Proximity field exposure device and method
US8656319B2 (en) * 2012-02-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction convergence control
US8871596B2 (en) 2012-07-23 2014-10-28 International Business Machines Corporation Method of multiple patterning to form semiconductor devices
US8927198B2 (en) 2013-01-15 2015-01-06 International Business Machines Corporation Method to print contact holes at high resolution
US8993217B1 (en) 2013-04-04 2015-03-31 Western Digital (Fremont), Llc Double exposure technique for high resolution disk imaging

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539568A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Method of exposing a light sensitive material
EP0997781A1 (en) * 1998-10-27 2000-05-03 Canon Kabushiki Kaisha Exposure method
EP1091252A2 (en) * 1999-09-29 2001-04-11 Asm Lithography B.V. Lithographic method and apparatus
US6296987B1 (en) * 1999-10-20 2001-10-02 United Microelectronics Corp. Method for forming different patterns using one mask
US20020036762A1 (en) * 1997-01-27 2002-03-28 Nikon Corporation Projection exposure method and apparatus
US20020045136A1 (en) * 2000-09-13 2002-04-18 Michael Fritze Method of design and fabrication of integrated circuits using regular arrays and gratings

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5636316A (en) * 1990-12-05 1997-06-03 Hitachi, Ltd. Picture signal digital processing unit
US5415835A (en) 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5766829A (en) 1995-05-30 1998-06-16 Micron Technology, Inc. Method of phase shift lithography
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5815247A (en) 1995-09-21 1998-09-29 Siemens Aktiengesellschaft Avoidance of pattern shortening by using off axis illumination with dipole and polarizing apertures
FR2742047B1 (en) * 1995-12-06 1998-01-16 Oreal KERATINIC FIBER DYEING COMPOSITIONS CONTAINING N-SUBSTITUTED DERIVATIVES OF 4-HYDROXY INDOLINE, NEW DERIVATIVES, THEIR SYNTHESIS METHOD, THEIR USE FOR DYEING, AND THE DYEING METHOD
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6534242B2 (en) * 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation
US6930754B1 (en) * 1998-06-30 2005-08-16 Canon Kabushiki Kaisha Multiple exposure method
US6287732B1 (en) 1999-07-19 2001-09-11 Marc David Levenson Generic phase shift masks
JP3631094B2 (en) * 2000-03-30 2005-03-23 キヤノン株式会社 Projection exposure apparatus and device manufacturing method
US6563566B2 (en) 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6875545B2 (en) * 2001-11-28 2005-04-05 Asml Masktools B.V. Method of removing assist features utilized to improve process latitude
US6839126B2 (en) * 2002-01-03 2005-01-04 United Microelectronics Corp. Photolithography process with multiple exposures
JP3938694B2 (en) * 2002-01-17 2007-06-27 Necエレクトロニクス株式会社 Pattern formation method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539568A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Method of exposing a light sensitive material
US20020036762A1 (en) * 1997-01-27 2002-03-28 Nikon Corporation Projection exposure method and apparatus
EP0997781A1 (en) * 1998-10-27 2000-05-03 Canon Kabushiki Kaisha Exposure method
EP1091252A2 (en) * 1999-09-29 2001-04-11 Asm Lithography B.V. Lithographic method and apparatus
US6296987B1 (en) * 1999-10-20 2001-10-02 United Microelectronics Corp. Method for forming different patterns using one mask
US20020045136A1 (en) * 2000-09-13 2002-04-18 Michael Fritze Method of design and fabrication of integrated circuits using regular arrays and gratings

Also Published As

Publication number Publication date
US6934007B2 (en) 2005-08-23
US7583360B2 (en) 2009-09-01
US20050221231A1 (en) 2005-10-06
US20030223050A1 (en) 2003-12-04
WO2003102696A3 (en) 2004-04-15
AU2003240931A8 (en) 2003-12-19
AU2003240931A1 (en) 2003-12-19

Similar Documents

Publication Publication Date Title
US7583360B2 (en) Method for photolithography using multiple illuminations and a single fine feature mask
US6818389B2 (en) Method of design and fabrication of integrated circuits using regular arrays and gratings
US6884551B2 (en) Method and system of lithography using masks having gray-tone features
US7651821B2 (en) Method and system of lithography using masks having gray-tone features
US6335130B1 (en) System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
JP4267245B2 (en) Optical proximity correction method using ruled line ladder bar as auxiliary feature with resolution
US7987436B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
US7667216B2 (en) Method of achieving CD linearity control for full-chip CPL manufacturing
JP4495663B2 (en) Optical proximity correction method using gray bar as sub-resolution assist feature
KR100542268B1 (en) An Optical Proximity Correction Method Utilizing Phase-edges As Sub-Resolution Assist Features
KR20030017359A (en) Method For Improved Lithographic Patterning Utilizing Multiple Coherency Optimized Exposures And High Transmission Attenuated PSM
US7374869B2 (en) Lithographic processing method and device manufactured thereby
EP1488284B1 (en) Photomask and method for photolithographic patterning of a substrate by use of phase shifted assist features
JP2661529B2 (en) Phase shift mask
JP3296296B2 (en) Exposure method and exposure apparatus
US20070097347A1 (en) Method for forming a circuit pattern by using two photo-masks
JP2004310092A (en) Photo reticle using channel assist feature

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP