WO2003034490A2 - Semiconductor structure with one or more through-holes - Google Patents

Semiconductor structure with one or more through-holes Download PDF

Info

Publication number
WO2003034490A2
WO2003034490A2 PCT/EP2002/011605 EP0211605W WO03034490A2 WO 2003034490 A2 WO2003034490 A2 WO 2003034490A2 EP 0211605 W EP0211605 W EP 0211605W WO 03034490 A2 WO03034490 A2 WO 03034490A2
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor
etching
layer
holes
etch
Prior art date
Application number
PCT/EP2002/011605
Other languages
French (fr)
Other versions
WO2003034490A3 (en
Inventor
Matthias Heschel
Original Assignee
Hymite A/S
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hymite A/S filed Critical Hymite A/S
Priority to AT02787490T priority Critical patent/ATE464656T1/en
Priority to EP02787490A priority patent/EP1436837B1/en
Priority to JP2003537117A priority patent/JP4546087B2/en
Priority to AU2002351771A priority patent/AU2002351771A1/en
Priority to DE60236007T priority patent/DE60236007D1/en
Publication of WO2003034490A2 publication Critical patent/WO2003034490A2/en
Publication of WO2003034490A3 publication Critical patent/WO2003034490A3/en
Priority to HK05107072A priority patent/HK1074913A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/4245Mounting of the opto-electronic elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • G02B6/4279Radio frequency signal propagation aspects of the electrical connection, high frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0203Containers; Encapsulations, e.g. encapsulation of photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases

Abstract

Semiconductor structures with one or more through-holes are disclosed. A feed-through metallization process may be used to seal the through-holes hermetically.

Description

SEMICONDUCTOR STRUCTURE WITH ONE OR MORE THROUGH-HOLES
FIELD OF THE INVENTION The present invention relates to a semiconductor structure with one or more through-holes.
BACKGROUND
Subassemblies for optoelectronic devices or optoelectronic hybrid integrated circuits may include a semiconductor stmcture formed as a lid. The lid may be sealed to a base that includes or is connected, for example, to an optical waveguide. The lid may provide a cover for one or more optoelectronic chips or components being optically coupled to the waveguide. Typically, electrical or optical connections may need to be provided to the chips or components mounted within the assembly.
SUMMARY
According to one aspect, a method is disclosed to provide a semiconductor stmcture that has front and back surfaces substantially with one or more through- holes. The method includes etching the semiconductor stmcture from the back surface in one or more back surface areas corresponding to positions of the one or more through-holes and etching the semiconductor stmcture from the front surface in one or more front surface areas corresponding to the positions of the one or more through- holes. The front and back surfaces may be etched in either order.
In some implementations, one or more of the following features may be present. The semiconductor stmcture may include a first semiconductor layer facing the back surface, a second semiconductor layer facing said front surface, and a substantially etch-resistant layer arranged between the first and the second semiconductor layers. The method then may include etching from the back surface through the first semiconductor layer and stopping the etching from the back surface when a back portion of the etch-resistant layer is exposed, where the back portion of the etch-resistant layer corresponds to one or more of the back surface areas The method also may include etching form the front surface through the second semiconductor layer and stopping the etching from the front surface when a front portion of the etch-resistant layer is exposed, where the front portion of the etch- lesistant layer corresponding to one or more of the front surface areas At least the part of the etch-resistant layer corresponding to the position of each of the one or more through-holes may be removed to form the one or more through-holes after the etching
At least one of the back etching step and the front etching may include using a liquid chemical etching process, an anisotropic etching process or an aqueous solution of potassium hydroxide
Preferably, the through-holes are hermetically sealed The through-holes may be sealed, for example, using a feed-through metallization process In a particular implementation, hermetically sealing the through-holes includes providing an adhesion layer, a plating base, a feed-through metallization, a diffusion barrier, a wetting layer; and an anti -oxidation barrier
Etching the back surface areas may include exposing a large back portion of the etch-resistant layer having an area larger than any exposed front portion of the etch resistant layer The etch-resistant layer may include a material selected, foi example, from the group of silicon mtπde, silicon oxynitπde and silicon dioxide The etch-resistant layer may include a sandwich layer comprising alternating layers of at least silicon dioxide, silicon nitride and silicon oxynitπde
The semiconductor structure may be used as a lid to encapsulate an optoelectronic component In that case, the through-holes may be used to establish connections to the components through the encapsulation The connections may, foi example, electrical connections, optical connections, or any other suitable kind of connection which may be needed to communicate with a component oi to enable a component to operate
In another aspect, a semiconductor stmcture includes a front surface, a back surface arranged substantially opposite to the front surface, and at least one feed- through interconnect each of which includes through-hole connections Each of the through-holes includes feed-through metallization to provide a conductive path between a lower part of the stmcture and an upper part of the stmcture
Some implementations may include one or more of the following features For each feed-through interconnect, the feed-through metallizations of the through- holes may be electrically connected to each other within the lower part of the structure and the upper part of the stmcture. The through-holes may be hermetically sealed, for example, by feed-through metallization.
In a related aspect, an optoelectronic assembly stmcture may include a semiconductor base with a major surface and an optical waveguide integrally formed along the major surface. An optoelectronic chip may be optically coupled to the waveguide, and a semiconductor lid may be sealed to the base to form an enclosure that covers the chip. The lid includes a front surface, a back surface arranged substantially opposite the front surface, and at least one feed-through mtei connect each of which includes through-hole connections. At least one through-hole may be provided with feed-through metallization to provide a current path through the lid to the optoelectronic chip. The optoelectronic chip may include, for example, a laser or other devices. The through-hole connections may provide a hermetic seal for the optoelectronic chip.
Various implementations may include one or more of the following advantages. Fonnation of a semiconductor stmcture with through-holes may be simplified. Use of the etch-resistant layer may make the method is easy to control Therefore, the cross-sectional dimensions of each through-hole may be very well defined. The techniques may be convenient for forming electrical or optical communication paths through a semiconductor structure while maintaining a hermetic sealing of the stmcture. Furthermore, the techniques may be suited for mass production.
In the present context, the phrase 'a substantially etch-resistant layer' should be interpreted as a layer of material which is at least substantially resistant to the etching process performed on the first semiconductor layer and the etchmg process performed on the second semiconductor layer. Thus, the substantrally etch-resistant layer should be able to resist said etching processes, at least to the extend that at least some of the material of the substantially etch-resistant layer remains after the etching processes of the first and second semiconductor layers have been performed.
A relatively high total conducting capability of the stmcture may be provrded by the use of a large number of through-holes. Other features and advantages wrll be readrly apparent from the following detarled descrrptron, the accompanying drawings and the claims
BRIEF DESCRIPTION OF THE DRAWINGS
Figs, la- Is are cross-sectional side views of a semiconductor structure during various steps according to embodiments of the methods of the present invention, with Figs la- lj illustrating various steps of etching processes, while Figs I k- I s illustrate various steps of metallization processes,
Fig. 2 shows a top or front plan view of a first embodiment of a semiconductor stmcture according to the present invention, Fig 3 shows a bottom or back plan view of the semiconductor structure of Fig
2,
Fig 4 shows a top or front plan view of a second embodiment of a semiconductor structure according to the present invention.
Fig. 5 shows a cross-sectional side view of the semiconductor structure of Fig 4,
Fig. 6 shows a bottom or back plan view of the semiconductor structure of Fig 5, and
Fig. 7 shows an embodiment of an optoelectronic assembly structuie according to the present invention
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
According to an embodiment of the present invention, a semiconductor structure is fabricated, which structure may have the foπu of a semiconductor lid and be used as a lid for a subassembly for optoelectronic integrated circuits, where the lid may provide a cover for an optoelectronic chip or component being optically coupled to a waveguide.
Representative substrates for the fabrication of semiconductor structures or lids according to the present invention comprise single-crystalline silicon wafers with <100> or <1 10> surface orientations. One method of semiconductor structure fabrication consistent with the present invention is detailed below with reference to Figs. la-Is.
The structure shown in Figs, la- Is has the fonn of a semiconductor lid. Here, a plurality of lids are fabricated on a wafer having a silicon-on-insulator (SOI) stmcture, see Fig. la. The wafer has a <100> single-crystalline silicon front layer 1 1 , a silicon dioxide insulating layer 12, and a <100> single-crystalline silicon back layer 13. The wafer has a diameter of 100 mm ± 0.5 mm, the thickness of the front layer 1 1 is 20 μm ± 2 μm, the thickness of the silicon dioxide layer 12 is about 1 μm, and the thickness of the supporting back silicon layer 13 is 350 μm ± 25 μm. It is important that the silicon dioxide insulator 12 is thick enough to resist a double-sided through- hole etching. The resistivity of both the front layer 1 1 and the back layer 13 is about 1-20 Ωcm.
A wafer of the above described SOI stmcture may be delivered by a wafer supplier such as for example SICO Wafer GmbH, Germany. A wafer of the SOI stmcture may be fabricated by having a first and a second silicon substrate being bonded to each other by use of a thermal silicon fusion bonding process. The thickness of the front silicon substrate may then be reduced to a desired thickness by a grinding process followed by a chemical mechanical polishing, CMP, process. Various steps of etching processes according to the present invention are illustrated in Figs, la-lj, with Figs, l a-lc showing the first steps, in which one or more areas for one or more through-hole openings are defined on the front side of the silicon front layer 1 1. The first step is a local-oxidation-of-silicon (LOCOS) process. This process comprises a thermal oxidation of silicon, resulting in front and back first silicon dioxide layers 14a, 14b, a low-pressure-chemical-vapour-deposition (LPCVD) process resulting in first silicon nitride layers 15a, 15b, and a thermal oxidation of the surface of the silicon nitride, the latter resulting in a conversion oxide 16a, 16b.
The conversion oxide 16a on the front side is patterned according to the areas of the front layer through-hole openings, and the non-patterned conversion oxide is removed from the front and the backside using buffered hydrofluoric acid (BHF), see Fig. lb.
The remaining conversion oxide 17 serves as a mask to pattern the silicon nitride layer 15a using phosphoric acid. The exposed first silicon dioxide 14a, 14b and the remaining conversion oxide 17 are then stripped in BHF, leaving one or more areas of the silicon nitride layer 15a, thereby defining the areas of the through-hole openings, see Fig. lc.
Next, as shown in Fig. Id, thermal second silicon dioxide layers 18a, 18b are grown by a LOCOS process, which also converts the surface of the silicon nitride into a conversion oxide 19. The edges of the silicon nitride 20 are lifted, resulting in the well-known bird's beak 21.
Now, second silicon nitride layers 22a, 22b are deposited by a LPCVD process to serve as an etch mask in a subsequent through-hole etching, see Fig. le.
Next, the area 23 for a through-hole opening on the backside is defined by a photolithographic process, in which layers of photoresist 24a, 24b are covering the front side and the remaining part of the backside. The so exposed second silicon nitride 22b and second silicon dioxide 18b are subsequently etched using reactive ion etching (RIE), see Fig. If.
After stripping the remainder of the photoresist 24a, 24b, the exposed area 23 of silicon on the backside of the structure is etched anisotropically in potassium hydroxide (KOH), thereby fomiing a tapered pyramidal shape 25 reaching from the backside of the structure up to, but not through, the silicon dioxide insulating layer 12. as the etch process stops at the buried insulator layer 12, see Fig. lg, thereby leaving an exposed back portion 26 of the insulating area 12. The I OH etch may use a hot aqueous solution of 28% by weight of KOH at 80° C in temperature. The etch time of the backside etch may be around 5 hours. The second silicon nitride 22a, 22b is now stripped in hot phosphoric acid at 160° C A short BHF dip removes the remaining conversion silicon dioxide layer 19 in the area(s) defined as the one or more through-hole openings The now exposed remainder of the first silicon nitride layer 15a is stripped in hot phosphoric acid at 160 °C A short BHF dip removes the remainder of the first thermal silicon dioxide layer 14a and, thus, leaves the silicon of the through-hole openmg(s) 27 on the front side exposed, see Fig lh Here, the short BHF dips may be about 20 seconds
The so exposed silicon 27 on the front side is etched in KOH thereby fomiing a tapered pyramidal shape 28 reaching from the front side of the stmcture down to, but not tlirough, the silicon dioxide insulating layer 12, as the etch process stops at the silicon dioxide insulating layer 12, thereby leaving an exposed front portion 29 of the insulating layer 12, which a this stage remains as a sort of membrane, see Fig h Also here, the KOH etch may use a hot aqueous solution of 28% by weight of KOH at 80° ~C m temperature The etch time of the front side etch may be around 20 minutes The remaining exposed silicon dioxide layers 18a, 18b and 12 are now stripped in BHF, see Fig. lj, whereby a through-hole 30 is foπned under the pyramidal shape 28, where the silicon has been etched from the front side
Here it should be noted that by using the double-sided etching processes of the present invention, an embodiment of which is descπbed above in connection with Figs la-lj, the area of the exposed back portion 26 of the insulating silicon dioxide layer 12 may vary a great deal due to the variations in the thickness of the back silicon layer 13, which here may vary within ± 25 μm However, the front silicon layer 1 1 is much thinner and has a much lesser variation in the thickness, which here is about ± 2 μm Thus, the area of the exposed front portion 29 will only have a very small variation within different samples, and thereby result in a through-hole 30 having very well defined cross-sectional dimensions This may be of great importance if a hermetic sealing is to be obtained by subsequent steps of processing
It should be understood that although only one through-hole 30 is shown in Fig. lj, a number of through-holes may be formed during the above described processes The cross-sectional dimension of each through-hole will be defined by the corresponding area of silicon 27 exposed for the front side etching, and the thickness of the front silicon layer 1 1 Vaπous steps of metallization processes according to the present invention are illustrated m Figs Ik- Is. These steps show the formation of a feed-through metallization reaching through a previous formed through-hole 30 resulting in a hermetic sealing of the through-hole 30 The first steps of the formation of the feed-through metallization are illustrated in Fig Ik Here, a thermal silicon dioxide 31 is grown in all exposed silicon areas This silicon dioxide layers serves as dielectric layer Next, a first thin metallization layer 32a, 32b is evaporated on both sides of the wafer This first metallization layer 32a, 32b comprises an adhesion layer (e g. 10 nm titanium) and a metal layer that is suitable to serve as plating base for electroplating (e g 100 nm gold, but palladium oi copper may also be used) Next, a layer 33a, 33b of electro-depositable photoresist (e g Eagle 2100 ED/PR from Shipley) is electro-deposited on both sides of the wafer
The photoresist 33a, 33b on both sides is now patterned with masks for the feed-through metallization, where after the feed-through metallization (e g 3-4 μm copper) 34a, 34b is electroplated using the photoresist as mould, see Fig 1 1 On top of the feed-through metallization a layer of a diffusion baπier (e g 200 nm nickel) and a wetting layer (e g 800 nm nickel) are electroplated in one step, 35a, 35b
Next, as illustrated in Fig 1m, the photoresist 33a, 33b is stnpped and the exposed parts of the plating base 32a, 32b are etched selectively to the feed-through metallization 34a, 34b, and the combined barrier/wetting layer 35a, 35b
Layers of stress-reduced silicon-oxynitπde 36a, 36b are then deposited on both sides using plasma-enhanced-chemical-vapour-deposition (PECVD) These layers 36a, 36b serve as solder dam and passivation and aie about lμm thick, see Fig In A layer of chromium 37a, 37b is now deposited on both sides in subsequent evaporation or sputtering processes The chromium serves as plating base foi subsequent electro-deposition of a new layer of electro-depositable photoiesist 38a, 38b on both sides (e g Eagle 2100 ED/PR from Shipley), see Fig lo
The electro-depositable photoresist 38a, 38b is then patterned on both sides with respective masks for bonding and contact pads 39a, 39b, and the exposed chromium 37a, 37b is stripped in Cerιum(IV)-sulphate/nιtuc acid The now exposed silicon-oxymtride 36a, 36b PECVD layer is etched in BHF using the photoresist layer 38a, 38b and the remainder of the chromium layer 37a, 37b as mask, see Fig Ip
From here, the photoresist 38a, 38b and the remaining part of the chromium layei 37a, 37b are stnpped, see Fig lq The surface of the exposed wetting layer (bonding and contact pads) is converted into a non-oxidismg metal by ion-exchange plating of an anti-oxidation barπer 40a, 40b (e g 100 nm gold, using ORMEX from Engelhard), see Fig lr
Finally, a solder material 41 (e g lead/tm or tin/silver) is deposited onto the bonding pads 39b either by electroplating into a mould of electro-depositable photoresist or by using prefonπs The deposited solder material is shown in Fig Is
It should be understood that different dimensions may be selected for the semiconductor lid according to the present invention However, it is important that a lelatively small and well-defined through-hole 30 is obtained at the etch tesistant layer 12 in order to secure a hermetic sealing by the feed-through metallization As an example of the dimensions of an embodiment of a lid of the present invention, the semiconductor stmcture of the lid may have a square fonn with outei side lengths ot about 3 mm The back layer 13 may have been etched in a square fonned back surface area 23 having surface side lengths of about 2 mm, whereby the etching ot the back layei is large enough to give loom for electronic or optoelectronic components to be coveied by the lid For each through-hole, a corresponding separate front surface aiea 27 is etched Here, for a front layer thickness of about 20 μm, each such front suiface area may have a square form with side lengths of about 33 μm This may l esult in exposed front portions 29 in etch resistant layer 12 having a square form with side lengths of about 5 μm If seveial through-holes or an aiτay of through-holes aie needed, the through holes may be arranged so that the distance between two adjacent through-holes at the front surface of the lid is at least 5 μm, such as at least 10 μm
The above described double-sided through-hole process using SOI mateiial allows for a reproducible, well-defined through-hole opening 30 When using standard, pure silicon material without an lnterfacial etch tesistant layei, either the mask dimensions for defining the through-holes must be adjusted to the silicon thickness, or the silicon thickness must be adjusted to the mask dimensions It is prefeπed that the deviation of a resulting through-hole opening 30 must not exceed a low, one-digit number of micrometers (e g 3 μm) This is easily achieved with water of SOI material having a front layer 1 1 with a thickness of 20 μm Heie, the thickness variation is usually ±10% or better, which yields a lateral through-hole opening variation of max 2 8 μm
A semiconductor lid according to an embodiment of the present invention, and which may be fabricated in accordance with the processes described in connection with Figs la- Is, is illustrated in Figs 2 and 3 Here, Fig 2 shows the top (front side) view of the lid 201, in which the lid is carrying three though-hole connections 202a, 202b, 202c On the front side of the lid 201 , each through-hole connection 202a, 202b, 202c reaches from a front side part of a through-hole 203a, 203b, 203c to a bonding or contact pad 204a, 204b, 204c, which may be gold coated The through- holes 203a, 203b, 203c aie closed or hermetically sealed by the electroplated feed- through metallization serving as the base for the through-whole connection 202a, 202b, 202c The front side of the lid 201 and the through-hole connections 202a, 202b, 202c are covered by a passivation layer 205a, except for the openings to the bonding or contact pads 204a, 204b, 204c
A cross-sectional side view of the semiconductoi lid 201 coπesponds to the stmcture of Fig Is Fig 3 shows a bottom or back plan view of the semiconductor structure ot Fig
2 Here, the through-hole connections 202a, 202b, 202c extend from a backside part of the through-holes 203a, 203b, 203c to bonding pads having soldei intei connect bumps 206a, 206b, 206c A soldei sealing ring 207 is also fonned on the backside of the lid when depositing the solder material for the solder bumps 206a, 206b, 206c Also the backside of the lid 201 and the through-hole connections 202a, 202b, 202c aie coveied by a passivation layer 205b, except for the openings to the soldei bumps 206a, 206b, 206c and the soldei sealing ring 207
For the semiconductoi structure described in connection with Figs la- Is, a low lesistivity of about 1-20 Ωcm was chosen for both the front layer 1 I and the back layer 13
However, it is also within embodiments of the present invention to use a semiconductor or a semiconductoi stmcture, such as the SOI stiuctuie, having a high resistivity Such high resistivity semiconductors or stmctures may be suitable for high frequency purposes, where one or more high frequency signals are to be conducted by through-hole connections, which may comprise a feed-through metallization according to the present invention The frequency of a high frequency signal is limited by the ohmic resistance and the capacitance of the interconnection through 1/RC Thus, the problem is to obtain a through-hole connection with a low ohmic resistance and a low capacitance between the connection and the underlying layer of silicon High frequency lids may be used for optoelectronic assemblies comprising signal lasers and/oi detectors for telecommunication purposes The frequency may be as high as 100 GHz
The low ohmic resistance demands a high cross-sectional area of the connection, while a low capacitance requires a connection having a small aiea of the interface with the silicon and a relatively high resistivity of the underlying silicon Thus, the solution to the problem is to use a silicon layer or substrate having a high resistivity, and to reduce the length and width of the interconnection on the surface of the silicon to a minimum, while keeping the interconnection as thick as possible The lesistivity may be around or in the range of 3 kΩcm to 4 kΩcm or even higher This lequirement may be considered for the front layer as well as for the back layer Thus, for high frequency purposes it may also be convenient to use un-doped silicon It is also desirable to have the through-hole connectιon(s) as thick as possible
However, the feed-through metallization should still provide a hermetic sealing It is not essential that each through-hole has the same cross-sectional area Thus, the high frequency lids may be formed from a pure single crystalline silicon wafer However, it is preferred to use a SOI structure and the techniques described in connection with Figs. 1 and 2
The present invention also provides a solution, in which a high current may pass through a semiconductor structure or lid Here, the problem is to obtain a large cross-sectional area of the metallization through the lid, in order to allow a high current to pass through the lid, while at the same time maintain a high mechanical stability of the lid Furthemiore, it should also be easy to obtain a hermetic sealing of the lid The high cuπent lids may for example be used for coverage of pump lasers According to an embodiment of the present invention, a solution is provided in which several or an aπay of through-hole connections or metallizations are used for a high current connection, each through-hole connection or metallization passing tlirough a through-hole of the semiconductor stmcture or hd Each through-hole should have a relatively small cross-sectional area, whereby the mechanical strength of the d is maintained The total cross-sectional area given by the used number of through-holes should be large enough to allow the needed high current, with the cuπent density at this position being below or well below the critical cuπent density (maximum density) of the feed-through metallization. It should be noted that if the high cuπent connection is made as one, thick feed-through, the semiconductor stmcture or hd may break into pieces when heated due to different thermal expansion in the semiconductor and the metal
The semiconductor stmcture or hd may have several high current connections, each connection having a number or an aπay of through-hole connections or metallizations
It is not essential that each through-hole has the same cross-sectional area Thus, the high cuπent lids may be formed from a pure single crystalline silicon wafer However, it is prefeπed to use a SOI stmcture and the techniques descπbed in connections with Figs 1 and 2 Due to the tapered from of the through-holes from the SOI stmcture, the metal of the feed-through metallizations may expand upwards when heated, resulting in a stronger lid
Fig 4 shows a top or front plan view of an embodiment of a semiconductor lid 401 with two cuπent connections 402a, 402b suitable for drawing a high current Each connection 402a, 402b comprises an aπay of several through-hole connections 403a, 403b, with each through-hole connection having a metallization passing from the front side of the hd, through a through-hole to the backside of the hd Each feed- through metallization is tapered downwards from the front side, thereby having a l ather small cross-sectional area at the bottom compared to the cross-sectional area at the top. Thus, each through-hole is totally covered and sealed by the feed-through metallization. The feed-through metallizations of one cuπent connection 402a or 402b are electrically connected to each other on both sides of the through-holes, and on the front side of the lid 401, a front side metallization 405a or 405b connects the through- hole connections 403a or 403b and coπesponding bonding or contact pads 406a or 406b, which may be gold coated. The front side of the hd 401 and the through-hole connections 403a, 403b are covered by a passivation layer 407a, except for the openings to the bonding or contact pads 406a, 406b In Fig 5 is shown a cross-sectional side view of the semiconductor lid of Fig
4 Here, the hd 401 has a silicon front layer 411, a silicon dioxide layei 412, and a silicon back layer 413 Fig 5 also shows the through-hole connections 403a, 403b, with coπesponding front side metallizations 405a, 405b and bonding or contact pads 406a, 406b The through-hole connections 403a or 403b of one current connection 402a or 402b are connected at the bottom side of the lid 401 to a bottom side metallization 414a or 414b, which again is connected to solder bumps, 415a or 415b The bottom of the hd 401 also comprises a solder sealing ring 416 for seahngly connecting the d to a substrate
In Fig 6 is shown a bottom or back plan view of the semiconductor hd of Figs 4 and 5 Here, each bottom side metallization 414a, 414b extend from the backside part of coπesponding through-holes to the coπesponding solder bumps 415a, 415b. Also the backside of the lid 401 and the bottom side metallizations 414a, 414b are covered by a passivation layer 407b, except for the openings to the solder bumps 415a, 415b and the solder sealing ring 416 The present invention also provides a solution, m which a semiconductor stmcture or lid may have an integrated electronic circuit integrated in a front layer of the stmcture or hd Hereby, a simple and cheap solution may be provided for arranging an integrated electronic circuit into an optoelectronic assembly
According to a prefeπed solution a silicon wafer is used in which a number of integrated electronic circuits have been processed on the top surface or in the front layer The wafer is to be further processed into a number of structures or lids If one electronic circuit is needed for each lid or structure, then one circuit is processed in an aπangement coπesponding to the aπangement of each lid or structure If two circuits are needed for each hd or staicture, then two circuits are processed in an aπangement coπesponding to the aπangement of each lid or structure
In order to obtain through-hole connections from the front of the lid and to the interior of the hd, whereby electrical connections may be provided between the integrated circuit and elements within an optoelectronic assembly using the lid as a coverage, it is preferred to use a SOI stmcture and double-sided etching processes as described on connections with Figs. 1 and 2.
Thus, according to an aspect of the present invention, there is provided a semiconductor lid having one or more integrally foπned electronic circuits processed in the outer semiconductor top surface layer of the lid, and a number of conductive vias or through-hole connections being provided through the lid from the outer surface or outer surface layer of the lid to the inside of the lid for establishing one or more electrical connections through said lid. It is prefeπed that at least part of said through-hole connections are bonded or in electrical contact with one of said electronic circuits in the outer semiconductor surface layer. Each through-hole connection may have a coπesponding through-hole foπned in the lid, and said through-holes may be hermetically sealed by the formation of the through-hole connections. Such through holes may be formed by one or more etching processes, which may include both a front layer etching and a back layer etching.
It is not essential that each through-hole has the same cross-sectional area. Thud, the lids may be foπned from a pure single crystalline silicon wafer. However, it is prefeπed to use a SOI stmcture as described above.
For many applications it is desirable to have a semiconductor lid including a cooling element or an active cooling element. Such applications may include semiconductor lids designed for high cuπents. It is prefeπed that an active cooling element in the form of a Peltier element is aπanged on top of the semiconductor lid.
A Peltier element may be. formed by processing different layers of metal on top of the lid. Thus, when the whole silicon wafer has been processed in order to obtain a number of semiconductor lids, some extra processing steps may be added to form different layers of metal on top of the whole wafer. After such metallization steps, the wafer may be divided into separate lids, each lid having a Peltier element formed on the outer top surface. In some embodiments it is preferred to further aπange a heat-sink on top of the Peltier element. Thus, according to an aspect of the present invention, there is provided a method of forming an active cooling element on top of each of a number of semiconductor lids, wherein said number of lids are processed in a whole semiconductor wafer, and wherein said cooling element formation comprises the formation of several different metal layers on top of the whole wafer and on top of each other It is prefeπed that the formed metal layers are selected so as to foπn a Peltier element on each hd, when the processed wafer has been divided m to a number of separate lids The semiconductor wafer may be a single crystalline silicon wafer, or it may be a wafer having an SOI (silicon on insulator) structure The semiconductor lids may be high cuπent type lids, wherein several through-hole connections are used to provide a high cuπent connection. The high current lids may have a structure as described above, including a SOI stmcture The present invention also covers embodiments in which a semiconductor lid is used as a cover of an optoelectronic assembly or subassembly Here, the lid may have one or more through-holes with corresponding through-hole connections for providing electrical connections from the outer surface of the hd to the inner surface of the hd A through-hole connection may have a coπesponding through-hole formed in the hd, and said through-hole may be hermetically sealed by the formation of the through-hole connections Such through-holes may be formed by one or more etching processes, which may include both a front layer etching and a back layer etching
The lids may be foπned from a pure single crystalline silicon wafer However, it is prefeπed to use a SOI structure, as described above In Fig 7 is illustrated an embodiment of an optoelectronic assembly according to the present invention. Here, the optoelectronic assembly 701 has a semiconductor base 702 with an optical waveguide 703 formed on or aπanged on an upper surface of the base 702 An optoelectronic component 704 is also aπanged on the upper surface of the base 702 being optically coupled to the waveguide 703 A semiconductor lid 705 is sealingly arranged on the upper surface of the base 702 via a solder sealing ring 706 and the hd 705 is covering the component 704 The hd 705 has a feed-through metallization 707 providing a cuπent path from the upper surface of the lid 705, via a through-hole and down to the bottom of the hd The feed-through metallization is electrically connected to the component 704 via a connection metallization 708 on the surface of the base 702 and via a solder interconnect 709 The waveguide 703 is here foπned by a bottom cladding layer 710, a core layer 71 1 , and a top cladding layer 712 Outside the waveguide 703 region, no core layer 71 1 is provided and a cladding layer 713 is covering the surface of the base 702. The solder sealing ring 706 is soldered to the cladding layers 712 and 713. The shown lid 705 has a SOI stmcture and the lid 705 may be fabricated using the processes as described in connection with Fig. I .
So far, silicon wafers have been used to illustrate embodiments of the present invention. However, other semiconductor materials may be used, such as IU-IV compound semiconductors.
Other implementations are within the scope of the following claims.

Claims

CLAIMS:
1. A method of providing a semiconductor stmcture with one or more through- holes, the semiconductor structure having a front surface and a back surface substantially opposite, the method comprising: etching the semiconductor structure from the back surface in one or more back surface areas corresponding to positions of the one or more through-holes; and etching the semiconductor structure from the front surface in one or more front surface areas corresponding to the positions of the one or more through- holes.
2. A method according to claim 1 wherein the semiconductor stmcture comprises a first semiconductor layer facing the back surface, a second semiconductor layer facing said front surface, and a substantially etch-resistant layer arranged between the first and the second semiconductor layers, the method further comprising: etching from the back surface through the first semiconductor layer; stopping the etching from the back surface when a back portion of said etch-resistant layer is exposed, the back portion of the etch-resistant layer corresponding to one or more of the back surface areas; etching from the front surface through the second semiconductor layer; stopping the etching from the front surface when a front portion of the etch-resistant layer is exposed, the front portion of the etch-resistant layer corresponding to one or more of the front surface areas; and removing at least the part of the etch-resistant layer corresponding to the position of each of the one or more through-holes to form the one or more through-holes after the etching.
3. A method according to claim 2 comprising hermetically sealing the one or more through-holes.
4 A method according to claim 2 including using a feed-through metallization process to seal the one or more through-holes
5 A method according to claim 4 wherein hermetically sealing at least one ot the through-holes includes providing an adhesion layer, providing a plating base, providing a feed-through metallization, providing a diffusion barrier, providing a wetting layer, and providing an antioxidation barrier
6 A method according to claim 2 wherein the etching of the back surface areas comprises exposing a large back portion of the etch-iesistant layer having an area larger than any exposed front portion of the etch resistant layei
7 A method according to claim 2 wherein the etch-resistant layer comprises material selected from the group of silicon nitride, silicon oxynitride and silicon dioxide
8 A method accoiding to claim 2 wherein the etch-iesistant layer comprises a sandwich layer comprising alternating layers of at least silicon dioxide, silicon nitride and silicon oxynitride
9 A method according to claim 3 including using the semiconductoi stiuctuie as a 1 id to encapsulate an opto-electromc component
10. A method according to claim 1 wherein at least one of the back etching step and the front etching comprises using a liquid chemical etching process.
1 1. A method according to claim 1 wherein at least one of the back etching and the front etching comprises using an anisotropic etching process.
12. A method according to claim 1 wherein the back etching and front etching include using an aqueous solution of potassium hydroxide.
13. A semiconductor structure comprising: a front surface; a back surface being aπanged substantially opposite to said front surface; and at least one feed-through interconnect each of which comprises a plurality of through-hole connections, wherein each of the through-holes includes feed-through metallization to provide a conductive path between a lower part of the structure and an upper part of the stmcture.
14. A semiconductor stmcture according to claim 13 wherein, for each feed- through interconnect, the feed-through metallizations of the through-holes are electrically coimected to each other within the lower part of the structure and the upper part of the stmcture.
15. A semiconductor structure according to claim 13 wherein at least one of the through-holes is hermetically sealed.
16. A semiconductor structure according to claim 13 wherein the through- holes are hermetically sealed.
17. A semiconductor stmcture according to claim 15 wherein the hermetic sealing is provided by feed-through metallization.
18. An optoelectronic assembly stmcture comprising: a semiconductor base having a major surface; an optical waveguide integrally formed along the major surface; an optoelectronic chip optically coupled to the waveguide; a semiconductor lid sealed to the base and forming an enclosure that covers the chip, the lid comprising: a front surface; a back surface arranged substantially opposite said front surface; and at least one feed-tlirough interconnect each of which comprises a plurality of through-hole connections.
19. An optoelectronic assembly stmcture according to claim 18 wherein at least one through-hole is provided with feed-tlirough metallization to provide a cuπent path through the lid to the optoelectronic chip.
20. An optoelectronic ass'embly stmcture according to claim 19 wherein the optoelectronic chip comprises a laser.
21. An optoelectronic assembly structure according to claim 18 wherein the through-hole connections provide a hemietic seal for the optoelectronic chip.
PCT/EP2002/011605 2001-10-17 2002-10-15 Semiconductor structure with one or more through-holes WO2003034490A2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
AT02787490T ATE464656T1 (en) 2001-10-17 2002-10-15 SEMICONDUCTOR STRUCTURE WITH ONE OR MORE THROUGH HOLES
EP02787490A EP1436837B1 (en) 2001-10-17 2002-10-15 Semiconductor structure with one or more through-holes
JP2003537117A JP4546087B2 (en) 2001-10-17 2002-10-15 Semiconductor structure with one or more through holes, method for providing the semiconductor structure, and optoelectronic assembly structure including the semiconductor structure
AU2002351771A AU2002351771A1 (en) 2001-10-17 2002-10-15 Semiconductor structure with one or more through-holes
DE60236007T DE60236007D1 (en) 2001-10-17 2002-10-15 SEMICONDUCTOR STRUCTURE WITH ONE OR MORE CONTINUOUS HOLES
HK05107072A HK1074913A1 (en) 2001-10-17 2005-08-16 Semiconductor structure with one or more through-holes

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US32969901P 2001-10-17 2001-10-17
US60/329,699 2001-10-17
US10/264,440 US6818464B2 (en) 2001-10-17 2002-10-04 Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US10/264,440 2002-10-04

Publications (2)

Publication Number Publication Date
WO2003034490A2 true WO2003034490A2 (en) 2003-04-24
WO2003034490A3 WO2003034490A3 (en) 2004-04-01

Family

ID=26950546

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2002/011605 WO2003034490A2 (en) 2001-10-17 2002-10-15 Semiconductor structure with one or more through-holes

Country Status (9)

Country Link
US (3) US6818464B2 (en)
EP (1) EP1436837B1 (en)
JP (1) JP4546087B2 (en)
CN (1) CN100377333C (en)
AT (1) ATE464656T1 (en)
AU (1) AU2002351771A1 (en)
DE (1) DE60236007D1 (en)
HK (1) HK1074913A1 (en)
WO (1) WO2003034490A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005105661A1 (en) * 2004-04-28 2005-11-10 Hymite A/S Techniques for providing a structure with through-holes that may be used in a sub-assembly for micro-components
US9647420B2 (en) 2003-09-15 2017-05-09 Nuvotronics, Inc. Package and methods for the fabrication and testing thereof
WO2018212785A1 (en) * 2017-05-16 2018-11-22 Raytheon Company Die encapsulation in oxide bonded wafer stack
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7345316B2 (en) * 2000-10-25 2008-03-18 Shipley Company, L.L.C. Wafer level packaging for optoelectronic devices
US6932519B2 (en) 2000-11-16 2005-08-23 Shipley Company, L.L.C. Optical device package
US6827503B2 (en) * 2000-12-01 2004-12-07 Shipley Company, L.L.C. Optical device package having a configured frame
US6883977B2 (en) * 2000-12-14 2005-04-26 Shipley Company, L.L.C. Optical device package for flip-chip mounting
US7078671B1 (en) * 2001-08-06 2006-07-18 Shipley Company, L.L.C. Silicon optical microbench devices and wafer-level testing thereof
US6818464B2 (en) * 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US7343535B2 (en) * 2002-02-06 2008-03-11 Avago Technologies General Ip Dte Ltd Embedded testing capability for integrated serializer/deserializers
US6969204B2 (en) * 2002-11-26 2005-11-29 Hymite A/S Optical package with an integrated lens and optical assemblies incorporating the package
JP4220229B2 (en) * 2002-12-16 2009-02-04 大日本印刷株式会社 Mask blank for charged particle beam exposure and method for manufacturing mask for charged particle beam exposure
JP2004273438A (en) * 2003-02-17 2004-09-30 Pioneer Electronic Corp Etching mask
WO2004087541A2 (en) * 2003-04-04 2004-10-14 Mobile Concepts, Inc. Pallet and conveyor system for loading onto transport
US7432788B2 (en) 2003-06-27 2008-10-07 Memscap, Inc. Microelectromechanical magnetic switches having rotors that rotate into a recess in a substrate
US6982437B2 (en) * 2003-09-19 2006-01-03 Agilent Technologies, Inc. Surface emitting laser package having integrated optical element and alignment post
US6953990B2 (en) * 2003-09-19 2005-10-11 Agilent Technologies, Inc. Wafer-level packaging of optoelectronic devices
US7520679B2 (en) * 2003-09-19 2009-04-21 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Optical device package with turning mirror and alignment post
US20050063431A1 (en) * 2003-09-19 2005-03-24 Gallup Kendra J. Integrated optics and electronics
US20050063648A1 (en) * 2003-09-19 2005-03-24 Wilson Robert Edward Alignment post for optical subassemblies made with cylindrical rods, tubes, spheres, or similar features
US6930367B2 (en) * 2003-10-31 2005-08-16 Robert Bosch Gmbh Anti-stiction technique for thin film and wafer-bonded encapsulated microelectromechanical systems
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7223629B2 (en) * 2003-12-11 2007-05-29 Intel Corporation Method and apparatus for manufacturing a transistor-outline (TO) can having a ceramic header
DE102004006698A1 (en) 2004-02-11 2005-09-01 Robert Bosch Gmbh Micromechanical sensor
US20050213995A1 (en) * 2004-03-26 2005-09-29 Myunghee Lee Low power and low jitter optical receiver for fiber optic communication link
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20050269688A1 (en) * 2004-06-03 2005-12-08 Lior Shiv Microelectromechanical systems (MEMS) devices integrated in a hermetically sealed package
US7045827B2 (en) * 2004-06-24 2006-05-16 Gallup Kendra J Lids for wafer-scale optoelectronic packages
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
KR100594716B1 (en) * 2004-07-27 2006-06-30 삼성전자주식회사 Cap wafer comprising cavity, semiconductor chip comprising the cap wafer, and method thereof
TWI255503B (en) * 2004-07-30 2006-05-21 Touch Micro System Tech Method of double-sided etching
CN100454144C (en) * 2004-08-05 2009-01-21 探微科技股份有限公司 Method for double-face etching of wafer
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7683393B2 (en) * 2004-12-07 2010-03-23 Ngk Spark Plug Co., Ltd. Wiring substrate for mounting light emitting element
US8271578B2 (en) * 2004-12-08 2012-09-18 B-Obvious Ltd. Bidirectional data transfer optimization and content control for networks
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7553695B2 (en) * 2005-03-17 2009-06-30 Hymite A/S Method of fabricating a package for a micro component
US7495462B2 (en) * 2005-03-24 2009-02-24 Memsic, Inc. Method of wafer-level packaging using low-aspect ratio through-wafer holes
US7262622B2 (en) * 2005-03-24 2007-08-28 Memsic, Inc. Wafer-level package for integrated circuits
US7449355B2 (en) * 2005-04-27 2008-11-11 Robert Bosch Gmbh Anti-stiction technique for electromechanical systems and electromechanical device employing same
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7161283B1 (en) * 2005-06-30 2007-01-09 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Method for placing metal contacts underneath FBAR resonators
US20070004079A1 (en) * 2005-06-30 2007-01-04 Geefay Frank S Method for making contact through via contact to an offset contactor inside a cap for the wafer level packaging of FBAR chips
US8736081B2 (en) 2005-08-26 2014-05-27 Innovative Micro Technology Wafer level hermetic bond using metal alloy with keeper layer
US20070048887A1 (en) * 2005-08-26 2007-03-01 Innovative Micro Technology Wafer level hermetic bond using metal alloy
US7960208B2 (en) * 2005-08-26 2011-06-14 Innovative Micro Technology Wafer level hermetic bond using metal alloy with raised feature
US7233048B2 (en) * 2005-08-26 2007-06-19 Innovative Micro Technology MEMS device trench plating process and apparatus for through hole vias
US7582969B2 (en) * 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US7528691B2 (en) * 2005-08-26 2009-05-05 Innovative Micro Technology Dual substrate electrostatic MEMS switch with hermetic seal and method of manufacture
US7569926B2 (en) * 2005-08-26 2009-08-04 Innovative Micro Technology Wafer level hermetic bond using metal alloy with raised feature
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US8786165B2 (en) * 2005-09-16 2014-07-22 Tsmc Solid State Lighting Ltd. QFN/SON compatible package with SMT land pads
EP1788624B1 (en) * 2005-11-16 2010-06-09 STMicroelectronics Srl Process for manufacturing deep through vias in a semiconductor device, and semiconductor device made thereby.
KR101177885B1 (en) * 2006-01-16 2012-08-28 삼성전자주식회사 Wafer level packaging cap and fablication method thereof
US20070170528A1 (en) 2006-01-20 2007-07-26 Aaron Partridge Wafer encapsulated microelectromechanical structure and method of manufacturing same
US8044412B2 (en) 2006-01-20 2011-10-25 Taiwan Semiconductor Manufacturing Company, Ltd Package for a light emitting element
US7528422B2 (en) * 2006-01-20 2009-05-05 Hymite A/S Package for a light emitting element with integrated electrostatic discharge protection
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
JP2008010659A (en) * 2006-06-29 2008-01-17 Disco Abrasive Syst Ltd Method of processing via hole
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7786014B2 (en) * 2006-09-22 2010-08-31 Ipdia Electronic device and method for making the same
US7531445B2 (en) 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
KR100831405B1 (en) * 2006-10-02 2008-05-21 (주) 파이오닉스 Wafer bonding packaging method
DK1962344T3 (en) * 2007-02-25 2012-07-02 Samsung Electronics Co Ltd Packaging for electronic devices and manufacturing processes
WO2009022982A1 (en) * 2007-08-10 2009-02-19 Agency For Science, Technology And Research Nano-interconnects for atomic and molecular scale circuits
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20090181500A1 (en) * 2008-01-15 2009-07-16 Jochen Kuhmann Fabrication of Compact Semiconductor Packages
US20090273002A1 (en) * 2008-05-05 2009-11-05 Wen-Chih Chiou LED Package Structure and Fabrication Method
US7939449B2 (en) * 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US7851818B2 (en) * 2008-06-27 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of compact opto-electronic component packages
DE102008033395B3 (en) * 2008-07-16 2010-02-04 Austriamicrosystems Ag Method for producing a semiconductor component and semiconductor component
US20100084752A1 (en) * 2008-10-08 2010-04-08 Honeywell International Inc. Systems and methods for implementing a wafer level hermetic interface chip
JP5246103B2 (en) * 2008-10-16 2013-07-24 大日本印刷株式会社 Method for manufacturing through electrode substrate
SE534510C2 (en) * 2008-11-19 2011-09-13 Silex Microsystems Ab Functional encapsulation
US8240203B2 (en) * 2008-12-11 2012-08-14 Honeywell International Inc. MEMS devices and methods with controlled die bonding areas
US7842613B1 (en) 2009-01-07 2010-11-30 Integrated Device Technology, Inc. Methods of forming microelectronic packaging substrates having through-substrate vias therein
US20100176507A1 (en) * 2009-01-14 2010-07-15 Hymite A/S Semiconductor-based submount with electrically conductive feed-throughs
US8309973B2 (en) * 2009-02-12 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based sub-mount for an opto-electronic device
US8729591B2 (en) 2009-02-13 2014-05-20 Tsmc Solid State Lighting Ltd. Opto-electronic device package with a semiconductor-based sub-mount having SMD metal contacts
US7838878B2 (en) * 2009-03-24 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-based sub-mounts for optoelectronic devices with conductive paths to facilitate testing and binning
JP5330115B2 (en) * 2009-06-17 2013-10-30 浜松ホトニクス株式会社 Multilayer wiring board
US20100320595A1 (en) * 2009-06-22 2010-12-23 Honeywell International Inc. Hybrid hermetic interface chip
US20110042803A1 (en) * 2009-08-24 2011-02-24 Chen-Fu Chu Method For Fabricating A Through Interconnect On A Semiconductor Substrate
CN102079503B (en) * 2009-11-26 2012-08-29 中芯国际集成电路制造(上海)有限公司 Etching method of silicon substrate forming MEMS (Micro Electro Mechanical System) device
KR101276333B1 (en) * 2009-11-30 2013-06-18 한국전자통신연구원 3d interconnection structure and manufacturing method thereof
US10500770B2 (en) * 2010-03-02 2019-12-10 So-Semi Technologies, Llc LED packaging with integrated optics and methods of manufacturing the same
CN102403413B (en) * 2010-09-19 2013-09-18 常州普美电子科技有限公司 LED (Light-Emitting Diode) heat dissipation base plate, LED packaging structure, and manufacturing method of LED heat dissipation base plate and LED packaging structure
DE102010056562B4 (en) * 2010-12-30 2018-10-11 Snaptrack, Inc. Electroacoustic component and method for producing the electroacoustic component
DE102010056572B4 (en) 2010-12-30 2018-12-27 Snaptrack, Inc. Electronic component and method for producing the electronic component
US8654541B2 (en) 2011-03-24 2014-02-18 Toyota Motor Engineering & Manufacturing North America, Inc. Three-dimensional power electronics packages
JP5598420B2 (en) * 2011-05-24 2014-10-01 株式会社デンソー Manufacturing method of electronic device
FR2976402A1 (en) * 2011-06-07 2012-12-14 St Microelectronics Sa Electrical interconnection structure for integrated circuit placed in e.g. ball grid array, utilized in electronic system, has second-type electric connection connecting first-type electric connection to area leveled with reception zone
US8324082B1 (en) 2011-09-15 2012-12-04 SemiLEDs Optoelectronics Co., Ltd. Method for fabricating conductive substrates for electronic and optoelectronic devices
KR20140012445A (en) * 2012-07-20 2014-02-03 삼성전자주식회사 Nitride based semiconductor device and method for manufacturing the same
US9162878B2 (en) 2012-08-30 2015-10-20 Innovative Micro Technology Wafer level hermetic bond using metal alloy with raised feature and wetting layer
US20150250386A1 (en) * 2012-09-28 2015-09-10 Csem Centre Suisse D'electronique Et De Microtechnique Sa -Recherche Et Developpement Implantable devices
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9481572B2 (en) 2014-07-17 2016-11-01 Texas Instruments Incorporated Optical electronic device and method of fabrication
US10056310B2 (en) * 2016-09-26 2018-08-21 International Business Machines Corporation Electrolytic seal
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI738947B (en) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 Bonded structures and method of forming the same
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11105770B2 (en) 2017-09-14 2021-08-31 International Business Machines Corporation Nanopore and DNA sensor employing nanopore
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0430593A2 (en) 1989-11-22 1991-06-05 Xerox Corporation Method of cutting a silicon wafer by orientation dependent etching
EP1061578A1 (en) 1998-12-16 2000-12-20 Seiko Epson Corporation Semiconductor chip, semiconductor device, circuit board and electronic equipment and production methods for them

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55166941A (en) * 1979-06-13 1980-12-26 Nec Corp Semiconductor device
US4903120A (en) 1985-11-22 1990-02-20 Texas Instruments Incorporated Chip carrier with interconnects on lid
US4775573A (en) * 1987-04-03 1988-10-04 West-Tronics, Inc. Multilayer PC board using polymer thick films
US4897711A (en) 1988-03-03 1990-01-30 American Telephone And Telegraph Company Subassembly for optoelectronic devices
US4904036A (en) 1988-03-03 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Subassemblies for optoelectronic hybrid integrated circuits
DE3909186A1 (en) * 1989-03-21 1990-09-27 Endress Hauser Gmbh Co ELECTRICALLY CONDUCTIVE PROCESS AND METHOD FOR THEIR PRODUCTION
US5023881A (en) 1990-06-19 1991-06-11 At&T Bell Laboratories Photonics module and alignment method
US5068203A (en) 1990-09-04 1991-11-26 Delco Electronics Corporation Method for forming thin silicon membrane or beam
JP2976642B2 (en) 1991-11-07 1999-11-10 日本電気株式会社 Optical coupling circuit
AU670922B2 (en) 1992-01-28 1996-08-08 British Telecommunications Public Limited Company Alignment of integrated optical components
JP3239274B2 (en) * 1992-02-26 2001-12-17 富士通株式会社 Method of manufacturing inkjet head
US5308442A (en) 1993-01-25 1994-05-03 Hewlett-Packard Company Anisotropically etched ink fill slots in silicon
US5454161A (en) * 1993-04-29 1995-10-03 Fujitsu Limited Through hole interconnect substrate fabrication process
US5401913A (en) * 1993-06-08 1995-03-28 Minnesota Mining And Manufacturing Company Electrical interconnections between adjacent circuit board layers of a multi-layer circuit board
JP2692625B2 (en) * 1994-12-08 1997-12-17 日本電気株式会社 Semiconductor substrate manufacturing method
JP3343875B2 (en) 1995-06-30 2002-11-11 キヤノン株式会社 Method of manufacturing inkjet head
JP3193863B2 (en) * 1996-01-31 2001-07-30 ホーヤ株式会社 Transfer mask manufacturing method
IT1283224B1 (en) 1996-03-11 1998-04-16 Pirelli Cavi Spa APPARATUS AND METHOD OF PROTECTION FOR OPTICAL FIBER DEVICES
JPH09266266A (en) * 1996-03-28 1997-10-07 Mitsubishi Electric Corp Semiconductor device, manufacturing method thereof and cap of the semiconductor device
US5703394A (en) 1996-06-10 1997-12-30 Motorola Integrated electro-optical package
US5891354A (en) 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
JP3724110B2 (en) * 1997-04-24 2005-12-07 三菱電機株式会社 Manufacturing method of semiconductor device
JP3039463B2 (en) * 1997-07-29 2000-05-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6300686B1 (en) * 1997-10-02 2001-10-09 Matsushita Electric Industrial Co., Ltd. Semiconductor chip bonded to a thermal conductive sheet having a filled through hole for electrical connection
EP0926723B1 (en) * 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
US6117794A (en) 1998-01-16 2000-09-12 Lucent Technologies, Inc. Method for improved metal oxide bonding of optical elements
US6072815A (en) 1998-02-27 2000-06-06 Litton Systems, Inc. Microlaser submount assembly and associates packaging method
US6036872A (en) * 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers
KR100280622B1 (en) 1998-04-02 2001-03-02 윤종용 Contact Forming Method of Semiconductor Device
US6303986B1 (en) 1998-07-29 2001-10-16 Silicon Light Machines Method of and apparatus for sealing an hermetic lid to a semiconductor die
EP1843649A3 (en) * 1998-09-03 2007-10-31 Ibiden Co., Ltd. Multilayered printed circuit board and manufacturing method therefor
US6588949B1 (en) 1998-12-30 2003-07-08 Honeywell Inc. Method and apparatus for hermetically sealing photonic devices
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6291779B1 (en) * 1999-06-30 2001-09-18 International Business Machines Corporation Fine pitch circuitization with filled plated through holes
US6458513B1 (en) 1999-07-13 2002-10-01 Input/Output, Inc. Temporary bridge for micro machined structures
JP3595817B2 (en) * 1999-09-20 2004-12-02 株式会社トッパンNecサーキットソリューションズ Optical module mounting method and mounting structure
JP2001127208A (en) * 1999-10-29 2001-05-11 Matsushita Electric Works Ltd Semiconductor chip packaging structure and manufacturing method thereof
US6653572B2 (en) * 2001-02-07 2003-11-25 The Furukawa Electric Co., Ltd. Multilayer circuit board
US6577427B1 (en) * 2001-02-20 2003-06-10 Nayna Networks, Inc. Process for manufacturing mirror devices using semiconductor technology
US6818464B2 (en) * 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
CN103010139B (en) * 2011-09-28 2015-03-25 陈宗贤 Safety early warning device for preventing overtaking collision of rear vehicle during opening of vehicle door

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0430593A2 (en) 1989-11-22 1991-06-05 Xerox Corporation Method of cutting a silicon wafer by orientation dependent etching
EP1061578A1 (en) 1998-12-16 2000-12-20 Seiko Epson Corporation Semiconductor chip, semiconductor device, circuit board and electronic equipment and production methods for them

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647420B2 (en) 2003-09-15 2017-05-09 Nuvotronics, Inc. Package and methods for the fabrication and testing thereof
US9817199B2 (en) 2003-09-15 2017-11-14 Nuvotronics, Inc Device package and methods for the fabrication and testing thereof
WO2005105661A1 (en) * 2004-04-28 2005-11-10 Hymite A/S Techniques for providing a structure with through-holes that may be used in a sub-assembly for micro-components
US7681306B2 (en) 2004-04-28 2010-03-23 Hymite A/S Method of forming an assembly to house one or more micro components
US10784234B2 (en) 2017-05-16 2020-09-22 Raytheon Company Die encapsulation in oxide bonded wafer stack
US10242967B2 (en) 2017-05-16 2019-03-26 Raytheon Company Die encapsulation in oxide bonded wafer stack
KR20190124794A (en) * 2017-05-16 2019-11-05 레이던 컴퍼니 Die Encapsulation in Oxide Bonded Wafer Stacks
WO2018212785A1 (en) * 2017-05-16 2018-11-22 Raytheon Company Die encapsulation in oxide bonded wafer stack
KR20200144598A (en) * 2017-05-16 2020-12-29 레이던 컴퍼니 Die encapsulation in oxide bonded wafer stack
KR102196673B1 (en) 2017-05-16 2020-12-30 레이던 컴퍼니 Die Encapsulation in Oxide Bonded Wafer Stack
KR102301805B1 (en) 2017-05-16 2021-09-13 레이던 컴퍼니 Die encapsulation in oxide bonded wafer stack
US10319654B1 (en) 2017-12-01 2019-06-11 Cubic Corporation Integrated chip scale packages
US10553511B2 (en) 2017-12-01 2020-02-04 Cubic Corporation Integrated chip scale packages

Also Published As

Publication number Publication date
US6818464B2 (en) 2004-11-16
CN100377333C (en) 2008-03-26
US7081412B2 (en) 2006-07-25
HK1074913A1 (en) 2005-11-25
ATE464656T1 (en) 2010-04-15
DE60236007D1 (en) 2010-05-27
US20040266038A1 (en) 2004-12-30
WO2003034490A3 (en) 2004-04-01
EP1436837A2 (en) 2004-07-14
AU2002351771A1 (en) 2003-04-28
EP1436837B1 (en) 2010-04-14
US20050059204A1 (en) 2005-03-17
US7057274B2 (en) 2006-06-06
CN1605126A (en) 2005-04-06
US20030071283A1 (en) 2003-04-17
JP4546087B2 (en) 2010-09-15
JP2005506701A (en) 2005-03-03

Similar Documents

Publication Publication Date Title
EP1436837B1 (en) Semiconductor structure with one or more through-holes
CN101189180B (en) Thin package for a micro component
US20210134674A1 (en) Semiconductor components having conductive vias with aligned back side conductors
KR100831405B1 (en) Wafer bonding packaging method
EP1662564B1 (en) Semiconductor package and manufacturing method thereof
EP2082422B1 (en) Formation of through-wafer electrical interconnections using an etch stop layer
US7192796B2 (en) Methods and apparatus for packaging integrated circuit devices
EP2381478B1 (en) Method of manufacturing an integrated circuit device
US5322816A (en) Method for forming deep conductive feedthroughs
CN100456467C (en) Silicon chip carrier with conductive through-VIAS and method for fabricating same
US8080876B2 (en) Structure and method for creating reliable deep via connections in a silicon carrier
US8569164B2 (en) Through substrate structure, device package having the same, and methods for manufacturing the same
KR20080095944A (en) Wafer bonding packaging method
CN117595823A (en) Film bulk acoustic resonator, electronic equipment and manufacturing method thereof
CA2359608A1 (en) Electroplating methods for fabricating microelectronic interconnects and microelectronic structures fabricated thereby

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003537117

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2002787490

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20028252926

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002787490

Country of ref document: EP