WO2003021643A2 - Semiconductor material handling system - Google Patents

Semiconductor material handling system Download PDF

Info

Publication number
WO2003021643A2
WO2003021643A2 PCT/US2002/027769 US0227769W WO03021643A2 WO 2003021643 A2 WO2003021643 A2 WO 2003021643A2 US 0227769 W US0227769 W US 0227769W WO 03021643 A2 WO03021643 A2 WO 03021643A2
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
carrier
axis
door
drive
Prior art date
Application number
PCT/US2002/027769
Other languages
French (fr)
Other versions
WO2003021643A3 (en
Inventor
Anthony C. Bonora
Richard H. Gould
Roger G. Hine
Michael Krolak
Jerry A. Speasl
Original Assignee
Asyst Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies, Inc. filed Critical Asyst Technologies, Inc.
Priority to JP2003525891A priority Critical patent/JP4309264B2/en
Priority to CNB028204883A priority patent/CN1327477C/en
Priority to KR1020047003085A priority patent/KR100800612B1/en
Priority to DE10297169T priority patent/DE10297169T5/en
Publication of WO2003021643A2 publication Critical patent/WO2003021643A2/en
Publication of WO2003021643A3 publication Critical patent/WO2003021643A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.

Description

SEMICONDUCTOR MATERIAL HANDLING SYSTEM
Claim of Priority
[0001] This application claims priority from provisional application entitled
"UNIVERSAL MODULARPROCESSING INTERFACE SYSTEM", Application
No. 60/316,722, filed August 31, 2001, and which application is incorporated
herein by reference.
Cross-Reference to Related Applications
[0002] 1. U.S. Patent Application No. 10/087,400, filed March 1, 2002,
entitled "WAFER ENGINE"; and
[0003] 2. U.S. Patent Application No. 10/087,638, filed March 1, 2002,
entitled "UNIFIED FRAME FOR SEMICONDUCTOR MATERIAL HANDLING
SYSTEM". Field of the Invention
[0004] The present invention generally relates to a wafer transfer system.
More particularly, the present invention is a unified, scalable frame or structure that equipment front end module (EFEM) components mount to, and wafer engine for transferring wafers.
Background of the Invention
[0005] Standard Mechanical Interface Pods (SMIF pods) are in general
comprised of a pod door which mates with a pod shell to provide a sealed
environment in which wafers may be stored and transferred. One type of pod is a
front opening unified pod, referred to as FOUP 10, in which the pod door is located
in a vertical plane, and the wafers are supported either in a cassette mounted within
the pod shell, or two shells mounted in the pod shell.
[0006] During the fabrication of semiconductor wafers, the SMIF pods are
used to transport the workpieces between various tools in the wafer fab. These
tools include process tools for forming integrated circuit patterns on the wafers,
metrology tools for testing the wafers, sorters for sorting and rearranging the wafers
within one or more SMIF pods, and stockers for large scale storage of SMIF pods.
The tools are generally laid out in a wafer fab in one of two configurations, a bay
and a chase configuration or a ballroom configuration. In the former arrangement,
only the front of the tool including the workpiece I/O port is maintained in the clean room environment of Class-1 or better. In the ballroom configuration, the tools are arranged in clusters according to the operations they perform, with the entire tool being maintained in the clean room environment of Class-1 or better.
[0007] Tools within a wafer fab include a front-end interface which houses components that facilitate and monitor the transfer of workpieces (i.e. wafers) between the pods to the tools. A conventional front end unit or equipment front end module (EFEM) 20 is shown in Figs. 1-2. EFEMs 20 are generally constructed at a tool manufacturer and then shipped to a wafer fab. [0008] An EFEM 20 generally includes a housing 22 which is fixed to the
front of the tool and a workpiece handling robot 24 mounted within the housing
and is capable of x, r, θ, Z motion to transfer workpieces between the workpiece carriers, tool and other front end components. The robot 24 is generally mounted
with leveling screws that will allow the adjustment of the planarity of the robot 24
once the EFEM 20 is constructed and affixed to a tool.
[0009] hi addition to a robot 24, the EFEM 20 generally includes one or
more prealigners 26 for performing the operation of wafer center identification, notch orientation, and indocile mark reading. The prealigner(s) 26 are commonly
bolted into the housing 22 with leveling screws allowing the planarity of the
prealigner(s) to be adjusted once the EFEM 20 is constructed and affixed to a tool.
[0010] An EFEM 20 further includes one or more load port assemblies 28 for receiving a workpiece carrier, opening the carrier, and presenting the workpiece to the robot 24 for transfer of the workpieces between the carrier, and other processing tools. For 300mm wafer processing, a vertically oriented frame, commonly referred to as a Box Opener-Loader Tool Standard Interface (or
"BOLTS" interface), has been developed by Semiconductor Equipment and Materials International ("SEMI"). The BOLTS interface attaches to, or is formed as part of, the front end of a tool, and provides standard mounting points for the load port assembly to attach to the tool. United States Patent No. 6,138,721, entitled "Tilt and Go Load Port Interface Alignment System," which is assigned to the owner of the present application and which is incorporated by reference in its entirety herein, discloses a system for adjusting a load port assembly to the proper position adjacent a BOLTS interface and then affixing the load port assembly to the interface.
[0011] Once the robot 24, the prealigners 26 and load port assemblies 28
have been mounted to the housing 22, the EFEM 20 is shipped to the wafer fab and affixed to a tool within the fab. After being properly secured to the tool, the EFEM components are leveled within the housing 22 via the leveling screws, and the robot
24 is then taught the acquisition and drop-off positions it will need to access for workpiece transfer between the load port assemblies, the prealigners and the tool. A system for teaching the various acquisition and drop-off positions for the robot within the tool front end is disclosed in United States Patent Application Serial
No.09/729,463, entitled "Self Teaching Robot," which application is assigned to the owner of the present application and which application is incorporated by
reference herein in its entirety. Once the robot positions have been taught, side
panels are attached to housing 22 to substantially seal the housing against the
surrounding environment.
[0012] For example, conventional EFEMs include many separate and
independent workpiece handling components mounted within an assembled
housing. The housing 22 includes a structural frame, bolted, constructed or welded
together, in a plurality of panels affixed to the frame. After the housing 22 is
assembled, the EFEM components are fixed to the various panels. It is a disadvantage to prior art EFEMs that the overall system tolerances are compounded
with each frame member, panel and component connection. The result is that the
assembled EFEM components are poorly aligned and need to be adjusted to the
proper position with respect to each other. The robot 24 must also be taught the
relative positions of the components so that the EFEM components can interact
with each other. This alignment and teaching process must take place every time there is an adjustment to one or more of the EFEM components.
[0013] A further shortcoming of the prior art is that EFEM components are
frequently made by different suppliers, each with its own controller and
communication protocols. Steps must be taken upon assembly of the EFEM so that the controllers of each component can communicate with each other and the components can interact with each other. The separate controllers also complicate maintenance and add to the parts and electrical connections provided in the EFEM.
Further still, especially in a ballroom configuration, the conventional EFEM takes
up a large amount of space within a Class-1 cleanroom environment where space is at a premium.
[0014] Today's 300mm semiconductor EFEMs are comprised of several
major subsystems including SEMI El 5.1 compliant load port modules (typically
2-4 per tool). For example, an EFEM may consist of a wafer handling robot and
a fan filter unit mounted to a structural steel frame, and have panels to enclose the
wafer handling area between the load ports and the process tool. The combination
of these components provides a means of transferring wafers to and from a FOUP
10, and between the FOUP and the process tool wafer dock(s). FOUPs 10 are
manually loaded via operators or automatically loaded via an automated material
handling system (AMHS) delivered to and taken from the Load Port. Industry
Standards have been created to allow multiple vendors to provide the Load Port,
FOUP 10, or other EFEM components to be integrated as a system.
[0015] The Load Port component provides a standard interface between the
AMHS and the wafer handling robot in the EFEM. It provides a standardized
location to set the FOUP 10, docks the FOUP 10 to seal the front surface, and
opens and closes the door to allow access to the wafers in the FOUP 10. The
dimensions of this unit are all specified in SEMI E 15.1.
[0016] The Load Port attaches to the Front End via the Bolts Interface which is defined by SEMI E-63. This standard defines a surface and mounting
holes to which the Load Port attaches. It is defined to start at the fab floor and goes
as high as 1386mm from the floor and is about 505 mm wide per Load Port. As a
result, the load port completely blocks off the process tool from the operator aisle
in the fab. SEMI E-63 also defines load port dimensions on the tool side to ensure
interchangeability with a variety of robot manufacturers.
[0017] The primary functions of the load port include accepting a FOUP 10
from and presenting to a FOUP 10 to the Fab AMHS, moving the FOUP 10
towards and away from the port seal surface (docking/undocking), and opening and
closing the FOUP door. In addition, it must perform functions such as locking the
FOUP 10 to the advance plate, lock and unlock the FOUP door, and a variety of lot
ID and communication functions. Per SEMI El 5.1, all of these functions are
contained in a single monolithic assembly which is typically added or removed
from the tool front end as a complete unit. [0018] The load port must be aligned with precision to the wafer robot. If
there are multiple load ports in the system, they must all present the wafers in level
parallel planes. Typically, the Load Ports provide several adjustments to planarize
the wafer in the FOUP 10 with the robot. In order to minimize time spent
calibrating the robot to each of the 25 wafer positions in each of the FOUPs 10,
specialized tools and alignment fixtures are used in conjunction with all of the
adjustments. If a load port is swapped out with a new one, the calibration procedure can be quite lengthy.
[0019] In addition to aligning the robot to the wafers positions, the door
mechanism must also be aligned with the door opening and the door seal frame. Again, this is typically performed with alignment fixtures and tools either on the tool front end or off line.
[0020] The robot must also be leveled and aligned with one or more tool
drop off point. This is typically done manually by teaching the robot the position
and making planarity adjustments either on the front end or the tool.
[0021] It is the combination of all of these relationships between the tool,
the robot, and the FOUPs 10 which make setting up a tool front end so time
consuming. All of the components are typically attached to a relatively low
precision frame, and adjustments are used to compensate for it. The load ports are
mounted to the front surface, the robot to the base, the fan/filter unit (FFU) to the top, and skins on all other open surfaces to complete the mini-environment
enclosure.
[0022] It would be advantageous to minimize the adjustments between the
components and reduce the overall time required to align the load port. The present
invention provides such an advantage.
Summary of the Invention
[0023] One aspect of the present invention is to provide a unified structure or frame that precisely ties many critical EFEM components together. In one embodiment, the frame serves as a single reference for aligning the interior and exterior EFEM components. In another embodiment, the interior and exterior EFEM components are aligned in relation to each vertical strut of the frame. [0024] Another aspect of the present invention is to provide a unified structure or frame that is scalable in size. In one embodiment, the unified structure includes vertical struts secured to an upper and lower support member. The number of vertical struts and the length of the upper and lower support member depends on the number of I/O ports within the EFEM. Similarly, the size and spacing of the vertical struts and the support members may vary to accommodate
200mm wafers, 300mm wafers, and 400mm wafers.
[0025] Yet another aspect of the present invention is to accurately and precisely locate the front load components with respect to each other. Preferably, this calibration process is accomplished with a minimum number of adjustments. In one embodiment, all the interior and exterior EFEM components are precisely tied to the unified frame such that they share common reference points. [0026] Yet another aspect of the present invention is to provide a unified frame that segregates and isolates the port door/carrier door assembly from the many of the interior EFEM components. In one embodiment, the port door/carrier door assembly is lowered into a separate air flow/storage area located within the mini-environment. The storage area prevents particles created by, for example, a wafer handling robot, from contaminating the assembly.
[0027] Still another aspect of the present invention is to provide a wafer
carrier docking/interface plate that can be easily removed from the EFEM to access
the interior of the EFEM. h one embodiment of the present invention, the
removable plate is manufactured from a transparent material so that a user may observe any problems/malfunctions that occur within the mini-environment.
[0028] Still another aspect of the present invention is to decrease the
footprint of the EFEM. h one embodiment, the EFEM is supported by a rolling
stand whereby the bottom surface of the EFEM is raised off the floor of the wafer
fab. The area between the wafer fab floor and the EFEM may serve as a
maintenance access port to the processing tool, or an area to place auxiliary compartments.
[0029] Still another aspect of the present invention is to provide a wafer
engine for transferring wafers. In one embodiment, the wafer engine may perform
a number of inspection, marking, and metrology functions, eliminating the need for
a separate processing station.
[0030] Still another aspect of the present invention is to provide a wafer
engine that may transfer wafers within the reduced footprint of the EFEM. hi one
embodiment, a wafer engine includes a linear drive for moving the wafer along a x-axis, a vertical drive for moving the wafer z-axis, a radial drive for moving the
wafer along a radial axis, and a rotational drive for rotating the vertical and radial drive about a theta axis.
[0031] A further aspect of the present invention is to provide local filtering
for various particle generating mechanisms on the wafer engine. In one
embodiment, a fan/filter unit is mounted to the radial drive to capture particles
created by the radial drive. In another embodiment, an exhaust system creates an
air flow through the vertical drive to capture any particles created by the vertical
drive. These localized fan/filter units attempt to control particles created by the wafer engine by exhausting the particles into a "dirty-air" environment, or by first
filtering the air before it is exhausted back into a "clean air" environment.
[0032] Still another aspect of the present invention is to provide a wafer
engine that has dual swap and align-on-the-fly capabilities . h one embodiment, the wafer engine has a rapid swap radial drive, or buffer capability, to simultaneously
store and transfer two wafers, hi another embodiment, an upper end effector may
rotate and align a first wafer while a second wafer is stored and/or transported by
a lower end effector.
[0033] Still another aspect of the present invention is to provide a wafer
engine that has a removable/interchangeable slide body mechanism, h one
embodiment, the slide body mechanism includes integrated processing tools such
as an OCR reader, an aligner, an ID reader, or a metrology tool. A removable slide body mechanism allows a wafer fab to incorporate the same wafer engine
throughout whereby only the slide body mechanism must be customized to each individual process station.
[0034] Yet another aspect of the present invention is to provide a wafer
engine having a vertical drive located above the theta drive. Such a vertical drive
is located substantially within the FOUP 10 area and minimizes the footprint of the
wafer engine.
[0035] The present invention provides all of these advantages.
Detailed Drawings of the Present Invention
[0036] FIG. 1 is a perspective view of a conventional front end assembly
in accordance with the prior art;
[0037] FIG. 2 is a top view of the front end assembly shown in Fig. 1;
[0038] FIG. 3 is a side view of a conventional front end assembly in
accordance with the prior art;
[0039] FIG. 4 is a perspective view of an embodiment of the spine
structure, according to the present invention;
[0040] FIG.5 is a partial exploded view of the spine structure shown in Fig.
4;
[0041] FIG. 6 is a perspective view of an embodiment of a FOUP docking
interface, according to the present invention;
[0042] FIG. 7 is a partial exploded perspective view of an embodiment of
the spine structure and front end load components, according to the present invention;
[0043] FIG. 8 is a perspective view of an embodiment of a wafer engine
mounted to the spine structure, according to the present invention;
[0044] FIG. 9 is a perspective view of an embodiment of a wafer engine drive rail mounted to the spine structure, according to the present invention;
[0045] FIG. 10 is a side view of an embodiment of the front end load interface, according to the present invention;
[0046] FIG. 11 is a partial exploded view of another embodiment of the
integrated mini-environment and structure, according to the present invention; [0047] FIG. 12 is a side view of the integrated mini-environment and structure as shown in Fig. 11 ;
[0048] FIG. 13 is a partial perspective view of an embodiment of the backbone structure according to the present invention;
[0049] FIG. 14 is perspective view of still another embodiment of the integrated mini-environment and structure, according to the present invention;
[0050] FIG. 15 is an end view of the integrated mini-environment and
structure shown in Fig. 14;
[0051] FIG. 16 is apartial exploded view illustrating an embodiment of the unitized frame of the integrated mini-environment and structure shown in Fig. 15 ; [0052] FIGS. 17A-17B; Fig. 17A is a top view of an embodiment of a conventional wafer handling robot; Fig. 17B is a top view of the wafer handling robot shown in Fig. 17A with the end effector extended, according to the prior art;
[0053] FIG. 18 is a perspective view of an embodiment of a rapid swap wafer engine, according to the present invention;
[0054] FIG. 19 is a perspective view of the wafer engine shown in Fig. 18 illustrating several of the components of the drive mechanisms and the vertical column and the slide body mechanism;
[0055] FIG. 20 is a perspective view of another embodiment of a wafer engine, according to the present invention; [0056] FIG. 21 is a perspective view of the wafer engine shown in Fig. 18, illustrating the air flows created by the fan/filter units;
[0057] FIGS. 22A-22D; Fig. 22A is a perspective view of still another embodiment of a wafer engine equipped with a wheeled aligner and an ID reader on the slide body mechanism, according to the present invention; Fig.22B is a top view of the wafer engine shown in Fig. 22A; Fig. 22C is a slide view of the wafer engine shown in Fig. 22A; Fig. 22D is a rear view of the wafer engine shown in
Fig. 22A;
[0058] FIG. 23 is a perspective view of an embodiment of the upper end effector shown in Fig. 22A; [0059] FIGS.24A-24C; Fig. 24A is a cut away view of an embodiment of the wheeled end effector aligner illustrating a wafer supported by the pad; Fig.24B is cut away view of the wheeled end effector aligner in Fig. 24A illustrating the
wafer lifted off the pad and supported by the wheel; Fig. 24C is cut away view of
the wheeled end effector aligner shown in Fig. 24 A illustrating the wafer being
released by the wheel and set back down on the pad;
[0060] FIG. 25 is a perspective view of yet another embodiment of the wafer engine, according to the present invention;
[0061] FIG. 26A-26B; Fig. 26A is a perspective view of another
embodiment of the radial drive; Fig. 26B is still another embodiment of the radial
drive;
[0062] FIGS. 27A-27B; Fig. 27A is a plan view illustrating the reach and
swing clearance advantage of the wafer engine according to the present invention;
Fig. 27B is plan view of a conventional linear slide robot illustrating the mimmum
clearance and maximum reach required;
[0063] FIG.28 illustrates an example motion sequence for the rapid swap
slide body with off center rotation axis, according to the present invention;
[0064] FIGS . 29 A-29D; Fig. 29 A is a perspective view of an embodiment
of the front end load interface, according to the present invention; Fig. 29B is a
front view of the integrated system shown in Fig. 29 A; Fig. 29C is a side view of
an embodiment of the front end load interface shown in Fig. 29 A; Fig. 29D is a plan view of an embodiment of the front end load interface shown in Fig. 29 A; [0065] FIGS. 30A-30B; Fig. 30A is a perspective view of an embodiment of the integrated system mounted to a processing tool; Fig. 3 OB is a side view of the integrated system shown in Fig. 30 A; and
[0066] FIG. 31 is a side view of the integrated system shown in Figs. 30A- 30B, illustrating how the integrated system frees up space for Automated Material
Handling System (AMHS) buffering.
Detailed Description of the Present Invention
[0067] The present invention will now be described with reference to Figs.
4-31 , which relate generally to a wafer transfer system. The preferred embodiments of the present invention are used for 300mm semiconductor wafer fabrication. The present invention may also be used in the fabrication of workpieces other than semiconductor wafers, such as for example reticles, flat paneled displays and magnetic storage disks. The present invention may also be used in the fabrication of workpieces larger or smaller than 300mm, such as for example 200mm and 150mm. Moreover, while the present invention preferably operates within a FOUP system, it is understood that the present invention may operate with other workpiece transport systems, including open wafer cassette systems.
Unified Spine Structure [0068] The spine structure 100 is based off the idea that a single unified frame or structure may serve as a base foundation for an EFEM. This foundation maybe repeatedly manufactured in a similar fashion so as to lower the cost of the system, and allow EFEM components to mount to the frame to simplify alignment.
The structure or frame 100 minimizes the amount of space required by a front end load tool. A frame or structure also minimizes alignment time and greatly simplifies access to components located inside the front end tool for maintenance procedures and/or services that are required.
[0069] Figs. 4-5 illustrate a preferred embodiment of the unified spine
structure 100. The spine 100 includes multiple vertical struts 102 that are
connected together by an upper channel or support member 104 and a lower channel or support member 106. Each vertical strut 102 has an inward face 108
and an outward face 110. As shown throughout Figs.4-10, each vertical strut 102 preferably has a substantially rectangular cross-section. A rectangular cross section is preferred so that the outward face 110 of each vertical strut 102 forms a seal with
any EFEM component mounted to the vertical strut 102. The rectangular cross-
section of each vertical strut 102 also ensures that the upper support member 104
and lower support member 106 are flush against the inward face 108 and outward
face 110 when secured to each vertical strut 102. It is within the scope and spirit
of the invention for the vertical strut 102 to have a different cross section such as, but not limited to, circular or oval.
[0070] In the preferred embodiment, the spine structure 100 is comprised primarily of sheet metal components, with a few machine components where the precision is required. The sheet metal is implemented in ways which take advantage of the precision that can be derived from some aspects of this fabrication technique. For example, the long bends in the upper support member 104 and
lower support member 106 that form a "U"-shape provide a very straight reference
to align the vertical struts 102. In a preferred embodiment, holes 120 and 122 are
punched in the upper and lower channel 104 and 106 to further guarantee good
hole to hole alignment between each vertical strut 102 and the upper and lower
channel 104 and 106. [0071] The sheet metal components also serve the function of exterior skins or mounting surfaces (described hereinafter) to the system as well as structural support, hi current EFEM systems, sheet metal is usually reserved for non- structural panels which only provide cosmetic finish and containment. By incorporating sheet metal into several of the structural components, the material cost of the EFEM may be dramatically reduced.
[0072] The upper support member 104 is secured to the top portion 114 of
each vertical strut 102, while the lower support member 106 is secured to the
bottom portion 112 of each vertical strut 102. Accordingly, the spine 100 provides a very straight and stiff structure in both torsion and bending to build a front end load system on. In a preferred embodiment, the upper support member 104 and the lower support member 106 are manufactured from a single piece of sheet metal. The bends in the sheet metal to create the upper support member 104 are dictated
by the width of the upper portion 114 of each vertical strut 102, so that the width
of the "U"-shaped upper support member 104 is substantially similar to the width
of the upper portion 114 of each vertical strut 102. Similarly, the width of the
lower "U"-shaped support member 106 is preferably substantially similar to the
width of the bottom portion 112 of each vertical strut 102. Each support member
104 and 106 is intended to be flush against the inward face 108 and outward face
110 of each vertical strut 102.
[0073] In a preferred embodiment, the lower portion 112 of each vertical
strut 102 is wider than the upper portion 114 of each vertical strut 102. As best
shown in Figs. 4-5, the spine structure 100 aligns each vertical strut 102 in a
vertical orientation so that each vertical strut 102 is substantially parallel to each
other. Each strut 102 is preferably spaced on 505mm centers, which is the
minimum allowed spacing for adj acent load ports per SEMI E- 15.1. It is within the
scope and spirit of the invention for the vertical struts 102 to be spaced apart at
various or unequal distances.
[0074] To provide a rigid structure in both a torsional and lateral direction,
each vertical strut 102 is secured to both the upper support member 104 and the
lower support member 106. Each vertical strut 102 is positioned between the upper
support member 104 and lower support member 106 as shown in Fig. 4. As
previously described, each vertical strut 102 is aligned with the mounting holes 120 and 122 in the upper support member 104 and the lower support member 106. By
way of example only, each vertical strut 102 is secured to the upper support
member 104 by abolt orpin secured to the top portion 114 of the vertical strut 102
(e.g. through mounting hole 120), and at least one bolt or pin secured to the front
face 110 or the back face 108. Each vertical strut 102 must also be secured to the
lower supportmember 106. By way of example only, abolt orpin is secured to the
bottom portion 112 of each vertical strut 102 (e.g. through mounting hole 122), and
at least one bolt or pin is secured to both the front face 110 and the rear face 108.
[0075] The "U"-shaped configuration of the upper support member 104 and
the lower support member 106 further prevent each vertical strut 102 from rotating in place. Although the upper channel 104 and lower channel 106 as shown in Figs. 4-5 are manufactured from a single piece of sheet metal, it is within the scope and spirit of the invention for the upper support member 104 and lower support member
106 to be manufactured from multiple pieces of material. In a preferred
embodiment, and as best shown in Fig.5 , the upper support member 104 and lower
support member 106 have a perforated surface. The perforated surfaces of the upper support member 104 and lower support member 106 allow air from a
fan/filter unit 150 (FFU) to flow through (see Fig. 10).
[0076] When the lower support member 106 is secured to the vertical struts 102 it forms a front mounting surface 118 and a rear mounting surface 116 that various EFEM components may mount to (see Figs. 6-10). hi general, the spine 100 creates at least three parallel and co-linear mounting surfaces: the front face
110 of the upper portion 112, the front mounting surface 118, and the rear
mounting surface 116. As will be described later, the EFEM components mount
to one of these three surfaces. These three surfaces have a known spacial
relationship between them, and thus components mounted to these surfaces may be aligned with minimal adjustments, or require no adjustments at all.
[0077] The lower support member 106 also creates an air flow area 121
located between the front mounting surface 118 and the rear mounting surface 116.
The air flow area 121 is designed to accommodate aFOUP door open/close module
139 that has been guided away from the port door opening and lowered down into
the air flow area 121.
[0078] Isolating the FOUP door open/close module 139 from the area the
wafer engine 300 operates within has many advantages. For example, a single
airflow generated by an FFU 150 is divided into two isolated air flows. One air
flow will be directed towards the FOUP door open/close module 139, while a
second separate air stream will be directed into the wafer engine area. The two isolated air flows will provide a cleaner environment for the FOUP/port door
assembly 139 than if a single air flow was circulated for both the wafer engine area
and the FOUP door open/close module 139. If there was only a single air flow path
for both the wafer engine 300 and the FOUP assembly 130 particles created by the
wafer engine, 300 may contaminate the FOUP/pod door assembly 139. [0079] The rear mounting surface 116 of the lower support member 106
also operates as a protective barrier between the FOUP door open close module 139
and the wafer engine area. The rear mounting surface 116 prevents particles
generated by the wafer engine 300 from entering the air flow area 121 storing the
FOUP door open/close module 139. The rear mounting surface 116 also allows the wafer engine 300 to have localized filtering and exhaust systems that exhaust "dirty" air containing particles below the wafer plane while not contaminate the
FOUP door open/close module 139 (described hereinafter). [0080] The spine structure 100 as shown in Figs.4-5 is configured as a four
FOUP I O port EFEM. It is within the spirit and scope of the invention for the
EFEM to include any number of I/O ports. Additionally, the EFEM may include spaces or blank I/O ports located between each I/O port that wafers will be transported through. As previously mentioned, the spine structure 100 is scalable.
The number of vertical struts 102, and the length of the upper support member 104
and the lower support member 106 may be modified to match the I/O port
configuration required for the EFEM.
[0081] Each vertical strut 102 also has a cam guide 124 machined into the
side surface. The cam 124 operates as a track or channel for guiding the FOUP
door open close module 139 rearward away from the FOUP 10 and subsequently downward into the air flow area 121. The movement of the port/pod door assembly
139 may be controlled by a motor assembly (not shown) located within the processing station. Such a motor assembly is known in the art and does not require
further disclosure. It is within the scope and spirit of the invention to mechanically
guide and move the FOUP door 12 and port door 140 into the storage area 121.
[0082] The FOUP docking interface shown in Figs. 6-7 illustrate several
EFEM components mounted to the spine structure 100. By way of example only,
the components may include a wafer engine or robot 300, a FOUP support
assembly 130, aFOUP docking/isolation plate 138, and aport door 140. The FOUP
support assembly 130 includes a FOUP advance support 132, a FOUP advance
module 133, and a FOUP support plate 134.
[0083] In order to transfer the workpieces from the FOUP 10 into the mini-
environment (see Fig. 10 - "Class-1 Area") a FOUP 10 is manually or automatedly
loaded onto the port advance plate 134 so that the FOUP door faces the load port
door 140. A conventional load port door 140 includes a pair of latch keys which
are received in a corresponding pair of slots in the door latching assembly mounted
within the FOUP door. An example of a door latch within a FOUP door adapted
to receive an operate with such latch keys is disclosed in U. S. Patent No. 6, 188,323 ,
entitled "WAFER MAPPING SYSTEM," issued to Rosenquiest et al., which
patent is assigned to the owner of the present invention, in which patent is
incorporated by reference herein in its entirety. In addition to decoupling the FOUP door from the FOUP shell, rotation of the latch keys also lock the keys into their respective FOUP door slots. There are typically two latch key and slot pairs, each of which pairs are structurally and operationally identical to each other.
[0084] Apodadvanceplatel34typicallyincludesthreekinematicpins 135,
or some other registration feature, which mate within corresponding slots on the
bottom surface of the FOUP 10 to define a fixed and repeatable position of the
bottom surface of the FOUP 10 on the advanced plate 134. Once a FOUP 10 is
detected on the pod advanced plate 134, the FOUP 10 is advanced toward the port
door 140 until the FOUP door lies in contact with or is near the port door 140. It
is desirable to bring the front surfaces of the respective doors into contact with each
other to trap particulates and to insure a tight fit of the port door latch key in the
FOUP doorkeyslot. U.S.PatentApplicationSerialNo.09/115,414, entitled "POD
DOOR TO PORT DOORRETENTION SYSTEM," by Rosenquist et al., and U.S.
Patent Application Serial No. 09/130,254, entitled "POD TO PORT DOOR RETENTION AND EVACUATION SYSTEM," by Fosnight et al. disclose
systems insuring a tight, clean interface between the FOUP 10 and port doors.
These applications are assigned to the owner of the present invention, and are both
incorporated by reference herein in their entirety.
[0085] Once the FOUP 10 and port doors are coupled, linear and/or
rotational drives within the EFEM move the FOUP 10 and port doors together into
the interior of the EFEM, and then away from the load port opening so that the
workpieces may thereafter be accessible to the wafer engine 300. As shown in Fig.
10, the port door 140 is affixed to the FOUP door and a controller actuates a slide to translate the carrier and port doors along the cam 124 located in each vertical
strut 102. The cam 124 guides the interlocked carrier and port doors vertically
down into the air flow area 121 of the lower support member 106. As previously
mentioned, the port door 140 and FOUP door are isolated from the rest of the
Class-1 area while stored in the air flow area 121. The linear slide and rotational
drive configurations (not shown) are known in the art and do not require further
disclosure. A linear slide may be comprised of a linear bearing and a drive
mechanism. By way of example only, the linear bearing may include a ball or air bearing. Similarly, the drive mechanism may include a motor with a cam lead
screw, a belt drive, or a linear motor. The rotational drive may be comprised of,
by way of example only, a gear motor, a direct drive, a belt drive, or other similar
means.
[0086] After the FOUP 10 and port doors are moved away from the
docking/isolation plate 138, the wafer engine or robot 300 may transfer workpieces
into the tool front end without interference from the stored FOUP 10 and port
doors. Once operations on a workpiece lot at the tool have been completed and the
workpieces have been returned to the FOUP 10, the controller again actuates the
drive and the slide to move the doors back into the I/O port, where upon the FOUP
door is transferred and secured to the FOUP 10.
[0087] The docking/isolation plate 138 is mounted to the front face 110 of
each vertical strut 102. The docking/isolation plate 138 isolates the interior region (Class- 1 or "clean" area) of the tool front end from the outside ambient or exterior
region. The docking/isolation plate 138 also provides an interface plane that the
FOUP 10 is advanced towards to a close and controllable proximity (e.g., 0-5mm
separation). The plate 138 forms an auxiliary seal with the FOUP 10 and the port
door 140. An auxiliary seal allows a separation to exist between the plate 138 and
the FOUP 10, but still creates an airtight seal between the plate 138 and the FOUP
10. An airtight seal between the plate 138 and the FOUP 10 is desirable to prevent
gas from leaking out of the Class- 1 Area or to maintain the inert environment of the load port interface.
[0088] The docking/isolation 138 is preferably manufactured from a single
piece of material that includes one or more FOUP openings machined into it. The
docking/isolation plate 138 includes registration holes 144 to locate it accurately
with respect to each vertical strut 102. This provides a machined, precision
relationship between all the FOUP 10 openings for the EFEM. The
docking/isolation plate 138 may also comprise individual pieces of material that
mount to each vertical strut 102 using the same reference features. The plate 138
may be fabricated from materials such as, but not limited to, plastic, metal, sheet
metal, or even glass.
[0089] In a preferred embodiment, the docking/isolation plate 138 is
machined from a clear material, such as polycarbonate. Machining the
docking/isolation plate 138 from a clear material provides an addedbenefit of being able to see inside the mini-environment or Class-1 Area while the tool is in
operation. The currentE15 load port/SEMI E63 Bolts Interface does not define this
feature. The docking/isolation plate 138 does not have any structural features and
therefore may be secured to each vertical strut 100 of the spine 100 by only a few
bolts and or pins. Thus, the docking/isolation plate 138 may be easily removed.
Further, since none of the EFEM components align with reference to the
docking/isolation plate 138, the docking/isolation plate 138 may be removed from
the EFEM without disturbing the set up or alignment of the EFEM components
such as the port door 140, the FOUP advance plate 134, or the wafer engine 300.
This provides a simple method of gaining access to the "clean" area (Class-1 area
in Fig. 10) of the EFEM for service, maintenance, or error recovery.
[0090] Fig. 8 illustrates the wafer engine 300 mounted to the spine structure
100. From this view, it is clearly shown that the wafer engine 300 may travel
linearly to access all the I/O ports of the EFEM. The wafer engine 300 travels
along a rail assembly 302, which is mounted to the rear mounting surface 116 of
the lower support member 106. In this embodiment, the linear drive 302 is shown
as a belt drive. It is within the scope and spirit of the invention for the linear drive
302 to comprise other drive systems such as, but not limited to, a direct drive, a
linear motor, a cable drive, or a chain link drive. The components of the wafer
engine 300 will be described later. Such drive systems are well known in the art
and do not require further disclosure. [0091] Fig. 9 illustrates further detail of the rail system 302 shown in Fig.
8 mounted to the spine structure 100. The rail system 302 includes an upper x rail
310, a lower x rail 312, and a carriage guide 311, all mounted to the rear mounting
plate 118 of the lower channel 106. In a preferred embodiment, the upper x rail 310 and the lower x rail 312 are circular or tubular, and are substantially parallel
to each other. Engaging the upper x-rail 310, the lower x-rail 312, and the carriage
guide 311 is an x carriage 304. The upper and lower x rail 310 and 312 also serve
as the main support for the wafer engine 300.
[0092] Fig. 9 also illustrates a control box 147 that is preferably located
below the FOUP advance assembly 130. The EFEM requires many electrical control devices (e.g., control wiring, PCBs. etc.). It would be an advantage if these devices were easily accessible for maintenance and repair. The control box 147 provides an area to mount the electrical devices. In a preferred embodiment, the control box 147 has a pivoting front cover that may drop down for access to the electrical components inside. Within the control box are located many of the electrical components and control systems required to power and operate the EFEM components. It is intended that these electrical components maybe easily accessed for maintenance purposes and therefore the pivoting front cover of the control box 147 is secured by a few bolts and/or pins that may be removed and allow the front cover to pivot downwards towards the floor of the fab.
[0093] As shown in Figs. 10, and 30-31, the spine structure 100 architecture provides a way to minimize the footprint of the EFEM and seal the clean volume
of the system while still maintaining overall system accuracy. The FFU 150
mounts to and seals with the upper channel 104 and a tool interface panel 154 to
form the top of the EFEM. The front seal is provided by mounting the
docking/isolation plate 138 to the front face 110 of each vertical strut 102. A sheet
metal panel 152, which is preferably a perforated surface, mounts to the lower
support member 106 to form the bottom of the EFEM. The panel 152 also acts as
an exhaust plate that allows the exhaust flow from both the FFU 150 and the wafer
engine 300 to pass out into the ambient environment. Each side of the EFEM
is sealed by end plates 156 which mount and seal with the spine 100 (see Fig. 30),
the tool interface panel 154, the panel 152, and the FFU 150. As shown in Fig. 10,
the clean air flow from the FFU 150 and the slide body FFU 420 travel through the
mini-environment, or Class-1 area, and out through the bottom panel 152 and the
lower channel 106. The airflow exhausted from the Z slot fan 354 (described
hereinafter), which contains particles generated by the vertical drive 380, also
travels through the bottom panel 152. The airflow from the Z slot fan 354 never
enters the clean mini-environment.
[0094] In general, the spine 100 creates a single reference system to
calibrate and align the EFEM components, such as the wafer engine 300 and the
FOUP advance assembly 130. Each separate EFEM component may calibrate to
a Icnown and fixed position, such as a vertical strut 102 instead of calibrating and aligning with respect to each other. This method of calibration is greatly simplified over the conventional procedures required today.
Spine Structure with a Backbone
[0095] Figs. 11 -13 illustrate another embodiment of a spine structure. The primary structural elements of this embodiment include a horizontal beam 170,
registration struts 172, and a front mounting plate 174. As shown in Fig. 11, the horizontal beam 170 is preferably mounted to the bottom portion of each
registration strut 172 to form a rigid frame. The front mounting plate 174 is also
mounted to each registration strut 172, providing a surface for the exterior EFEM
components (e.g., FOUP advance assembly 130) to mount to. The horizontal beam
170 maybe manufactured from, byway of example only, an aluminum extrusion, steel tube, a structure made from bent sheet metal, a flat plate, a laminated plate, or most likely a combination of some of the above. The horizontal beam 170 also
provides a surface for the linear drive 306 (described hereinafter) to mount to.
Similar to the spine structure 1 0, this embodiment provides a single reference to mount and align EFEM components.
[0096] Fig. 12 illustrates that the FOUP door 12 and the port doorl40 are
preferably still stored in an isolated area within the Class-1 Area. Accordingly, the beam 170 must be spaced apart from the registration struts 1 2 far enough to allow the FOUP door 12 and the port door 140 to fit between the beam 170 and the registration strut 172. As shown in Fig. 12, separators 171 are placed between each
registration strut 172 and the beam 170 to create the storage area. It is within the
scope and spirit of the invention to create the storage area through other means. The beam 170 also functions as a protective barrier, preventing particles created by
the wafer engine 300 from contaminating the FOUP door 12 or the port door 140.
[0097] Fig. 13 illustrates that the support structure or spine may include the
beam 170 having a CNC milled aluminum plate 176 mounted to the beam 170 for
supporting the x-axis rails 31 and 312. This structure is further rigidified by a
sheet metal U-shaped section 1 5. The vertical registration struts 172, which are
mounted to the section 175, are aligned similarly to the vertical struts 102 in the previous embodiment. As shown in Fig. 11 , a front mounting plate 172 mounts to
the registration struts 174. EFEM components, such as the FOUP advance
assembly 130, mount to the front mounting plate 172.
[0098] The beam 170 maybe positioned between the wafer engine 300 and
the pod openers below the work space of the wafer handler. The beam 170,
however it is constructed, provides one structural common element that the EFEM components precisely mount to, eliminating the need for time consuming adjustments in the field when an EFEM is installed or replaced. Single Frame/Shell
[0099] Figs. 14-16 illustrate yet another embodiment of the spine structure
configured as a FOUP docking station. In this embodiment, the spine structure
that the EFEM components mount to is a single frame or shell 202. The frame 202
serves as a single reference for the interior, (e.g., engine wafer 300) and exterior
components to mount to and align with (e.g., FOUP advance assembly 130)
components similar to the spine structure 100.
[0100] As shown in Fig. 14, the spine structure 200 includes three load port
assemblies 204 mounted to the frame 202. Each load port assembly 204 is similar
to the load port assembly 130 disclosed in the preferred embodiment. A load port
door 206, which isolates the Class-1 area from outside ambient conditions,
corresponds to each load port assembly 204 for engaging and removing the FOUP
door from the FOUP shell. It is within the scope and spirit of the invention for the
frame 202 to have more of fewer I/O ports. Similarly, the frame 202 may include
a filled-in or solid I/O port located between I/O ports where wafers are transferred
through.
[0101 ] The frame 202 is preferably formed from a single piece of material.
By way of example only, the frame 202 may be created by a punch press. The
frame 202 may be manufactured from many different materials. By way of
example only, the frame 202 may be manufactured from material such as, but not
limited to, sheet metal, polypropylene, composites, or plastics. The frame 202 may also include an anodized surface finish to prevent or reduce outgassing. Whether
the frame 202 is manufactured from a single piece of material or separate parts, the
frame 202 is scalable. Accordingly, the frame 202 may be customized to create as
many FOUP I/O ports as necessary for the EFEM.
[0102] Fig. 15 illustrates several of the EFEM components mounted to the
frame 202. The preferred embodiment of the frame 202, which is manufactured
from a single piece of stainless steel, is flexible. By way of example only, the
frame 202 may also be manufactured from an aluminum sheet. The EFEM must
be rigid enough to provide accurate support and alignment points for the EFEM
components. Additional supports 210 are mounted to the frame 202 to provide
rigid and accurate support points for components such as the linear drive 254, filter
unit 220, FOUP advance assembly 208, and tool interface plane.
[0103] To promote air flow through the load port interface, the top surface
201 and the bottom surface 203 of the frame 202 are perforated. A fan/filter unit
220 may be mounted to, and form a seal with, the top surface 201 of the frame 202
to control the rate and quality of the air through the frame 202. Such fan/filter unit
technology is well known in the art and does not require further disclosure. A
single fan/filter unit 220 may be appropriate to achieve the air flow rate desired.
However, as the frame 202 increases in size and thus volume, the frame 202 may
require multiple fans to maintain the desired environmental conditions. If the
interior of the EFEM is not isolated from outside atmospheric conditions (not an inert environment), air maybe drawn into the clean mini-environment by the FFU 220 and vented out through the perforated holes 212 in the bottom surface 203 of
the frame 202.
[0104] If the EFEM is an inert system, a flow capture chamber 224 may be mounted to and sealed with the bottom surface 203 of the frame 202 so that the air
flow created by the fan/filter unit 220 is completely contained and re-circulated.
The end cap 210 may also have a flow return path guiding the air exiting the flow
capture plenum 224 back to the fan filter unit 220 for re-circulation.
[0105] Due to the minimal enclosed volume created by the frame 202 the present invention is a very efficient system from an air handling standpoint. A mini-environment with a smaller volume of air to control and filter makes it easier to maintain the cleanliness of the air. Inert systems, or systems requiring molecular filters which degrade as more air push through them, also benefit from a mini- environment containing a smaller volume of gas. By way of example only, filters will require changing less frequently if a smaller volume and rate of gas is passed through them.
System Volumetric Space Utilization [0106] One of the key differentiators of all of the EFEMs previously
described (e.g., spine structure, backbone, and frame) is the fundamental change in space utilization. The space utilization feature will only be referenced to the spine structure 100 even though this concept applies to al the embodiments disclosed in
this application. In conventional tool front ends, the front end occupies all space
from the front of the load port (load face plane) to the process tool face, and from
the floor of the fab up to its highest point, typically the top of the FFU and the full width of the front end.
[0107] An EFEM constructed from the spine structure 100 creates
significant space below the load ports 130, and the clean wafer engine area may be
given back to the process/metrology tool or used for other purposes. Additionally,
the overall depth of the enclosed area or mini-environment is also decreased from
what conventional EFEM configurations require. The front of the wafer engine
radial slide body 400 may be rotated into the typically unused area for the FOUP
door mechanism resides between the vertical struts 102. The space may be given
back to the process tool as well as the end user who may realize lower foot print
requirements for the overall tool. The configuration of the wafer engine 300 takes
advantage of these new and smaller space constraints. For example, the radial slide
400 may reach further into the process tool than a non-offset version.
[0108] As a result of the much smaller envelope of the system it is
considerably lighter, and if mounted on the independent rolling frame, may be
rolled away from the process tool to provide direct access to the tool. Since the
system is also shorter than typical process tools, the space above it may be used for
other purposes as well, such as local FOUP 10 buffering for the AMHS system. With conventional overhead hoist AMHS systems, local buffer stations may only
be placed between load ports or tools since they require unobstructed overhead path
to the load port. With the slide out shelf arrangement, the material could be stored
in an otherwise unutilized area directly above the enclosed area of the integrated
EFEM.
[0109] As shown in Figs. 30-31, the system may be integrated with the
process tool in several ways. It is designed to require support at four points. Two
points in the front at the base of the two outer vertical struts provide attached and
leveling points. Two points at the rear lower corner of each end plate provide the
rear support locations. The support points could be provided by a roll out frame
which would provide an easy way to move the system away from the process tool.
It could be supported by frame members from the process tool which could be cantilevered out from the tool or supported from the floor. It might also be a
combination of the two where the roll out frame could be used to lift the system off
kinematic points provided by the process tool frame.
[0110] Any of the integrated mini-environments and structures 100 or 200
as previously described mount to the front of a tool associated with a
semiconductor process. As used here, such tools include, but are not limited to,
process tools for forming integrated circuit patterns on semiconductor wafers,
metrology tools for testing various properties and work pieces, and stackers for large scale storage of work piece carriers. As used herein, a tool may be simply an enclosure so that the work piece handling on the back side of the plate as described
hereinafter may be carried in an enclosed space. By way of example only, the
structure 100 according to the present invention may comprise a sorter for
arranging and transferring work pieces with one or more carriers.
[0111] Alternatively, the structures 100 may comprise a sorter or a stand¬
alone prealigner. hi both the sorter and stand alone prealigner embodiments, the
work piece operations are carried out entirely by the EFEM components mounted
to the structure 100. The enclosure that forms the Class-1 Area is also based from
the structure 100 provides an enclosed, clean environment in which the work pieces
maybe handled, hi several embodiments of the present invention, the structure 100
may be considered as being part of the tool (see Fig. 3 A). In other embodiments
of the present invention, the system maybe affixed to but considered separate from the tool (Figs. 29A-29D).
[0112] As best shown in Fig. 10, the FOUP docking station is formed
around the spine 100. A bottom pan 118 is secured to, and forms a seal with, the
bottom support member 106. In a preferred embodiment, the bottom pan 118 is
perforated surface to allow air from the FFU 150 to pass through. The FFU 150 is
secured to, and fonns a seal with, the upper support member 104. A wafer transfer
plate 122 is secured to, and forms seal with, the bottom pan 118 and the FFU 150.
The wafer transfer plate 122 may include transfer windows 121 that allow the wafer
engine 300 to transfer wafers between the Class-1 Area and the process tool. [0113] The system forms an air tight seal to maintain the Class-1
environment. An air tight seal is created between both the spine 100 and the
bottom pan 118, the spine 100 with the FFU 150, and the wafer transfer plate 122
with both the FFU 150 and the bottom pan 118. Generally, the pressure within the
Class-1 Area is maintained at a level higher than that of the atmosphere
surrounding the Class-1 Area. This pressure differential prevents unfiltered air
from entering the Class-1 Area. Accordingly, airborne particles or contaminates
are blown out of the Class-1 Area through the openings in the bottom pan 118. On
occasion tools operate in a hostile environment, such as for example, a pure
nitrogen environment, hi such an environment it is necessary to completely isolate
the Class-1 A Area from the outside surrounding environment. A plenum may be
secured to, and sealed with, the bottom pan 118, so that the mini-environment
within the structure 100 is completely isolated from atmospheric conditions. A
plenum 224 (see Fig. 14) maybe mounted to the bottom pan 118 to capture the air
and recirculate it back towards the fan/filter unit 150 mounted to the spine 100.
Wafer Engine
[0114] Ingeneral,thewaferengine300illustratedinFigs. 18-23 minimizes
mechanical inertias with respect to frequency of use and criticality of wafer transfer
cycle time. Byway of example only, some of the benefits resulting from this wafer
engine 300 include (1) achieving faster wafer swap times, (2) a lower total system weight, and (3) a more compact, unified package. The wafer engine 300 may
operate within any of the embodiments of the unified spine 100 disclosed in this application, or operate as a stand-alone device.
[0115] A preferred embodiment of the wafer engine 300 is illustrated in
Figs. 18-19. The wafer engine 300 includes four main coordinated drives to optimize the transfer of wafers within the EFEM. The four drives move a wafer along an x-axis, a theta axis, a z-axis, and a radial or r axis. [0116] The wafer engine 300 has a linear drive assembly 302 that moves
the wafer engine 300 along an x-axis. Movement along the x-axis allows the wafer
engine 300 to access each FOUP I/O port. The linear drive assembly 302 includes an x-carriage 304 and a rail system 306. The x-carriage 304 slidably engages the
upper x-rail 310 and lower x-rail 312. The rail system 306 is mounted to the rear
mounting plate 116, and includes an upper x-rail 310 and lower x-rail 312. The
upper x-rail 310 and lower x-rail 312 extend along the x-axis and are substantially
parallel to each other. The break lines running through the rail assembly 306 in
Fig. 18 shows that the rail assembly 306 may be of any length. The rail assembly 306 is scalable so that the wafer engine 300 may travel along the rail assembly 306
to access, for example, the wafers stored in each FOUP 10. The rotational drive
350 of the wafer engine 300 is also mounted to the x-carriage 304. Thus,
movement by the x-carriage 304 drives the wafer engine 300 along the x axis.
[0117] The wafer engine 300 may also rotate, pivoting about a theta (θ) axis. In a preferred embodiment, and as shown in Fig. 18, the rotational drive 350 includes a support column 364 that extends along the theta axis and mounts to a z-
axis support 370. The rotational drive 350 includes a theta motor 362 to drive and
rotate the support column 364. The rotational drive 350 may rotate in either a
clockwise or counterclockwise direction. The rotational drive 350 may also mount directly to the vertical drive 380. Preferably, the theta axis does not travel through
the center of the slide body 400. The advantages of this off-center configuration
of the slide body 400 will be discussed later.
[0118] The rotational drive 350 further includes a fan extension platform
352. In a preferred embodiment of the wafer engine 300, and as shown in Fig. 20,
a z slot fan 354 is mounted to the underside of the fan platform 352. This
configuration of the wafer engine 300 locates the z slot fan 354 near the theta motor
362 and provides and air vent to exhaust the air driven through the z column 380
of the wafer engine 300. The air flushed through the z column 380 is projected
downward, away from any wafer that is being transported by the wafer engine 300
(see Fig. 21). Alternatively, the airflow may be exhausted through, and out the bottom of, the rotational drive 350.
[0119] The vertical drive column 380 is mounted to the support member
370 and extends upward along the z-axis. The drive column 380 moves the slide body 400 (described hereinafter) of the wafer engine 300, and thus the wafer, up and down along the z-axis. In one embodiment, and as shown in Fig. 19, the drive column 380 is an elongated column that extends substantially perpendicular from
the support member 370. A drive assembly is located within the drive column 380
and includes a z-drive motor 382, a z cable way 384, a z guide rail 386, and a z ball
screw 388. Such drive means are well known in the art and do not require further
disclosure. It is within the scope and spirit of the invention to move the slide body
mechanism 400 by other means.
[0120] The slide body 400 preferably includes an upper end effector 402
and a lower end effector 404 for quickly swapping individual wafers along the r-
axis. The slide body 400 supports the upper and lower end effectors 402 and 404
such that they are parallel to the wafers stored in each FOUP 10. As shown in Fig.
19, the upper end effector 402 and lower end effector 404 travel along a similar
rectilinear path. The upper end effector 402 and lower end effector 404 are
separated by a distance sufficient to allow the lower end effector 404 and the upper
end effector 402 to simultaneously store wafers. The slide body 400 includes radial
drive motors 410 for moving the upper end effector 402 and lower end effector 404
linearly along the radial or r-axis.
[0121] The upper end effector 402 is supported by an first support 406 and
the lower end effector 404 is supported by a second support 408. The upper end
effector support 406 and lower end effector support 408 each slidably engage and
travel within a radial guide rail 410 that extends substantially across the length of
the slide body 400. Each radial drive motor 410 drives a radial drive belt 414. The radial drive belt 414a is connected to the first support 406, and the second radial
drive belt 414b is connected to the second support 408. The radial drive motor 410
may rotate in a clockwise or counter-clockwise direction to rotate the radial drive
belt around a radial drive pulley 416 and an end idler pulley 418 and to extend and
retract the respective end effector. Such a drive mechanism is well known in the
art and does not require further disclosure. It is within the scope and spirit of the
present invention to have other means to move a wafer along the radial or r-axis.
[0122] The wafer engine 300 has many moving parts. Moving parts tend
to create particles. For example, the continual extension and retraction of the upper
end effector 402 and lower end effector 404 will create particulates within the mini-
environment. To prevent the particulates from contaminating the wafer located on
either end effector, a slide body fan/filter unit (FFU) 420 is mounted to the
underside of the slide body 400. The slide body FFU 420 continuously pulls air in
through the slide body slide slots 420, pulls the air through the slide body 400,
filters the air, and then exhausts the air out into the Class-1 Area. This localized
filtering of the air flow greatly reduces the amount of particles placed into the
Class-1 Area.
[0123] Conventionally, most mini-environments include a single fan/filter
unit that circulates the air through the mini-environment and only filters the air
flow as it flows into the EFEM. Any particulates created within the mini-
environment downstream of the fan/filter unit remain in the clean area until they are exhausted out of the EFEM. It is desirable to minimize the number of particulates within the mini-environment especially since the trend in semiconductor manufacturing more and more requires a lower tolerance of particle contamination on the wafers. [0124] The localized filtering of the wafer engine 300 removes particles
created by any rotating or sliding mechanism located on the wafer engine 300 as the particle is created. In apreferred embodiment, and as shown in Figs. 19 and 21, a local fan/filter unit or fan system is located approximate to both linear drives of the z column 380 and slide body mechanism 400. As specifically shown in Fig.21,
the fan/filter unit mounted to the slide body mechanism 400 exhausts filtered air into the clean mini-environment, while the z slot fan system of the vertical drive
380 exhausts unfiltered air through the bottom plate of the EFEM. The wafer engine 300 filters and exhausts air into the Class-1 Area of the EFEM. If the wafer engine 300 did not have the fan/filter mounted to the slide body mechanism 400,
particles created by the slide body mechanism 400 would travel through the Class- 1
Area and contaminate the wafer supported by either end effector.
[0125] Fig.20 illustrates another embodiment of the wafer engine 300. In this embodiment, the slide body 400 engages the z column 380 such that the z
column 380 is substantially along the r axis. Similar to previous embodiments of
the wafer engine 300, this embodiment includes a theta motor 362, a vertical drive
column 380 and a radial slide body 400. The theta motor rotates the wafer engine about the theta axis, the z column moves the radial slide body 400 linearly along
the z axis, and the radial slide body 400 moves the end effector 401 along the radial
or r-axis. Accordingly, the wafer engine and thus the wafer will rotate about the theta axis any time the theta motor 362 rotates. This embodiment may also include
a fan/filter unit mounted to the radial slide body 400 in a v slot fan similar to the
previous embodiment of the wafer engine 300.
[0126] As previously mentioned, the slide body 400 of the wafer engine
300 may include different configurations of end effectors. As illustrated in Figs. 18-19, the upper and lower end effector 402 and 404 may include a passive edge support. Such a configuration is known in the industry as passive edge grip end effectors for 300mm wafers. Fig.22 illustrates that the upper end effector 402 may
include an active edge grip, while the lower end effector 404 may include a passive
edge support. Alternatively, the end effectors 402 and 404 may include any combination of, for example, a vacuum grip with backside contact, a reduced contact area, removable pads.
[0127] Similarly, the radial drive 400 may include different types of end effector for handling wafers at different stages. For example, one end effector may handle only "dirty" wafers, while the second end effector may handle only "clean" wafers. Alternatively, one end effector may be designated to align and read the wafer ID before transferred to the process tool, while the second end effector may include high temperature pads for handling hot wafers after being processed. Integrated Tools in Wafer Engine [0128] A conventional wafer handling robot transports individual wafers,
for example, from a FOUP 10 to a separate processing station. The processing station inspects or aligns the wafer and then the wafer handling robot may transport the wafer to the next station. Often the wafer handling robot must sit idle
or return to a FOUP 10 to transport a second wafer while the process station operates. Such an operation reduces the throughput of the system. [0129] In one embodiment, the wafer engine 300 includes a slide body 400 that may perform one or several of these functions normally performed at a separate processing station. Integrating one or several of these functions into the slide body 400 will increase the throughput of the system and reduce the footprint of the EFEM.
[0130] Figs. 22-23 illustrate a wafer engine 300 equipped with a wheeled
aligner 440 and ID reader 430 mounted on the slide body 400. This embodiment
is similar to the wafer engine 300 as shown in Figs. 18-19, with the addition of a wheeled aligner 440 mounted on the upper end effector 402, and an ID reader 430
mounted to the slide body 400. It is within the spirit and scope of the invention for the lower end effector 404 to include a wheeled aligner.
[0131] The ID reader 430 may view up or down for reading marks on top and/or the bottom surfaces of the top or bottom of the wafer. It is within the scope and spirit of the invention for the ID reader 430 to be mounted to the vertical drive
380, or be mounted in a fixed location elsewhere on the wafer engine 300. IN the
preferred embodiment, it is advantageous to mount a top side ID reader 430 on the
slide body 400 for fast ID reading. A second ID reader may be mounted at a fixed
location elsewhere in the EFEM for reading the bottom side T7 mark for
confirmation or clarification of wafer ID if required.
[0132] If ID reading is required but wafer orientation is not important, the
aligner maybe eliminated and the ID reader 430 may view the ID mark in whatever
location the wafer arrives on the end effector. To facilitate this operation, the ID
reader 430, or a mirror assembly, may be rotated above the surface of the awfer to
view the ID mark. This eliminates the need to rotate the wafer for ID reading and
thus improves cleanliness and throughput.
[0133] An aligner controls the rotation of the wafer about an axis,, such as
by wheel or other means. Figs.23-24 illustrate one embodiment of an end effector
with a wheeled aligner 440. The wheeled aligner 440 includes a drive system 449
and a paddle plate 442. The paddle plate 442 is the main support for the wafer.
Located at the end of the paddle plate 442 are two sets of passive tip wheels 446
and two pads 44.8. The wheels 446 and pads 448 support the wafer at different
times during alignment. A drive wheel 450, located at the back end of the paddle
plate 442, supports the wafer along a third contact surface while the wafer is being aligned. [0134] h one embodiment, wheeled end effector 440 slides underneath a
wafer located in a FOUP 10 and is raised until the wafer is supported by the pads
448. The pads 448 preferably only support the wafer along its bottom edge. To
align the wafer, the wafer is pushed forward by the drive wheel 450 and up onto the
wheels 446. The wafer is lifted off the pads 448 and is fully supported by the drive
wheel 450 and the tip wheels 446. At this point the drive wheel 450 may rotate to
spin the wafer in situ. This operation may be performed while the wafer engine
300 is transporting the wafer. The wafer engine 300 does not have to remain in
place to align the wafer.
[0135] Alternatively, as shown in Fig.26B, the slide body 400 may include
a vacuum chuck aligner 411. The drive mechanism for the vacuum chuck aligner
411, including a lift and rotation axis, may reside inside the slide body 400. A
sensor 409 maybe mounted to the end effector 403 to locates the edge of the wafer
while it remains on the end effector. The sensor 409 may also be mounted to an
structure that is independent of the end effector 403. In general, the sensor 409
maybe located at various locations as long as the sensor 409 can be positioned to
read the top surface of the wafer.
[0136] The edge position may be mapped relative to the rotation angle to
find the center and orientation of the wafer. The sensor 409 functions as a
secondary feedback device. The location of the sensor 409 is known relative to the
wafer at all times. Thus, the sensor 409 may send error signals indicating that the wafer is not aligned. Since the aligner receives additional error data from the
sensor 409, an aligner with such a sensor will improve the accuracy of the aligner.
The wafer can then be reoriented by the chuck 411 and placed on center at the next
drop off station by the wafer engine 300.
The sensor 409 may be mounted independently within the EFEM and be a
separate component from the wafer engine 300. In such a configuration, the wafer
is placed on the chuck 411 that can rotate. The sensor 409, mounted on a
mechanism that has position control and measuring means (not shown), is moved
to the proximity of the wafer edge until the sensor signal is at a desired level. The
wafer can then be rotated while the sensor mechanism uses the signal from the
sensor 409 to keep the position of the sensor 409 at the this desired level,
effectively keeping the sensor 409 at the same relative position to the wafer edge.
As the wafer is rotated, the sensor position is recorded with respect to the angular
position of the wafer. This data represents the change in radial position of the
wafer edge with respect to wafer rotational position, and can be used to calculate the center of the wafer with respect to the center of the wafer chuck and the
orientation of the fiducial. If the sensor signal magnitude is also recorded along
with the sensor mechanism position, it can provide additional edge position
information that could improve the accuracy of the wafer center calculations or fiducial orientation. [0137] The wheeled end effector aligner 440 may include other components
such as, but not limited to, an optical notch sensor 452 to detect the notch along the
edge of the wafer. For example, once the notch has been located along the edge of
the wafer by the optical notch sensor 452 the drive wheel 450 may rotate the wafer
to the desired position and retract back, allowing the wafer to fall back down onto
the pads 448. This operation may be performed while the end effector is in place
or is moving. The ability to align the wafer while it is being transferred between
FOUPs 10, or between a FOUP 10 and a processing tool, greatly reduces or
eliminates the amount of time that an end effector must sit idle. Further, there is
no need for a separate processing station if the wafer engine 300 can align a wafer
"on-the-fly."
[0138] The slide body 400 enables a stable mounting platform for a variety
of auxiliary functions, measurements, and sensors to acquire various wafer data.
By way of example only, components may be integrated into or mounted to the
slide body 400 to detect a wafer edge, detect the notch location on the wafer, read
the OCR/bar code, perform particulate counting (back side or front side), determine
film thickness/uniformity or circuit element line width, and detect resistivity (via contact probes or non-contact means) and wafer thickness. Other processes known
in the art for inspecting and marking a wafer may be incorporated into the slide body 400. [0139] In order to transfer workpieces from a carrier, the end effectors 402
and 404 move horizontally under the workpiece to be transferred and then moved
upward to lift the workpiece off its resting place. The end effectors 402 and 404
may also include edge grips for supporting the workpiece at its edges.
Alternatively, the end effectors 402 and 404 may be a blade type end effector for
supporting a workpiece by its bottom surface. In such embodiments, a vacuum
source (not shown) may be affixed to or remote from the paddle plate 442, which
creates a negative pressure that is communicated through the workpiece handling
robot via flexible vacuum tubes to the surface of the end effector blade. Upon
activation of the vacuum source a negative pressure is formed at the surface of the
end effector blade, creating a suction capable of holding a workpiece firmly
thereon. A vacuum sensor (not shown) of known construction may also be
provided on the robot and associated with the vacuum system for detecting when
a workpiece is engaged with the end effector and restricting the pull of air through
the vacuum tubes. It is understood that the present invention is not limited to the
end effector described above and that a variety of end effector designs may be used
as long as the end effector has the capability to pick up and drop off workpieces.
The slide body 400 may also be adapted to process a wafer and
environmentally isolate the wafer from the Class- 1 Area. By way of example only,
the slide body 400 may include process tools to either heat or cool the surface of
the wafer, or conduct thermal surface processing. In another embodiment, the slide body 400 may include a housing (not shown) that a wafer may be retracted into
and temporarily stored within while the wafer engine 300 is transferring the wafer
out of the process tool and within the Class-1 Area. The housing provides an inert
or clean environment that has a better than Class-1 Area environment. Such a
system may include floating oxygen or an inert gas over the surface of the wafer
while it is being transported.
Dual Swap Capability
[0140] The time between when a processed wafer is removed from the
process station and when a new wafer is placed into the process station is known
as the "swap time." For most process tools, the throughput is determined by the process time plus the swap time. Reducing either increases throughput. The
process time is the purview of the tool manufacturer, the swap time is the purview
of the capital EFEM manufacturer. [0141] For a conventional single end
effector wafer handling robot in an EFEM (see Fig. 17), the swap time may be 8 to
16 seconds, depending on the station arrangement and the speed of the wafer
handling robot. The following sequence of operations are commonly used by such
a robot to swap a wafer at a process station. The items contributing to the swap
time are in italics. Items outside of the critical path determining throughput are in (parenthesis).
1. Get wafer from process station 2. Put processed wafer to load port
3. Get aligned wafer from aligner
4. Put aligner wafer to process station
[Begin processing wafer]
5. (While processing, robot get new wafer from load port)
6. (While processing, robot put new wafer to aligner)
7. (While processing, aligner align wafer)
[Repeat]
[0142] A rapid swap robot (e.g. wafer engine 300) has two end effectors
and therefore can dramatically reduce swap time by performing the same function
as above using the following abbreviated sequence:
[Process Complete]
1. Get wafer from process station with paddle 1
2. Put aligned wafer to process station with paddle 2 [Process wafer]
3. (While processing, get new wafer from load port)
4. (While processing, put new wafer to aligner)
5. (While processing, aligner align wafer)
6. (While processing, get aligned wafer from aligner)
[Repeat] [0143] In this case, the swap time may be reduced by 3 to 6 seconds
depending on the speed of the robot. The overall time for the robot to complete all
of its motions may be slightly reduced as well. The overall motion time is of
primary importance in applications where the process time is very low and
therefore the items in parenthesis above would enter into the critical path or
throughput.
[0144] A further improvement on throughput and reduction in total robot
motions can be made if the robot has align-on-the-fly capability as well as rapid
swap capability like the wafer engine 300 having a wheeled end effector aligner
440. Align-on-the-fly does not reduce swap time, but it does reduce overall robot
motion time and therefore increases throughput where the process time is low, or
where the robot must support multiple process stations. Also, by reducing the number of robot motions and wafer handoffs, align-on-the-fly can increase robot life and improve cleanliness.
[0145] For the align-on-the-fly rapid swap wafer engine, the comparable
sequence of operations is: [Process Complete]
1. Get wafer from process station with paddle 1
2. Put aligned wafer to process station with paddle 2
[Process Wafer]
3. (While processing, get new wafer from load port) 4. (While processing, align wafer and simultaneously move to position for
next rapid swap)
[Repeat]
Unlimited Z-axis Motion
[0146] Fig. 25 illustrates a wafer engine 300' including an off-center slide
body 400 having a wheeled aligner 454 and ID reader 430, and an extended z-axis
drive column 380'. This embodiment of the wafer engine includes an extended z
column 380' to, for example, to access a stocker, or a load port or process station
that may be located above the FOUP I/O port. Basically, the height of the z-axis
drive column 380 or 380' is unlimited. The wafer engine 300 or 300' may access
a wafer located within a FOUP 10 by moving the upper end effector 402 or the
lower end effector 404 along the radial or r-axis. The distance that the upper end
effector 402 or lower end effector 404 must travel into the FOUP 10 is designed to
be a short distance since this is the most often required motion of the wafer engine
300 or 300'. The height of the vertical drive column 380 or 380' does not effect the
distance that either the upper end effector 402 or lower end effector 404 must
travel. Thus, the height of the vertical drive column 380 or 380' does not effect the
motion along the radial or r-axis.
[0147] Conventional wafer handling robots must move the z drive column
linearly towards the FOUP 10 so that the end effector may access and remove the wafer from the FOUP 10. Accordingly, a tall vertical drive column for such a
wafer handling robot requires moving a large vertical column by a motor or a belt
drive. Moving such inertia places great strain on the wafer handling robot. The
wafer engines disclosed in this application are an improvement over such wafer
handling robots because the axis of motion, along the radial or r-axis, which is the most commonly traveled are also the shortest distances.
[0148] Fig.27A illustrates that a conventional linear slide robot may reach
into the processing tool 250mm for transferring and retrieving wafers into the process tool. Similarly, a convention wafer handling robot requires a minimum
clearance within the EFEM work space of 520mm so that the wafer handling robot
can maneuver within the EFEM. Fig.27B illustrates the reach and swing clearance
advantage of the off-center slide body rotation about the theta axis. In a preferred
embodiment, the off-center slide body axis of rotation, shown as the theta axis in
Fig. 19, is offset by approximately 50mm. The off-center axis of rotation for the
wafer engine 300 has two distinct advantages. First, the maximum reach of an end
effector (e.g., upper end effector 402 or lower end effector 404) into the processing
tool is increased to 350mm. Second, the minimum clearance required within the
EFEM work space is reduced to 420mm. The maximum reach and minimum
clearance distances are by way of example only. Increasing the reach of the end effector into the process tool, while decreasing the minimum clearance required for the wafer engine 300 to maneuver within the EFEM reduces the overall footprint
of the EFEM.
[0149] Fig.28 illustrates an example motion sequence of the wafer engine
300 having a rapid swap slide body 400 with off-center rotation axis. By way of example only, step one illustrates the wafer engine 300 lifting the wafer at load port
area one. Step two illustrates the wafer engine 300 retracting the wafer from within
load port one along a radial axis. Step three illustrates the wafer engine 300
rotating about the theta axis and simultaneously moving back along the x-axis to avoid collision with load port one. Step four illustrates the wafer engine 300 moving along the x-axis towards the I/O port of the processing station. Step five illustrates the wafer engine 300 continuing to rotate about the theta axis and along the x-axis to position the wafer for entry into the processing station. Step six illustrates the wafer engine 300 waiting for the process to complete. Step seven
illustrates the wafer engine 300 swapping the processed wafer for the new wafer ready to enter the processing station. Finally, step eight illustrates the wafer engine
300 retracting the processed wafer in a radial axis while simultaneously moving along the x and theta axis to return the processed wafer into load port one, two or three. [0150] The wafer engine 300 and 300' described above provides several benefits over conventional wafer handling robots. For most wafer handling applications, radial motion needed to insert and remove wafers into and out of a FOUP 10 or a process station has the highest duty cycle and longest overall
distance traveled. The wafer engine 300 places the radial drive 400 as close to the
wafer as possible before attempting to access the wafer. This placement reduces the moving mass and motion time of the upper end effector 402 and lower end
effector 404, and wear.
[0151] The z-drive column 380 occupies the same volume of space that is
swept out by the wafer as the wafer engine 300 rotates. The drive column 380 also
does not extend below the work plane. A conventional wafer handling robot must utilize the area located below the wafer plane to access some of the wafers within the FOUP 10. Typically, the end effector is mounted to the top of a column that travels up and down along the z axis. The column takes up space that could otherwise be used for other purposes. Similarly, when the column moves horizontally along the x axis, the area located below the wafer plane must substantially empty so that the column does not run into and damage any obstacles. [0152] There are several variations and/or modifications that can be made
to the wafer engine 300 that still have the unique elements and benefits previously
listed above. By way of example only, the x-axis drive 302 may be eliminated for some applications. Similarly, a single radial axis may suffice. Further, for some applications (e.g., sorters) may not require a rotational drive. Instead, the z-axis drive 380 would mount to the x-carriage 308. A sorter application, for example, may have all of the load ports mounted facing the same direction, and if the alignment and ID reading is integrated into the wafer engine 300, the need to rotate
would be eliminated.
[0153] Figs.29-31 illustrate several configurations of the integrated system.
Fig. 29A illustrates the integrated system mounted on a roll out frame. As previously mentioned, conventional EFEMs extend all the way down to the floor of the wafer fab. With the space savings derived from constructing an EFEM from a spine structure 100 or other embodiments disclosed in this application, the
footprint of the integrated system is greatly reduced. As shown in Fig. 29A, the integrated system is mounted on a roll out frame so that the load port assemblies remain at the SEMI standard height of 900mm. When this integrated system is bolted to the front end of a processing tool, and in a preferred embodiment, there will be approximately 2 feet of open space located beneath the integrated system and the wafer fab floor. This space has never been available in a wafer fab before. Such a space will allow semiconductor manufactures to place other items such as an electrical control box underneath the integrated system.
[0154] Alternatively, aprocessingtoolmaynowhave amaintenance access that can be reached by crawling underneath the integrated system. The roll out frame also improves the overall maintenance features of the processing tool that the integrated system is bolted to. By way of example only, if maintenance needs to be performed on the processing tool, the integrated system may be unbolted from the processing tool, the wheels of the roll out frame may be unlocked, and the integrated system may be rolled away from the front end of the processing tool. A
conventional EFEM that is bolted to the processing tool does not contain wheels
that the EFEM can be rolled out on, and is typically such a heavy device that it
requires more than one maintenance person to lift the EFEM away from the process
tool. As previously mentioned, the integrated system of the present invention
weighs only several hundred pounds and thus can be easily rolled away from the
front of the processing tool by a single maintenance person.
[0155] Figs. 30 illustrates the integrated system integrated into a process
tool. By way of example only, the system of the present invention may be
integrally formed and mounted to a process tool. One advantage of this system is
that if every process tool within the wafer fab had an integrated system mounted to
it, the wafer fab would have a front and load system that can be configured to the
needs of each process tool yet contain a similar environment to reduce the need for stocking spare parts and training maintenance personnel.
Electrical Control System
[0156] Conventional EFEMs must contain a power distribution that is
compatible with the power requirements for countries across the world. Therefore, most EFEMs today must be able to adapt to either a 11 ON or a 220N system. Being
able to a adapt to either power system requires that an EFEM include power
components such as step down or step up transformers as well as other electrical components. Such electrical components must be mounted within the EFEM and
thus increase the footprint of the EFEM.
[0157] The EFEM of the present invention is designed for all electrical
components such as the FOUP advance plate assembly, the wafer engine 300 and
the fan/filter unit 150 to operate all under a 48N system. In general, the EFEM of
the present invention may be electrically connected to either a 110V or 220N
system that will stepped-down to 48N to control all of the elements described
previously. Simplifying the electrical distribution system of the EFEM eliminates
the need for many of the conventional power distribution components such as the
step up transformer and thus further decreases the footprint of the EFEM of the
present invention.

Claims

Clai sWe Claim:
1. A system for transferring semiconductor wafers and related substrate
objects between a wafer carrier having a carrier door and a carrier shell, and an
environment isolated from outside ambient conditions, comprising: a unified frame, including at least two vertical struts spaced apart
and mounted to a lower support member and an upper support member, said frame
defining at least one I/O port and a port door/carrier door storage area;
a carrier docking/isolation plate mounted to each said vertical strut;
a carrier advance assembly mounted to said lower support member,
for supporting the wafer carrier, moving the wafer carrier towards said carrier
docking/isolation plate, and moving the wafer carrier away from said carrier docking/isolation plate;
a port door assembly having a port door and a drive mechanism, said port door for engaging and mating with the carrier door, and said drive mechanism
for moving said port door between said I/O port and said port door/carrier door storage area; and
a wafer handling robot mounted to said lower support member and
positioned within the environment isolated from outside ambient conditions.
2. The system as recited in claim 1, wherein said vertical struts are
substantially parallel to each other.
3. The system as recited in claim 1, wherein said carrier docking/isolation
plate is removably mounted to each said vertical strut.
4. The system as recited in claim 3, wherein said carrier docking/isolation
plate is transparent.
5. The system as recited in claim 1, wherein said port door/carrier door storage
area has at least one perforated surface.
6. The system as recited in claim 1, wherein said wafer handling robot includes:
a linear drive mounted to said lower support member of said unified frame, having linear motion along an x axis;
a rotational drive mounted to said linear drive, for rotating about a theta axis;
a z axis linear drive extending from said rotational drive, having linear motion along a z axis, said z-axis being offset and substantially parallel to said theta axis; and a radial drive removably mounted to said z axis linear drive,
including at least one end effector having linear motion along a radial axis.
7. The system as recited in claim 6, wherein said radial axis rotates about said
theta axis when said rotational drive rotates.
8. A system for transferring semiconductor wafers and related substrate
objects between a wafer carrier having a carrier door and a carrier shell, and an
environment isolated from outside ambient conditions, comprising:
a unified frame having an interior mounting surface and an exterior mounting surface that front end load components mount to, said exterior mounting
surface being exposed to ambient outside conditions, and said interior mounting
surface being isolated from ambient outside conditions, said unified frame creating
at least one I/O port and a carrier door/port door storage area;
a carrier advance assembly mounted to said exterior mounting
surface;
a carrier docking/isolation plate mounted to said exterior mounting
surface;
a wafer engine mounted to said interior mounting surface; and
aport door assembly having a port door and a drive mechanism, said port door being slidably engaged with said unified frame, said drive mechanism for moving said port door between said I/O port and said carrier door/port door storage
area.
9. The system as recited in claim 8, wherein the system is mounted to a process tool such that the system is raised above the wafer fab floor and is supported by a support structure so that an open space underneath the system exists
between the system and the wafer fab floor.
10. The system as recited in claim 8, wherein the system further includes a control box mounted to said exterior mounting surface.
11. A system for transporting wafers, comprising: at least one front end load component selected from the group consisting of (i) a load port assembly, (ii) a fan filter unit, (iii) a wafer handling robot, (iv) a FOUP docking/isolation plate, and (v) a port door assembly; and a unified frame that said front end load components may mount to, said frame creating a single reference for precisely aligning said front end load components, said unified frame including at least two vertical struts mounted to an upper support member and a lower support member.
12. A system for transferring semiconductor wafers and related substrate
objects between a wafer carrier having a carrier door and a carrier shell, and an
environment isolated from outside ambient conditions, comprising: a unified frame, including at least two vertical struts spaced apart
and mounted to a lower support member and an upper support member, said frame
defining at least one I/O port and a port door/carrier door storage area;
a transparent carrier docking/isolation plate mounted to each said
vertical strut; a carrier advance assembly mounted to said lower support member,
said carrier advance assembly being exposed to said outside ambient conditions;
aport door assembly having aport door and a drive mechanism, said
drive mechanism for moving said port door between said I/O port and said port door/carrier door storage area; and
a wafer handling robot located within the environment isolated from
outside ambient conditions, including:
a linear drive mounted to said lower support member, having
linear motion along an x axis;
a rotational drive mounted to said linear drive, for rotating about a theta axis; a z axis linear drive extending from said rotational drive,
having linear motion along a z axis, said z-axis being offset and
substantially parallel to said theta axis; and a radial drive removably mounted to said z axis linear drive,
including at least one end effector having linear motion along a radial axis.
13. An EFEM for transporting semiconductor wafers and related substrates
between a SMIF pod and an environment that is isolated from outside ambient
conditions, comprising: a unified frame having at least two vertical struts mounted to an
upper support member and a lower support member, said frame defining an I/O port;
a wafer engine mounted to said lower support member, said wafer engine being positioned within the environment that is isolated from outside ambient conditions;
a SMIF pod advance assembly mounted to said lower support
member, said pod advance assembly being exposed to the outside ambient conditions;
a SMIF pod docking plate mounted to each said vertical strut, said docking plate being exposed to the outside ambient conditions; and said vertical struts of said unified frame provide a common reference
that said wafer engine, said SMIF pod advance assembly, and said SMIF pod
docking plate may align with.
14. The system as recited in claim 13, wherein said wafer engine includes:
a linear drive mounted to said lower support member, having
linear motion along an x axis;
a rotational drive mounted to said linear drive, for rotating
about a theta axis;
a z axis linear drive extending from said rotational drive,
having linear motion along a z axis, said z-axis being offset and substantially parallel to said theta axis; and
a radial drive removably mounted to said z axis linear drive,
including at least one end effector having linear motion along a radial axis.
15. The system as recited in claim 13 , wherein said SMIF pod docking plate is transparent.
PCT/US2002/027769 2001-08-31 2002-08-30 Semiconductor material handling system WO2003021643A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2003525891A JP4309264B2 (en) 2001-08-31 2002-08-30 Semiconductor material handling equipment
CNB028204883A CN1327477C (en) 2001-08-31 2002-08-30 Semiconductor material handling system
KR1020047003085A KR100800612B1 (en) 2001-08-31 2002-08-30 Semiconductor material handling system
DE10297169T DE10297169T5 (en) 2001-08-31 2002-08-30 Handling system for semiconductor material

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US31672201P 2001-08-31 2001-08-31
US60/316,722 2001-08-31
US10/087,092 US7217076B2 (en) 2001-08-31 2002-03-01 Semiconductor material handling system
US10/087,092 2002-03-01

Publications (2)

Publication Number Publication Date
WO2003021643A2 true WO2003021643A2 (en) 2003-03-13
WO2003021643A3 WO2003021643A3 (en) 2003-08-07

Family

ID=26776585

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/027769 WO2003021643A2 (en) 2001-08-31 2002-08-30 Semiconductor material handling system

Country Status (6)

Country Link
US (1) US7217076B2 (en)
JP (1) JP4309264B2 (en)
KR (1) KR100800612B1 (en)
CN (1) CN1327477C (en)
DE (1) DE10297169T5 (en)
WO (1) WO2003021643A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009128039A2 (en) * 2008-04-15 2009-10-22 Dynamic Micro Systems Clean transfer robot
US7607879B2 (en) 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
JP2005057294A (en) * 2003-08-07 2005-03-03 Asml Netherlands Bv Interface unit, lithographic projector equipped with interface, and method of manufacturing device
GB2415291B (en) * 2004-06-15 2008-08-13 Nanobeam Ltd Charged particle beam system
DE102004057057A1 (en) * 2004-11-25 2006-06-01 Leica Microsystems Cms Gmbh Substrate workstation and add-on module for a substrate workstation
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7357256B2 (en) * 2005-03-31 2008-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer pod with working sheet holder
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US7762755B2 (en) * 2005-07-11 2010-07-27 Brooks Automation, Inc. Equipment storage for substrate processing apparatus
ITBO20060244A1 (en) * 2006-04-05 2007-10-06 Ima Spa SYSTEM AND METHOD TO TRANSFER AND MOVE ELEMENTS OF AN AUTOMATIC PACKAGING MACHINE.
ITBO20060245A1 (en) * 2006-04-05 2007-10-06 Ima Spa SYSTEM TO TRANSFER AND MOVE ELEMENTS OF A MACHINE OPERATOR.
KR100818044B1 (en) * 2006-05-04 2008-03-31 위순임 Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
JP4606388B2 (en) * 2006-06-12 2011-01-05 川崎重工業株式会社 Transfer system unit for substrate transfer equipment
DE102006029003A1 (en) * 2006-06-24 2008-01-03 Vistec Semiconductor Systems Gmbh Wafer e.g. semiconductor wafer, handling device, has tool rack with tool component, base rack and robot for moving wafers, and robot mounted on coupling rack that is mounted directly on base rack independently of tool rack
JP2008032335A (en) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp Mini-environment device, inspection device, manufacturing device, and space cleaning method
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
KR100847888B1 (en) * 2006-12-12 2008-07-23 세메스 주식회사 Apparatus for fabricating semiconductor device
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
WO2009014647A1 (en) * 2007-07-20 2009-01-29 Applied Materials, Inc. Dual-mode robot systems and methods for electronic device manufacturing
JP5168329B2 (en) * 2010-08-31 2013-03-21 Tdk株式会社 Load port device
DE102010048909A1 (en) * 2010-10-11 2012-04-12 Ekra Automatisierungssysteme Gmbh Process machine, in particular for processing and / or inspecting substrates
US9184078B2 (en) * 2011-05-07 2015-11-10 Brooks Automation, Inc. Narrow width loadport mechanism for cleanroom material transfer systems
JP5364769B2 (en) * 2011-09-26 2013-12-11 株式会社安川電機 Transfer robot and substrate processing apparatus
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
WO2014088414A1 (en) * 2012-12-03 2014-06-12 Asm Ip Holding B.V. Modular vertical furnace processing system
CN104375293B (en) * 2014-11-20 2017-05-17 武汉精测电子技术股份有限公司 Automatic LCD screen detecting cabinet based on machine vision
CN105668143B (en) * 2016-03-23 2017-08-25 北京轩宇智能科技有限公司 A kind of seal operation system and its magnetic coupling type sealing feeding device
US11031265B2 (en) * 2018-11-28 2021-06-08 Brooks Automation, Inc. Load port module
WO2022015863A1 (en) * 2020-07-14 2022-01-20 Vicarious Fpc, Inc. Method and system for monitoring a container fullness
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11735455B2 (en) 2021-03-12 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Systems, devices, and methods for air flow optimization including adjacent a FOUP
US20220297320A1 (en) * 2021-03-18 2022-09-22 Applied Materials, Inc. Increased number of load ports on factory interface with robot that moves on track

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999039386A1 (en) * 1998-01-30 1999-08-05 Hitachi, Ltd. Load port and carriage for transferring the same
US6013920A (en) * 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
WO2001014227A1 (en) * 1999-08-25 2001-03-01 Maxtor Corporation Material delivery system for clean room environments
US6220808B1 (en) * 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2867194B2 (en) 1992-02-05 1999-03-08 東京エレクトロン株式会社 Processing device and processing method
JP3030160B2 (en) 1992-04-28 2000-04-10 東京エレクトロン株式会社 Vacuum processing equipment
KR940006241A (en) 1992-06-05 1994-03-23 이노우에 아키라 Substrate transfer device and transfer method
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6053983A (en) * 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
US6138721A (en) 1997-09-03 2000-10-31 Asyst Technologies, Inc. Tilt and go load port interface alignment system
FR2778496B1 (en) 1998-05-05 2002-04-19 Recif Sa METHOD AND DEVICE FOR CHANGING THE POSITION OF A SEMICONDUCTOR PLATE
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6261044B1 (en) 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6135698A (en) * 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
JP2001031211A (en) 1999-07-26 2001-02-06 Murata Mach Ltd Carrying system
AU6763000A (en) 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
US6520727B1 (en) * 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6013920A (en) * 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
WO1999039386A1 (en) * 1998-01-30 1999-08-05 Hitachi, Ltd. Load port and carriage for transferring the same
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6220808B1 (en) * 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
WO2001014227A1 (en) * 1999-08-25 2001-03-01 Maxtor Corporation Material delivery system for clean room environments

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7607879B2 (en) 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US11121017B2 (en) 2005-07-11 2021-09-14 Brooks Automation, Inc. Load port module
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
WO2009128039A2 (en) * 2008-04-15 2009-10-22 Dynamic Micro Systems Clean transfer robot
WO2009128039A3 (en) * 2008-04-15 2009-12-10 Dynamic Micro Systems Clean transfer robot
US8757026B2 (en) 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
US9943969B2 (en) 2008-04-15 2018-04-17 Brooks Automation (Germany) Gmbh Clean transfer robot

Also Published As

Publication number Publication date
US7217076B2 (en) 2007-05-15
JP2005508570A (en) 2005-03-31
DE10297169T5 (en) 2004-07-29
WO2003021643A3 (en) 2003-08-07
KR20040040447A (en) 2004-05-12
CN1327477C (en) 2007-07-18
KR100800612B1 (en) 2008-02-05
US20030044261A1 (en) 2003-03-06
JP4309264B2 (en) 2009-08-05
CN1572013A (en) 2005-01-26

Similar Documents

Publication Publication Date Title
US7648327B2 (en) Wafer engine
US7100340B2 (en) Unified frame for semiconductor material handling system
US7217076B2 (en) Semiconductor material handling system
CN1996552B (en) Wafer engine
US7419346B2 (en) Integrated system for tool front-end workpiece handling
US9943969B2 (en) Clean transfer robot
KR100562542B1 (en) Modular sorter
US7976263B2 (en) Integrated wafer transfer mechanism
KR20020047037A (en) Cassette buffering within a minienvironment
EP1231626A1 (en) Measurement arrangement
TW579564B (en) Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003525891

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020047003085

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20028204883

Country of ref document: CN

122 Ep: pct application non-entry in european phase