WO2003017285A1 - Nonvolatile memory on soi and compound semiconductor substrates and methods of fabrication - Google Patents

Nonvolatile memory on soi and compound semiconductor substrates and methods of fabrication Download PDF

Info

Publication number
WO2003017285A1
WO2003017285A1 PCT/US2002/021318 US0221318W WO03017285A1 WO 2003017285 A1 WO2003017285 A1 WO 2003017285A1 US 0221318 W US0221318 W US 0221318W WO 03017285 A1 WO03017285 A1 WO 03017285A1
Authority
WO
WIPO (PCT)
Prior art keywords
array
layer
substrate
silicon
driver circuit
Prior art date
Application number
PCT/US2002/021318
Other languages
French (fr)
Inventor
Andrew J. Walker
Mark G. Johnson
N. Johan Knall
Igor G. Kouznetsov
Christopher J. Petti
Original Assignee
Matrix Semiconductor, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matrix Semiconductor, Inc. filed Critical Matrix Semiconductor, Inc.
Publication of WO2003017285A1 publication Critical patent/WO2003017285A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout

Abstract

A nonvolatile memory array is provided. The array includes an array of nonvolatile memory devices, at least one driver circuit (2), and a substrate (3). The at least one driver circuit (2) is not located in a bulk monocrystalline silicon substrate (7). The at least one driver circuit may be located in a silicon on insulator substrate (3) or in a compound substrate.

Description

Nonvolatile Memory on SOI and Compound Semiconductor Substrates and Method of Fabrication
[0001 ] This application is a continuation-in-part of U.S. Application
Serial Number 09/81 4,727, filed on March 21 , 2001 , which is a continuation of U.S. Application Serial Number 09/560,626, filed on April 28, 2000, both of which are incorporated by reference in their entirety.
FIELD OF THE INVENTION
[0002] The present invention is directed generally to semiconductor devices and methods of fabrication and more particularly to a nonvolatile memory array and method of fabrication.
BACKGROUND OF THE INVENTION
[0003] Field programmable nonvolatile memory arrays such as programmable read only memories (PROMs), electrically programmable read only memories (EPROMs), and electrically erasable programmable read only memories (EEPROMs) require driver circuits (also known as peripheral circuits) which select a particular device in the array to write or read data from the particular device. Frequently, such driver circuits are formed in a bulk monocrystalline silicon substrate, while the memory arrays are formed above the driver circuits. Such a memory array is disclosed in U.S. patents 6,034,882 and 6, 1 85, 1 22, incorporated herein by reference. However, forming the driver circuits in the bulk monocrystalline silicon substrate may be undesirable for some applications of the memory array. BRIEF SUMMARY OF THE INVENTION
[0004] A preferred embodiment of the present invention provides a nonvolatile memory array, comprising an array of nonvolatile memory devices, at least one driver circuit, and a substrate, wherein the at least one driver circuit is not located in a bulk monocrystalline silicon substrate.
[0005] Another preferred embodiment of the present invention provides a nonvolatile memory array, comprising a monocrystalline silicon substrate, at least one driver circuit formed above the substrate, and an array of nonvolatile memory devices formed above the substrate.
[0006] Another preferred embodiment of the present invention provides a method of making a nonvolatile memory array, comprising forming at least one driver circuit above a substrate or in a semiconductor substrate other than a monocrystalline silicon substrate, and forming an array of nonvolatile memory devices.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] Figures 1 -3 are schematic side cross sectional views of a memory array according to the first and second preferred embodiments of the invention,
[0008] Figure 4A is a three dimensional view of a rail stack antifuse memory array according to the third preferred embodiment of the invention.
[0009] Figure 4B is a side cross sectional view of a rail stack antifuse memory array according to an alternative aspect of the third preferred embodiment of the invention. [0010] Figure 5 is a three dimensional view of a rail stack EEPROM memory array according to the fourth preferred embodiment of the invention.
[001 1 ] Figures 6 and 7 are three dimensional views of two terminal pillar devices according to the fifth preferred embodiment of the invention.
[0012] Figure 8 is a three dimensional view of an EEPROM pillar device according to the sixth preferred embodiment of the invention.
[0013] Figure 9 is a three dimensional view of an TFT EEPROM array according to the seventh preferred embodiment of the invention.
[0014] Figures 1 0A is side cross sectional view of an FN tunneling flash memory array according to the eighth preferred embodiment of the invention. Figure 1 0B is a side cross sectional view along line B-B in Figure 1 0A.
[0015] Figure 1 1 is a side cross section views of a TFT CMOS
EEPROM array according to the ninth preferred embodiment of the invention.
[0016] Figures 1 2 to 14 are circuit diagrams of logic circuits using the TFT CMOS EEPROM array of the ninth preferred embodiment.
[0017] Figure 1 5 is a schematic side cross sectional view of a memory array monolithically integrated with a non-memory device.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
[0018] The present inventors have realized that the driver circuit of the nonvolatile memory array does not have to be located in a bulk monocrystalline silicon substrate. Instead, the driver circuit may be formed in a silicon on insulator (SOI) substrate or in a compound semiconductor substrate, depending on the type of electronic device in which the array is to be incorporated.
[0019] Figure 1 illustrates a schematic overview of a nonvolatile memory array 1 according to the first preferred embodiment of the present invention. In Figure 1 at least one driver circuit 2 is formed in an SOI substrate, which contains an insulating surface 3. An array of nonvolatile memory devices 4 is located above the at least one driver circuit 2. Alternatively, the array of nonvolatile memory devices 4 may be located adjacent to or below the driver circuit 2 in the SOI substrate.
[0020] The preferred SOI substrates of the first preferred embodiment are schematically illustrated in Figures 2A and 2B. The SOI substrate includes a silicon layer 5 over the insulating surface 3. The silicon layer 5 may be a single crystal silicon layer, a polycrystalline silicon (i.e., "polysilicon") layer or an amorphous silicon layer. The at least one driver circuit 2 is located in the silicon layer 5. If there are a plurality of driver circuits 2 in the silicon layer 5, then the driver circuits may be isolated from each other by field oxides, trench isolation structures and/or any other known isolation structures. The insulating surface 3 below the silicon layer 5 may be an insulating layer 6 formed on or over a semiconductor substrate 7 or an insulating substrate 8, as shown in Figures 2A and 2B, respectively.
[0021 ] In a first preferred aspect of the first embodiment, the SOI substrate includes a silicon layer 5 formed on an insulating layer 6, such as silicon oxide, silicon nitride, silicon oxynitride and/or other insulting layers. The insulating layer 6 is located on or over a bulk monocrystalline silicon substrate 7, as shown in Figure 2A. Thus, the at least one driver circuit 2 is located in layer 5 above the bulk monocrystalline silicon substrate 7.
[0022] The SOI substrate (i.e., elements 5, 6, and 7) shown in
Figure 2A may be formed by the SIMOX (separation by implantation of oxygen) method. The SIMOX method includes providing a monocrystalline silicon substrate 7 and implanting oxygen below the surface of the substrate 7. The substrate 7 is then annealed to form a silicon oxide layer 6 in the substrate. Since the oxygen was implanted below the substrate 7 surface, a single crystal silicon layer 5 (which used to comprise the top section of the substrate 7) remains above the silicon oxide layer 6. The at least one driver circuit 2 is then formed in the single crystal silicon layer 5. The at least one driver circuit 2 preferably contains MOS (metal oxide semiconductor) and CMOS (complementary MOS) transistors and is preferably fabricated in substrate using ordinary MOS and CMOS fabrication techniques.
[0023] Alternatively, the SOI substrate may be formed by a seeded lateral epitaxy method. In this method, a monocrystalline silicon substrate 7 is provided. An insulating layer 6, such as a silicon oxide layer, is formed over the substrate 7. One or more windows or vias to the substrate 7 are formed in layer 6. A silicon layer 5 is deposited over the silicon oxide layer 6, such that it contacts the substrate 7 through the windows in layer 6. The silicon layer 5 may be deposited as a single crystal silicon layer over the silicon oxide layer using the substrate as a seed. Alternatively, the silicon layer 5 may be deposited as an amorphous or polycrystalline silicon layer, and then recrystallized by laser or thermal annealing into a single crystal layer using the substrate 7 as a seed. Then, the at least one driver circuit 2 is formed in the single crystal silicon layer 5. [0024] Alternatively, the silicon layer 5 may comprise a polycrystalline silicon or an amorphous silicon layer formed over the insulating layer 6. In this case, the insulating layer 6, such as a silicon oxide, silicon nitride or silicon oxynitride interlayer insulating layer is formed over the substrate 7. The polycrystalline or amorphous silicon layer 5 is then deposited over the insulating layer 6, and the driver circuit 2 is formed in the layer 5. If desired, the crystallinity of the layer 5 may be improved by laser and/or thermal annealing. Thus, for example, an amorphous silicon layer may be converted into a polycrystalline silicon layer. If desired, a crystallization catalyst material, such as Ge, or a transition metal, such as Ni, Pt, Pd, etc., or their silicides, may be used as a seed for the crystallization of the amorphous silicon layer.
[0025] In a second preferred aspect of the first embodiment, the SOI substrate includes a silicon layer 5 formed on or over an insulating substrate 8, as shown in Figure 2B. The insulating substrate 8 may be a glass, plastic or ceramic substrate. Glass substrates include, for example, silicate glass, aluminosilicate glass, boroaluminosilicate glass, germanate glass and various glass-ceramics (i.e., glasses which contain at least 5% crystal phase). Plastic substrates are preferably flexible or bendable, and include, for example, polyimide, PTFE and various other polymer based materials. Ceramic substrates include, for example, sapphire, alumina, zirconia, yttria stabilized zirconia and quartz (crystalline silica), etc. It should be noted that it is possible to form a single crystal silicon layer directly on a sapphire substrate.
[0026] The silicon layer 5 formed on the insulating substrate 8 may be a single crystal silicon layer formed by the wafer bonding method. This method includes providing a temporary monocrystalline silicon substrate (not shown) and forming the at least one driver circuit 2 in this substrate. The temporary substrate is then selectively removed from below the driver circuit 2, such that only the single crystal silicon layer 5 in which the driver circuit 2 is located remains. The temporary substrate may be removed by selective wet etching which preferentially etches the first conductivity type substrate to the second conductivity type layer 5 in which the driver circuit 2 is located. Alternatively, the temporary substrate may be removed by non-selective etch back or chemical mechanical polishing which is stopped before any portion of the driver circuit is removed, by using an etch stop layer and/or a timed etchback or polishing. After the temporary substrate is removed, a permanent insulating substrate 8 (such as a glass substrate) is attached to the at least one driver circuit 2.
[0027] Alternatively, the silicon layer 5 may be a polycrystalline or an amorphous silicon layer formed directly over an insulating substrate 8. If desired, an optional impurity blocking insulating layer, such as a silicon oxide, silicon nitride or aluminum oxide layer may be formed between the insulating substrate 8 and the silicon layer 5. If desired, the crystallinity of the layer 5 may be improved by laser and/or thermal annealing. Thus, for example, an amorphous silicon layer may be converted into a polycrystalline silicon layer. If desired, a crystallization catalyst material, such as Ni, Ge, Pt, Pd, etc., may be used as a seed for the crystallization of the amorphous silicon layer.
[0028] In an alternative aspect of the first preferred embodiment, at least a part, and preferably all of the at least one driver circuit 2 is formed within the memory array or above the memory array. Thus, the driver circuit 2 is also formed in an SOI substrate, which comprises an amorphous or polycrystalline semiconductor (i.e., silicon) layer(s) which is separated from a monocrystalline semiconductor or insulating substrate by one or more interlayer insulating layers and/or one or more device levels of the memory array. In this aspect of the first embodiment, the at least one driver circuit is vertically integrated with the memory array to increase the device density.
[0029] In a second preferred embodiment of the present invention, the at least one driver circuit 2 is formed in a lll-V, ll-VI or IV-IV semiconductor substrate 9, as shown in Figure 3. The array of nonvolatile memory devices (not shown in Figure 3) is formed above or adjacent to the at least one driver circuit 2. For example, the lll-V semiconductor substrate may be a GaAs, InP or GaN substrate. The ll-VI semiconductor substrate may be a ZnSe, CdSe or CdS substrate. The IV- IV substrate may be a silicon carbide ("SiC") or a SiGe substrate.
[0030] In the arrays of the first and second embodiments, the at least one driver circuit 2 may include a decoding circuit, a sensing circuit, a programming circuit and/or other logic circuits. The array of nonvolatile memory devices 4 comprises an array of PROMs, EPROMs or EEPROMs. The array 4 may be a two or a three dimensional array. Preferably, the array of nonvolatile memory devices comprises a monolithic three dimensional array of memory devices. The term "monolithic" means that layers of each level of the array were directly deposited on the layers of each underlying level of the array. Thus, a first interlayer insulating layer is formed over the at least one driver circuit, at least one first semiconductor layer is deposited over the first interlayer insulating layer, and a first array of PROMs, EPROMs or EEPROMs is formed in the at least one first semiconductor layer. Then, a second interlayer insulating layer is formed over the first array of PROMs, EPROMs or EEPROMs. At least one second semiconductor layer is formed over the second interlayer insulating layer. A second array of PROMs, EPROMs or EEPROMs is formed in the at least one second semiconductor layer to form a monolithic three dimensional array. Additional array levels may be formed in the same fashion if desired. In contrast, two dimensional arrays may be formed separately and then packaged together to form a non- monolithic memory device.
[0031 ] The various nonvolatile memory devices which may be formed in the array of memory devices 4 are described below with respect to the third through seventh preferred embodiments. It should be noted that memory devices other than those described below may formed in the array instead. For example, the memory devices may comprise the devices disclosed in U.S. Patents 5,825,046, 6,075,71 9, 6,087,674 and 6, 1 41 ,241 , incorporated herein by references.
[0032] In a third preferred embodiment of the present invention, the array of nonvolatile memory devices 4 comprises a three dimensional array of antifuses. The array of antifuses preferably comprises a first set of rail stack conductors, a second set of rail stack conductors extending in a different direction than the first set of rail stack conductors, and an insulating layer disposed between the first and the second sets of rail stacks, as illustrated in Figure 4.
[0033] The array of Figure 4A may have any number of levels of memory cells, such as 2 to 8 levels. Each level includes a first plurality of parallel spaced-apart rail-stacks running in a first direction and a second plurality of rail-stacks or conductors running in a second direction. Preferably, the first rail-stacks run perpendicular to the second conductors/rail-stacks and hence form a right angle at their intersections.
[0034] A bit can be stored at each of the intersections of rail-stacks.
However, there are no physically discrete individual memory cells at the intersections, rather memory cells are defined by the rail-stacks and intermediate layers. This makes it easier to fabricate the memory array. The term "memory cell" is intended broadly to encompass physically discrete elements or elements that are defined by rail-stacks and intermediate layers, or any other localized region where a bit can be stored. When the array is fabricated all the bits are in the zero (or one) state and after programming, the programmed bits are in the one (or zero) state.
[0035] In the embodiment of Figure 4A, several rail-stacks are illustrated in the partial cross-section of the array. For instance, a rail- stack 1 6 is shown at one height and a half rail-stack 1 8 is shown at a second height above the first height. Also, half rail-stacks are disposed between rail-stack 1 6 and a substrate 1 0.
[0036] These lower rail-stacks run in the same direction as the half rail-stack 1 8. A bit is stored at the intersection of rail-stacks and, for instance, a "cell" is present between the rail-stacks as shown within the bracket 1 7 and another within the bracket 1 9. Each of these brackets spans a memory level.
[0037] The substrate 1 0 may comprise an SOI substrate of the first embodiment or a compound semiconductor substrate of the second embodiment. The at least one driver circuit 2 is fabricated in substrate 1 0 under the memory array 4 using, for instance, ordinary MOS and CMOS fabrication techniques. Vias are used to connect conductors within the rail-stacks to the substrate to allow access to each rail-stack in order to program data into the array and to read data from the array. For instance, the circuitry within the substrate 1 0 may select the rail-stack 1 6 and the rail stack 1 8 to program or to read a bit associated with the intersection of these rail-stacks. Alternatively, the at least one driver circuit 2 may be formed within or above the memory array, if desired.
[0038] As shown in Figure 4A, an insulating layer 1 2 is formed over the substrate 1 0 containing the driver circuit(s) in order that the array may be fabricated above the substrate. This layer may be planarized with, for instance, chemical-mechanical polishing (CMP) to provide a flat surface upon which the array may be fabricated.
[0039] Following this, a conductive layer 1 4 is formed over the substrate. As will be seen, conductive layers are used within the rail- stacks and these layers and the resultant conductors may be fabricated from elemental metals such as tungsten, tantalum, aluminum, copper or metal alloys such as MoW. Metal silicides may also be used such as TiSΪ2, CoSi2 or a conductive compound such as TiN, WC may be used. A highly doped semiconductor layer such as silicon is also suitable. Multiple layer structures may be used selecting one or more of the above.
[0040] Following the deposition of a conductive layer, a layer of semiconductor material 1 5, such as silicon, is formed over the conductive layer. This is typically a polysilicon layer; however, an amorphous layer may be used. Other semiconductor materials may be used such as Ge, GaAs, etc. In the embodiment of Figure 4A, this semiconductor layer is highly doped and, as will be seen, forms one-half a diode. After masking and etching steps, half rail-stacks are formed. These rail-stacks are "half" or partial rail-stacks since they are approximately half the thickness of the rail-stacks used in the next level.
[0041] Following this, in the embodiment of Figure 4A, a material for the antifuses used to program the array is deposited. In one embodiment, the layer 20 is a dielectric such as silicon dioxide which is deposited by chemical vapor deposition (CVD) in a blanket deposition over the half rail-stacks and filling the space between the rail-stacks to form a dielectric fill. Preferably, the fill insulating material, such as silicon oxide, is blanket deposited to fill in the spaces between the rail stacks which include layers 14 and 1 5. The fill material is then planarized to expose the top surface of the semiconductor layer 1 5 in the rail stacks, and the antifuse layer 20, such as silicon oxide, is deposited over the rail stacks and the fill material. In another alternative aspect of this embodiment, the layer 20 is selectively grown on the upper surface of the silicon layer 1 5 and only exists on the rail-stacks.
[0042] Now a full set of memory array rail-stacks is formed on the layer 20. This comprises first the deposition of a lightly doped silicon layer 21 doped with a conductivity-type dopant opposite to that used for the silicon layer 1 5, a heavily doped silicon layer 22 doped also opposite to the layer 1 5, a conductive layer 23 and a heavily doped silicon layer 24 doped with the same conductivity-type dopant as layers 21 and 22. After masking and etching, the rail-stacks shown in Figure 4A, such as rail- stack 1 6, are formed. These rail-stacks are oriented, as illustrated, in a direction perpendicular to the rail-stacks above and below them.
[0043] While not shown in Figure 4A, the spaces between the rail- stacks are filled with a dielectric such as silicon dioxide. Then the rail- stacks and fill are planarized by chemical mechanical polishing (CMP) . In another embodiment spin-on-glass (SOG) is used to fill the voids. In this case chemical planarization (i.e., etch back) can be used. Other fill and planarization methods can be used.
[0044] After formation of the rail-stacks another antifuse layer 26 is formed, for instance from a dielectric such as silicon dioxide, silicon nitride, silicon oxynitride, amorphous carbon or other insulating materials or combinations of materials. Also an updoped layer of silicon may be used for the antifuse layer.
[0045] Now another layer of rail-stacks is defined and only half rail- stacks are shown in Figure 4A at this upper level. This half rail-stack comprises a silicon layer 28 doped with a conductivity-type dopant opposite to that of layer 24. This is a lightly doped layer. Another silicon layer 30 is formed on layer 28 and this layer is doped with the same conductivity-type dopant as layer 28; however, it is more heavily doped. Then a conductive layer 31 is formed above the layer 30.
[0046] Half rail-stacks are used at the very upper-most level of the array and at the very lowest level of the array. In between the half rail- stacks, full rail-stacks, such as rail-stack 1 6, are used throughout the array.
[0047] It should be noted that the silicon layers disposed on the conductive layers extend the entire length of the rail-stacks in the embodiment of Figure 4A and are uninterrupted, except possibly where vias are used to provide a conductive path to the substrate 10.
[0048] In Figure 4A a path 32 is illustrated from a lower conductor in level 1 7 to an upper conductor in this level found in the rail-stack 1 8. This path is accessed in one embodiment through decoding circuitry in the substrate for both programming and reading of data into and from the array for one bit.
[0049] For instance, to program the bit, a relatively high voltage, e.g. 5-20V, is applied between the conductors to forward-bias the diode between these conductors. This relatively high voltage causes a breach in the layer 26 creating a diode. Without this high voltage, the layer 26 remains an insulator. Thus, by selecting pairs of conductors, diodes can be selectively formed so as to program the array. While programming the array with the layers adjacent to the antifuse material being forward- biased is currently preferred, it is also possible to program using a reverse- biasing potential.
[0050] To sense the data programmed into the array, a voltage lower than the programming voltage is used. This lower voltage is applied so as to forward-bias the diode of the cell being accessed and thus allow a sense amplifier to determine whether or not the layer 26 is intact between the rail-stacks. Note that "sneak" or parasitic paths in the array which would interfere with the sensing will include a reverse-biased diode.
[0051] Also, the anode and cathode of the diodes are reversed at each of the successive antifuse layers. This facilitates programming and sensing, since all of the conductors at each level are either bitlines or wordlines. And, for instance, conductors at one height will serve as bitlines for two levels and conductors at the next height serve as wordlines for two levels. This simplifies the decoding and sensing and more importantly reduces processing.
[0052] Some diode types may exhibit a higher leakage current than other diode types. Consequently, it may be desirable to form an array with only a single diode type. Specifically, the P- / N + diodes have a higher leakage current than P + / N- diodes. Figure 4B illustrates an array of a preferred aspect of the third embodiment where, if the antifuse layer is breached, all the diodes will have a P + / N- junction. Thus, there will be no diodes with a P- / N + junction.
[0053] In Figure 4B, three rail-stacks 1 20, 1 21 , and 1 22 are illustrated which will create a P + / N- diodes when an antifuse layer is breached. The first rail-stack 1 20 comprises a first P+ semiconductor (i.e., silicon) layer 1 25, a conductor 1 26, a second P + layer 1 27 and an antifuse layer 1 28. The thickness of layers 1 25-1 27 may be 400 to 3000 A, such as 1 ,000 / 500 / 1 ,000A, for layers 1 25, 1 26 and 1 27 respectively. The antifuse layer 1 28 may be about 20-40 Λ, preferably about 30A thick. [0054] The second rail-stack 1 21 comprises a first N- semiconductor
(i.e., silicon) layer 1 29, a first N + semiconductor layer 1 30, a conductor 1 31 , a second N + layer 1 32 and a second N- layer 1 33. The thickness of layers 1 29-1 33 may be 400 to 3000 A, such as 2,000 / 500 / 500 / 500 / 2000 A for layers 1 29, 1 30, 1 31 , 1 32 and 1 33 respectively.
[0055] The third rail-stack 1 22 contains the same layers as the first rail-stack 1 20 in reverse order. The third rail stack includes a first P + semiconductor (i.e., silicon) layer 1 39, a conductor 1 40, a second P + layer 1 42 and an antifuse layer 143.
[0056] As discussed above, the semiconductor layers may comprise polysilicon or amorphous silicon. The conductors may be heavily doped polysilicon, metal, suicide or combinations thereof. A CMP planarized dielectric fill 1 44, such as silicon dioxide, is provided in the spaces between the rail-stacks.
[0057] As can be seen from Figure 4B, if the antifuse layer 1 28 is breached, the diodes between the conductors 1 26 and 1 31 are all P + / N- type. Similarly, the diodes in the next level between the conductors
1 31 and 140 are again all P + / N- type. The rail-stacks shown are used throughout the memory array so that the entire array has only P + / N- type diodes in its memory cells.
[0058] The diodes in the illustrated rail-stacks of Figure 4B are forward biased towards the conductor 1 31 and the conductor 141 . If need be for a particular application, the diodes can be oriented identically, that is, with all their anodes (or cathodes) pointing upwardly. This can be obtained for the P + / N- type diodes by having both a P + doped and N- doped semiconductor layer in each of the rail-stacks. For instance, layers
1 32 and 1 33 would be replaced with a P + layer and layer 142 would be replaced with N- and N + layers. This still maintains only one type of diode (P+ / N-) throughout the array.
[0059] While Figure 4B shows that after the antifuse layer is breached, only P+ / N- diodes will be created, an array with only P- / N + type diodes can be fabricated by replacing the P + layers with N -l- layers and replacing the N + and N- layers with P + and P- layers, respectively. Also, the array can have the anodes (or cathodes) vertically aligned as discussed above for the P + / N- type diodes.
[0060] According to the fourth preferred embodiment of the present invention, the array of non-volatile memory devices comprises a three dimensional array of rail stack EEPROMs. These EEPROMs are three terminal devices in contrast to the two terminal antifuse devices of the third embodiment. The array comprises a first plurality of spaced-apart conductors disposed at a first height above the substrate in a first direction. The array also comprises a second plurality of spaced-apart rail- stacks disposed above the first height in a second direction different from the first direction, each rail-stack including a semiconductor film of a first conductivity type in contact with said first plurality of spaced-apart conductors, a local charge storage film disposed above the semiconductor film and a conductive film disposed above the local charge storage film.
[0061] Figure 5 illustrates a three dimensional array of rail stack
EEPROMs according to the fourth preferred embodiment of the present invention. The array 40 includes a first plurality of spaced-apart conductors such as n -l- doped polysilicon bit lines 42, 44, 46, 48 disposed in a first direction above the substrate (not shown). A second plurality of spaced-apart "rail stacks" 50, 52 are disposed in a second direction different from the first direction (and preferably orthogonally) at a second height above the substrate so that they are above bit lines 42, 44, 46 and 48 and in contact therewith at intersection points 54, 56, 58, 60, 62, 64, 66, 68. Each rail stack 50, 52 in this embodiment includes at least a layer of p- doped polysilicon 70. Over layer 70 is disposed a charge storage medium 72, such as a dielectric isolated floating gate, an ONO dielectric film (i.e., a Siθ2/Si3N -xOι.5x/SiO2 film, where 0<x<1 ) or an insulating layer containing conductive nanocrystals. A conductive wordline 74 which may comprise n + doped polysilicon is disposed over the charge storage medium 72. A planarized oxide material (not shown) may be deposited in the spaces between adjacent bit lines and rail stacks. A conventional chemical mechanical polishing (CMP) process may be used to accomplish this. If desired, the bit lines 42, 44, 46, 48 may comprise p + doped rather than n + doped polysilicon, while layer 70 may comprise n- doped rather than p- doped polysilicon.
[0062] The memory array structure of Figure 5 can be easily extrapolated to three dimensions. To do this, an interlayer insulating layer is placed over the rail stacks 50, 52 after the CMP process. This layer prevents shorting one set of wordlines with the next set of bit lines. Then another layer of bit lines 42, 44, 46, 48 is constructed over the interlayer insulating layer followed by an oxide deposition and a CMP step, followed by a deposition of another set of rail stacks. This process can be repeated a number of times, as desired, to form two or more device levels (such as eight levels, for example) .
[0063] According to the fifth preferred embodiment of the present invention, the array of non-volatile memory devices 4 comprises a three dimensional array of two terminal pillar memory devices. Referring to Figure 6, one device of the three-dimensional memory is illustrated. The device includes a conductor 81 at one level and a conductor 80 at the next level in the array. A pillar structure is formed in alignment with the conductors 80 and 81 . This pillar structure forms a cell in accordance with the present embodiment. Specifically, referring to Figure 6, the cell includes a steering element and a storage stack. The steering element comprises a junction diode which contains a p + region 82 and an n- region 1 0. The storage stack comprises a tunnel oxide region 84, a charge trapping region 86 and a blocking oxide 85. The charge trapping region 86 may comprise silicon nitride, silicon oxynitride, an ONO dielectric film or silicon nanocrystals in an insulating layer. The conductors 80 and 81 are shared with cells disposed above and below the single cell shown in Figure 6.
[0064] Figure 7 shows another preferred aspect of this embodiment where again there are spaced-apart, parallel conductors at one level, such as conductor 91 , and parallel, spaced-apart conductors at the next level, such as conductor 90. A pillar structure is again fabricated between the conductors 90 and 91 . The difference, however, between the structure of Figure 6 and Figure 7, is that the storage stack comprising the blocking oxide 93, charge trapping region 94 and tunnel oxide 95 is disposed between the p and n regions of the diode. Specifically, the p - region 92 of the diode is in contact with the blocking oxide 93 and the n- region 96 is in contact with the tunnel oxide 95.
[0065] According to the sixth preferred embodiment of the present invention, the array of non-volatile memory devices 4 comprises a three dimensional array of three terminal pillar memory devices, such as pillar EEPROMs. These devices differ from the devices of the previous embodiment in that they contain three rather than two terminals. A three terminal nonvolatile stackable pillar memory device 100 is illustrated in Figure 8. Pillar memory device 1 00 includes a first contact region 102 formed on a first input/output (I/O) 1 03 conductor (i.e., electrode), which is formed above a plane (x-y) of a substrate 1 01 . A semiconductor body 1 04 is formed directly on the first contact region 102 and a second contact region 106 is formed directly on the body 104. Preferably, the contact regions 102 and 1 04 are heavily doped semiconductor regions of a first conductivity type (i.e., source and drain regions), while the body is a lightly doped semiconductor region of a second conductivity type (i.e., a channel) . The semiconductor regions 1 02, 1 04 and 1 06 preferably comprise doped polysilicon. A second I/O conductor 1 1 6 (i.e., electrode) is formed on the second contact region 1 06. The first contact region 102, the body 104, and the second contact region 106 are each vertically aligned with one another to form a pillar 108.
[0066] Adjacent to and in contact with body 1 04 is a charge storage medium or region 1 10. A control gate 1 1 2 is formed adjacent to and in direct contact with the charge storage medium or region 1 1 0. The control gate 1 1 2 and charge storage medium 1 1 0 are constructed so that they lie laterally adjacent to pillar 1 08 so that they may electrically communicate with the pillar 1 08. The charge storage medium is the region that electrically screens the control gate and the channel region addressed by the control gate. The charge storage medium may comprise a silicon oxide/nitride/oxide ("ONO") dielectric film, conductive nanocrystals in an insulating layer or a floating gate located between a tunnel dielectric layer and a control gate dielectric layer.
[0067] During read operations of device 100, when a conductive channel is formed in body 104, current 1 14 flows vertically (z) (or perpendicular) with respect to the plane (x-y) of the substrate 1 01 above which pillar memory device is formed. By creating a memory device with a "vertical" read current path, the pillar memory cell of the present embodiment can be easily stacked in a three dimensional array with source/drain conductors 1 03 and 1 1 6 running parallel or perpendicular to each other and parallel to the plane of the substrate 101 without requiring the use of vertical interconnect strategies for the source and drain connections. The conductor 1 1 2 to the control gate may be run vertically (as shown in Figure 8) or horizontally.
[0068] Although memory device 1 00 shown in Figure 8 includes a charge storage medium 1 1 0 and a control gate 1 1 2 formed on only one side or surface of pillar 108, it is to be appreciated that the pillar memory device of the present embodiment can be fabricated so that the entire body of the pillar 108 is surrounded by a single charge storage member 1 1 0 and a single control gate 1 1 2. Additionally, each surface of the pillar 1 08 can have an independently controlled charge storage member and control gate and thereby enable multiple bits of data to be stored in a single pillar memory device. The use of multiple charge storage members and control gates enables the storage of multiple values on a single pillar device by determining how much of the channel is exposed to charge. Additionally, each face of body 1 04 of pillar 1 08 can have different doping densities to create different threshold voltages for each face to further enable the pillar memory to store additional states and therefore additional bits.
[0069] According to the seventh preferred embodiment of the present invention, the array of non-volatile memory devices 4 comprises a three dimensional array of thin film transistor ("TFT") EEPROMs. This array comprises a plurality of vertically separated device levels, each level comprising an array of TFT EEPROMs. Each TFT EEPROM includes a channel, source and drain regions, a control gate, and a charge storage region between the channel and the control gate. The array also comprises a plurality of bit line columns in each device level, each bit line contacting the source or the drain regions of the TFT EEPROMs. The array further comprises a plurality of word line rows in each device level, and at least one interlayer insulating layer located between the device levels. [0070] Figure 9 illustrates a preferred three dimensional memory array 200 according to the seventh preferred embodiment of the present invention. The three dimensional memory array 200 is a three dimensional array of TFT EEPROMs. Each TFT EEPROM contains source and drain regions 21 7, a channel 21 9, a control gate 243, control gate sidewall spacers (not shown for clarity in Figure 9) and a charge storage region 207 between the channel and the control gate. The channel 21 9 of each TFT EEPROM comprises amorphous silicon or polysilicon. The charge storage region 207 may comprise a silicon oxide/nitride/oxide ("ONO") dielectric film, isolated nanocrystals or a floating gate located between a tunneling dielectric and a control gate dielectric layer.
[0071 ] The memory array 200 also contains a plurality of bit line columns 225. Each bit line 225 contacts the source or the drain regions 21 7 of a plurality of TFT EEPROMs. The columns of the bit lines 225 extend substantially perpendicular to the source-channel-drain direction of the TFT EEPROMs (i.e., a small deviation from the perpendicular direction is included in the term "substantially perpendicular") . The bit lines 225 in each device level are shaped as rails which extend under the intergate insulating layer 227. The bit lines include the buried diffusion regions formed during the source and drain 217 doping steps and the overlying suicide 223 layers. The source and drain regions 217 are formed in the bit lines 225 where the word lines 241 intersect (i.e., overly) the bit lines 225. The doped source and drain regions 21 7 are located adjacent to the TFT EEPROM channel regions 21 9.
[0072] The memory array 200 also includes a plurality of word line rows 241 . Each word line 241 contacts the control gates 243 of a plurality of TFT EEPROMs 200. Alternatively, the word lines can themselves comprise the control gates. The rows of word lines extend substantially parallel to the source-channel-drain direction of the TFT EEPROMs. The plurality of word lines 241 are self aligned to the control gates 243 of the array of TFT EEPROMs or the word lines themselves comprise the control gates. If floating gates, but not control gates are included in the array, then the word lines are self aligned to the floating gates and to the control gate dielectric. The word lines are also self aligned to the channel and the charge storage regions of the TFT EEPROMs located below the respective word lines.
[0073] Each device level 245 of the array is separated and decoupled in the vertical direction by an interlayer insulating layer 203. The interlayer insulating layer 203 also isolates adjacent word lines 241 and adjacent portions of the channels 21 9 below the respective word lines 241 in each device level 245. The effective cell area per bit in the resulting three dimensional memory array is about 2f2/N, where N is number of device levels (i.e., N = 1 for a two dimensional array and N > 1 for a three dimensional array) .
[0074] Each level of the TFT EEPROMs may be formed by forming a plurality of gate electrodes 209 on the active silicon areas. The source and drain regions 21 7 are implanted into the active silicon areas using the gates 209 as a mask. The remaining silicon active areas form the TFT channel regions 21 9. Sidewall spacers (not shown) are formed on the gates 209. Then, suicide regions 223 are formed on the source and drain regions 21 7 by the salicide method. The intergate insulating layer 227 is deposited over the gates 209 and planarized to expose the gates 209. If desired, a sacrificial blocking layer may also be formed over the gates 209. The blocking layer is removed after layer 227 is planarized to expose the gates 209. Then a conductive material is deposited and patterned to form the word lines 241 and control gates 243. The channels 21 9 and the charge storage region 207 are also patterned during the same etching step using the same photoresist mask. [0075] The memory devices of the preferred embodiments of the present invention may be arranged in a three dimensional virtual ground array (VGA) nonvolatile flash memory. The devices may also be formed in nonvolatile flash memory architectures other than VGA, such as NOR- type memory and Dual String NOR (DuSNOR) memory architectures.
[0076] In a VGA illustrated in the previous embodiments, the programming of each EEPROM occurs by hot carrier injection. In hot carrier injection, a voltage is placed across a diode (i.e., between a source and a drain of a TFT EEPROM). The hot carriers (i.e., hot electrons and holes) that are travelling from source to drain through the channel of the TFT EEPROM are injected into the charge storage region which is disposed adjacent to the channel. This procedure is a relatively high power event.
[0077] For low power portable applications where both program/erase and read power are important, a flash nonvolatile memory using Fowler- Nordheim tunneling ("FN tunneling") for both program and erase may be used. FN tunneling results from applying a voltage across a dielectric. Thus, in a TFT EEPROM, a voltage is applied between a control gate and a source and/or a drain region of the TFT, for writing and erasing the TFT EEPROM. This is in contrast with hot carrier injection programming, where a voltage is applied between the source and the drain regions.
[0078] A flash memory array which uses FN tunneling for program and erase is advantageous because thousands of bits in such a flash memory array may be programmed at the same time. Also, FN tunneling is a very efficient way of programming since most (close to 100%) of the current goes to program the device. This is in contrast with hot carrier injection where only about 1 -2% of the source-drain current goes to program the device. [0079] Thus, in an eighth preferred embodiment of the present invention, charge storage devices, such as TFT EEPROMs, are arranged in a flash memory array configuration which utilizes FN tunneling programming. The TFT EEPROMs may be arranged in the rail stack, pillar or self-aligned TFT or configurations of the previous embodiments. Preferably, the TFT EEPROMs are arranged in the rail stack configuration.
[0080] The VGA is not compatible with FN tunneling since the whole channel polysilicon inverts along the length of the pulsed-high word line and will then program cells in addition to the one that needs programming. Therefore, the FN tunneling rail stack (crosspoint) flash array differs from the VGA in that in the FN tunneling array the active polysilicon layer is patterned into polysilicon islands to allow FN tunneling programming. Thus, an extra photolithographic masking step is added to the process of making the rail stack array shown in Figure 5 during which the polysilicon active layer is etched into islands in each device cell. The same photoresist mask can be used to define (i.e., etch) the charge storage regions in each cell.
[0081] In Figure 1 0A, the flash memory array 330 is preferably formed over a planarized interlayer insulating layer 331 , such as a CMP planarized silicon oxide layer. Layer 331 is formed over a substrate (not shown) as in the previous embodiments. Each device of the array (shown by dashed lines 332 in Figure 1 0A) is thus a TFT because it is formed over an insulating layer.
[0082] The array 330 contains a first plurality of spaced-apart conductive bit lines 333 disposed at a first height above the substrate in a first direction. The array also contains a second plurality of spaced-apart rail-stacks 335. The rail stacks are disposed at a second height in a second direction different from the first direction. Preferably, the bit lines 333 and the rail stacks 335 are arranged perpendicular to each other. The TFT EEPROM 332 is formed at the intersection of the rail stacks 335 and the bit lines 333.
[0083] Each rail-stack 335 includes a plurality of semiconductor islands 337, which comprise the active regions of the TFT EEPROMs 332. One surface of the islands 337 is in contact with the bit lines 333. Each rail stack 335 also includes a conductive word line 339 and a charge storage region 341 disposed between a second surface of the semiconductor islands 337 and the word line 339.
[0084] The semiconductor islands 337 preferably comprise polysilicon of a first conductivity type (i.e., P- or N-) . However, the islands may comprise amorphous silicon if desired. The polysilicon islands 337 include source and drain regions 343 of a second conductivity type (i.e., N + or P + ). The source and drain regions 343 are located at contacting intersections between the bit line conductors 333 and the rail stacks 335.
[0085] The bit lines 333 preferably comprise polysilicon of the second conductivity type (i.e., N + or P + ). The bit lines 333 contact the source and drain regions 343. Preferably, the source and drain regions are formed by outdiffusion of dopants from the bit lines. Furthermore, an optional metal or a metal silicide layer (not shown in Figure 1 0A) may be disposed in contact with the bit lines 333 to increase the conductivity of the bit lines. The space between said spaced-apart bit line conductors 333 is filled with a planarized insulating filler material 345, such as silicon oxide.
[0086] The charge storage regions 341 may comprise a dielectric isolated floating gate, electrically isolated nanocrystals or an ONO dielectric stack, as in the previous embodiments. An exemplary array having a dielectric isolated floating gate is illustrated in Figures 10A and B. Thus, in the example of Figures 1 0A and B, the charge storage region 341 comprises a polysilicon floating gate 347 between a tunnel dielectric 349, such as a silicon oxide layer, and a control gate dielectric 351 (also known as the intergate or interpoly dielectric) made of a material such as silicon oxide or an ONO layer stack.
[0087] As shown in Figures 10A and B, the lateral sides 353 of the tunnel dielectric 349 and the floating gate 347 are aligned to the lateral sides 355 of the semiconductor islands 337. The control gate dielectric 351 extends between the semiconductor islands 337 and contacts the planarized insulating material 345 between the semiconductor islands 337. If desired, the floating gate 347 may be made from hemispherical grain polysilicon which has a textured surface to maximize the control gate to floating gate coupling. Alternatively, the coupling may be increased by increasing the floating gate height, by forming horns or protrusions in the floating gate, or by roughening the floating gate surface.
[0088] The word line 339 comprises a polysilicon layer of a second conductivity type (i.e., N + or P + ) and a metal or a metal silicide layer in contact with the polysilicon layer. The word line 339 acts as a control gate of the TFT EEPROM in locations where it overlies the charge storage regions 341 . Thus, formation of a separate control gate for each TFT is not required.
[0089] In one preferred aspect of this embodiment, the rail stacks 335 are disposed above the bit lines 333, as shown in Figures 1 0A and B. However, if desired, the rail stacks 335 may be disposed below the bit lines 333 in each device level, (i.e., bottom gate TFT EEPROMs are formed). [0090] As shown in Figure 1 0B, the word line 339, the charge storage regions 341 and the semiconductor islands 337 (i.e., the rail stacks 335) are aligned in a plane 356 perpendicular to the substrate and parallel to a source to drain direction. The rail stacks 335 are separated by a second planarized insulating layer 357, such as silicon oxide.
[0091] While the flash memory array may comprise a two dimensional array, preferably, the flash memory array comprises a monolithic three dimensional array comprising a plurality of device levels. For example, three device levels are shown in Figure 10A. The device levels are separated by an interlayer insulating layer 359, such as a silicon oxide layer. If desired, layers 357 and 359 may comprise the same silicon oxide layer which is deposited above and between the rail stacks 359, and then planarized by CMP.
[0092] To program the selected TFT EEPROM 332, either its drain bit line or its source bit line 333 (or both) are grounded while the positive programming voltage is applied to the selected word line 339 adjacent to the device 332 (which is a high impedance node) . All other word lines on the same device level are grounded while all other bit lines on the same level device can float or are placed at a slight positive voltage. This means that only the selected cell 332 experiences the programming voltage across it. Through capacitive coupling, the floating gate 347 is pulled high while the source and/or drain 343 are grounded. Electrons tunnel to the floating gate 347 from the source and/or drain 343 and an inversion channel is formed in the silicon channel 337. The current to program such a cell to get a threshold voltage shift of about 5V in approximately one millisecond is several picoamps.
[0093] To erase the cell, the same bit lines 333 can be grounded and a negative voltage pulse is applied to the selected word line 339. All other word lines can either be grounded or can float. All other bit lines float or are placed at a slight negative voltage. A plurality (or all) of EEPROM cells in the array can be erased at the same time by pulsing a plurality of word lines to a high negative value while all bit lines are grounded. Alternatively, the selected wordline is grounded while the selected cell's bit lines are pulsed positive. All other word lines float or are pulsed slightly positive while all the other bitlines are grounded.
[0094] The driver circuit(s) 2 may comprise conventional CMOS thin film transistors formed in an SOI substrate or bulk CMOS transistors formed in a compound semiconductor substrate. Alternatively, Figure 1 1 illustrates a CMOS TFT array according to a ninth preferred embodiment of the present invention that may be used in a driver circuit 2.
[0095] The NMOS and PMOS transistors of the CMOS array may be formed adjacent to each other in the same device level in an alternating fashion (i.e., as alternating NMOS and PMOS transistors) . However, in a preferred aspect of the ninth embodiment of the present invention, the one charge carrier type transistors (i.e., NMOS or PMOS) are formed above the other charge carrier type transistors (i.e., PMOS or NMOS) with a common gate line (also known as a word line in memory devices) between them. Thus, the driver circuit 2 of the ninth preferred embodiment comprises a plurality of vertically stacked, common gate CMOS TFT transistors.
[0096] One device level of a vertically stacked, common gate CMOS array in a rail stack configuration according to the ninth preferred embodiment of the present invention is shown in Figure 1 1 . It should be noted that the array may also be arranged in a pillar or self-aligned TFT configurations illustrated in Figures 8 and 9. The CMOS array in Figure 1 1 is similar to the array illustrated in Figure 5, except that transistors of different charge carrier type are formed on either side of the gate line. In Figure 1 1 , the NMOS transistors are arranged below the PMOS transistors. However, it should be understood that the PMOS transistors may be arranged below the NMOS transistors if desired.
[0097] In Figure 1 1 , the array of CMOS devices 400 is preferably formed over a planarized interlayer insulating layer 401 , such as a CMP planarized silicon oxide layer. Layer 401 is formed over a substrate (not shown) as in the previous embodiments. Each CMOS device is thus a CMOS TFT because it is formed over an insulating layer.
[0098] The array includes a plurality of gate lines (i.e., word lines) 403 (only one gate line is shown in the cross sectional view of Figure 1 1 ) . Preferably the gate line comprises a first N + polysilicon layer 405, a silicide layer 407, such as a TiSix or WSix layer, over the first polysilicon layer and a second P + polysilicon layer 409 above the silicide layer. The gate line 403 acts as a gate electrode in each TFT. Thus, no separate gate electrodes connected to the gate lines are required.
[0099] A first insulating layer 41 1 is disposed adjacent to a first side of the gate electrode 403. This insulating layer 41 1 may be a conventional gate dielectric. Preferably, the insulating layer 41 1 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 41 1 and the gate line 403.
[0100] A p-type semiconductor layer 41 3, such as a P- polysilicon layer, is disposed on a side of the first insulating layer opposite to the gate 403. This layer contains the NMOS TFT bodies. N + source and drain regions 41 5 are disposed in layer 41 3. The portions of layer 41 3 between regions 41 5 comprise NMOS TFT channel regions.
[0101] Preferably, the source and drain regions 41 5 are formed by outdiffusion of n-type dopants from the source and drain electrodes (i.e., bit lines) 41 7. However, regions 41 5 may be formed by any other method, such as by masking and ion implantation. The electrodes 41 7 contact the source and drain regions 41 5 and are disposed on the bottom of the p-type semiconductor layer 41 3 (i.e., on the side of layer 41 3 opposite to the first insulating layer 41 1 ) . Preferably, the electrodes 41 7 comprise N + polysilicon rails which extend in a direction perpendicular to the gate line 403. If desired, an optional metal or metal silicide layer is formed in contact with electrodes 417 to increase their conductivity. However, the electrodes 417 may comprise metal or metal silicide instead of the heavily doped polysilicon, if desired. A planar insulating filler layer 41 8, such as silicon oxide, is disposed between the source and drain electrodes 41 7.
[0102] Thus, each NMOS TFT 41 9 is located between adjacent source and drain regions 41 5 and comprises a portion of layers 405, 41 1 , 41 3 and 41 7, as illustrated in Figure 1 1 . The PMOS TFTS 421 are located above the NMOS TFTs 419.
[0103] The PMOS TFTs 421 include a second insulating layer 423 adjacent to a second side of the gate electrode 403. In Figure 1 1 , layer 423 is located on the P + polysilicon layer 409 of the gate line 403. The insulating layer 423 may be a conventional gate dielectric. Preferably, the insulating layer 423 is a charge storage layer (i.e., charge trapping media), such as an ONO stack or isolated nanocrystals, to form charge storage CMOS TFTS, such as EEPROM CMOS TFTs. If floating gate type EEPROM CMOS TFTs are desired, then a floating gate and a control gate dielectric may be added between the insulating layer 423 and the gate line 403.
[0104] An n-type semiconductor layer 425, such as an N- polysilicon layer, is disposed above the second insulating layer 423. Layer 425 is disposed on the opposite side of layer 423 from the gate electrode 403. P + source and drain regions 427 are disposed in layer 425, such that regions of layer 425 between the source and drain regions 427 comprise channel regions of PMOS TFTs. Source and drain electrodes 429 are disposed over the N- polysilicon layer 425 and in contact with the source and drain regions 429. Thus, the electrodes 429 are disposed on top side of the N- polysilicon layer 425 opposite to the second insulating layer 423. A planar insulating filler layer 431 , such as silicon oxide, is disposed between the source and drain electrodes 429. If desired, an optional metal or metal silicide layer is formed in contact with electrodes 429 to increase their conductivity.
[0105] Thus, each PMOS TFT 421 is located between adjacent source and drain regions 427 and comprises a portion of layers 409, 423, 425 and 429, as illustrated in Figure 1 1 . A TFT EEPROM CMOS device (41 9 and 421 ) is formed at each intersection of the first and the third spaced- apart electrodes or conductors 41 7, 429 and the common gate line 403. If desired, the CMOS structure may be inverted and the PMOS TFTs formed below NMOS TFTs. It should be noted that NMOS and PMOS electrodes (i.e., bit lines) do not have to fall directly on top of each other, although they preferably should have the same pitch. NMOS and PMOS transistors thus can have different channel lengths, but the pitch (and thus array size) will be limited by the longer of the two channel lengths. In one preferred aspect, TFTs of one conductivity type (i.e., NMOS or PMOS TFTs) contain a charge storage layer or region, while TFTs of the other conductivity type (i.e., PMOS or NMOS) do not have a charge storage region or layer. Thus, the CMOS of this aspect comprises one EEPROM TFT and one non-EEPROM TFT.
[0106] The TFT CMOS device array 400 illustrated in Figure 1 1 is highly planar and compact. The NMOS source and drain electrodes 41 7 comprise polysilicon rails which extend above the interlayer insulating layer 401 in a first plane parallel to the substrate surface. The p-type polysilicon layer 41 3 extends above the source and drain electrodes 41 7 in a second plane. The gate line 403 extends above layers 41 7, 41 3 and 41 1 in a third plane. The n-type polysilicon layer 425 extends above the gate line 403 in a fourth plane. The PMOS source and drain electrodes 429 comprise polysilicon rails which extend above the n-type semiconductor layer 425 in a fifth plane. Each of the five planes does not intersect any of the other planes.
[0107] The TFT CMOS array 400 is also self aligned. The gate electrode 403, the first insulating layer 41 1 , the p-type semiconductor layer 41 3, the second insulating layer 423 and the n-type semiconductor layer 42.5 comprise a rail stack which is located in a plane parallel to the substrate. The rail stack extends perpendicular to the source and drain electrodes 41 7, 429. Thus, the gate electrode 403, the first insulating layer 41 1 , the p-type semiconductor layer 41 3, the second insulating layer 423 and the n-type semiconductor layer 425 are self aligned in a plane perpendicular to the substrate and parallel to the source to drain direction.
[0108] As shown in Figure 1 2, the resulting TFT CMOS array is a matrix of NMOS 41 9 and PMOS 421 devices with common gates 403. The array shown in Figure 1 2 is an unprogrammed or unconfigured array. The array can then be configured into logic elements or memory devices by rupturing the gate dielectric (i.e., the charge storage film or region) to form a conductive link which connects the gate lines (i.e., word line rows) 403 and source and drain electrodes 41 7, 429 (i.e., bit lines), or by storing charge in the charge storage regions of either NMOS or PMOS transistors to raise their threshold voltages and keep them permanently off. The array of TFT CMOS EEPROM devices 400 may be used to form either logic elements or a memory array. Furthermore, the same semiconductor device in the unconfigured array may be used either as an antifuse or as an EPROM or an EEPROM.
[0109] According to an aspect of the ninth preferred embodiment of the present invention, a driver circuit comprising a plurality of charge storage devices and a plurality of antifuse devices is provided. The circuit may comprise a field programmable gate array or a programmable logic device. Preferably, the plurality of charge storage devices and the plurality of antifuse devices comprise a same set of devices. This greatly simplifies the fabrication of the circuit. These devices function as charge storage devices when a first programming voltage is applied to the devices to turn these devices off by increasing their threshold voltage. These devices also function as antifuses when a second programming voltage higher than a first voltage is applied to the devices. The second voltage may be any voltage which is sufficient to form a conductive link through the charge storage region. For example, the first (i.e., charge storage voltage) may be less than 5 volts, while the second voltage sufficient to form the conductive link may be 5-50 volts, depending on the device characteristics. However, if desired, charge storage and antifuse semiconductor devices having a different structure may be provided.
[01 10] It should be noted that any charge storage devices which function as an antifuse when a conductive link has been formed through its charge storage region are within the scope of the ninth preferred embodiment. Thus, any device is within the scope of the ninth preferred embodiment if the device contains a semiconductor active region, a charge storage region adjacent to the semiconductor active region, a first electrode and second electrodes, and where charge is stored in the charge storage region when a first programming voltage is applied between the first and the second electrodes, and a conductive link is formed through the charge storage region to form a conductive path between the first and the second electrodes. Therefore, a charge storage device which is capable of being used as an antifuse is not limited to rail stack TFT EEPROMs. Such charge storage devices may include the pillar or self aligned TFT EEPROMs and diodes with charge storage regions of the previous embodiments.
[01 1 1] Figure 1 3 illustrates how a 4x4 cell array of the circuit of
Figure 1 2 can be programmed into an inverter 443. First, a high voltage is applied between gate (i.e., word) line 445 and bit lines 447, which will be used to carry the output voltage, Vout. This causes conductive antifuse links 448 to form to electrically connect lines 445 and 447. Then, a programming voltage is applied to all other transistors 450 to increase their threshold voltage to turn them off, except to NMOS transistors 455 and PMOS transistors 457. The NMOS 455 and PMOS 457 transistors form the inverter. When a high voltage, Vin, is provided into gate line 449, then a low voltage, Vout, is read out, and vice-versa. Voltages Vss (i.e., ground) and VDD (i.e., power supply voltage) are provided into bit lines 451 and 453 which are connected to transistors 455 and 457.
[01 12] Figure 14 illustrates how a 4x4 cell array of the circuit of
Figure 1 2 can be programmed into a two input NAND gate 460. First, a high voltage is applied between gate (i.e., word) line 445 and bit lines 447, which will be used to carry the output voltage, Vout. This causes conductive antifuse links 448 to form to electrically connect lines 445 and 447. Then, a programming voltage is provided to all other transistors 450 to increase their threshold voltage to turn them off, except for PMOS transistors 461 and 465 and NMOS transistors 463 and 465. The transistors 461 , 463, 465 and 467 form the NAND gate. Input voltages Vim and Vin2 are provided into gate lines 469 and 471 . CMOS 461 /463 is connected to gate line 469, while transistors 465 and 467 are connected to gate line 471 . Voltages Vss and VDD are provided into bit lines 473 and 475. NMOS 467 is connected to bit line 475, while PMOS 461 and 465 are connected to bit line 473. Output voltages can be read out from lines 445 or 447, which are connected by a blown antifuse 448.
[01 13] By forming the driver circuits in an SOI or a compound semiconductor substrate, numerous advantages may be realized. For example, by forming the driver circuits in SOI substrates, the leakage current between the devices of the driver circuits is improved compared to the devices formed in a monocrystalline silicon substrate. Furthermore, the radiation hardness of the devices formed in an SOI substrate is improved compared to the radiation hardness of the devices formed in a monocrystalline silicon substrate.
[01 14] By forming the driver circuits in a silicon carbide substrate, the leakage current and radiation hardness of the driver circuits is improved compared to the driver circuits formed in a silicon substrate. Furthermore, the driver circuits formed in a silicon carbide substrate can withstand a higher operating voltage than the driver circuits formed in a silicon substrates. Thus, the memory array of the preferred embodiments may be used in an environment where it will be subjected to high radiation doses, such as in military devices and in space craft, by forming the driver circuits in an SOI or a silicon carbide substrate. [01 15] By forming the driver circuits in lll-V semiconductor substrates, such as GaAs substrates, the operating speed of the driver circuits is higher than the operating speed of the driver circuits formed in a silicon substrate. Thus, the memory array of the preferred embodiments may be used in an environment where high device operating speed is required.
[01 16] An additional advantage of forming driver circuits in SOI or compound semiconductor substrates is that the memory array may be monolithically integrated (i.e., formed over the same substrate) with additional devices which are ordinarily formed on SOI or compound semiconductor substrates. For example, as shown in Figure 1 5, the driver circuit(s) 2, the memory array 4 and the additional device(s) 500 are monolithically formed on the same substrate, which contains an insulating surface 3. Thus, the additional device 500 is formed over the same substrate as the driver circuits 2 by depositing a semiconductor layer over the substrate and forming the device 500 in the deposited semiconductor layer.
[01 17] In one preferred aspect, the additional device 500 which is ordinarily formed on SOI or compound semiconductor substrate is a non- memory device, such as an optoelectronic component. An optoelectronic component may comprise a laser, an light emitting diode (LED) or a semiconductor photodetector. These devices are ordinarily formed on a lll-V semiconductor, glass, plastic or ceramic substrates. Thus, the driver circuit 2 is also formed on a lll-V, glass, plastic or ceramic substrate.
[01 18] In another preferred aspect, the additional device 500 which is ordinarily formed on a compound semiconductor substrate is a microwave circuit (such as a monolithic microwave integrated circuit or MMIC) or a radio frequency circuit. Thus, the driver circuit 2 is also formed in a lll-V substrate.
[01 19] In another preferred aspect, the additional device 500 which is ordinarily formed on an SOI substrate, such as a glass, plastic or ceramic substrate, is a liquid crystal display ("LCD") . Since an LCD usually has TFT driver circuits and TFTs in the display matrix, it is preferable to form the driver circuits 2 of the memory array during the same step as the LCD driver circuits, and to form the TFT EEPROMs of one level of the seventh embodiment during the same step as the matrix TFTs of LCD.
[0120] In another preferred aspect, the additional device 500 which is ordinarily formed on an SOI substrate, such as a flexible plastic substrate is a smart card processing circuit. Thus, the memory array and the smart card chip may be formed on the same plastic substrate for use as a smart card.
[0121] In the various embodiments described above, a metal silicide layer was formed in contact with a silicon layer, such as a polysilicon word line or bit line. One preferred method of forming a titanium silicide layer in contact with a silicon layer is by using a silicon cap and a TiN layer. The titanium silicide layer is formed on an undoped amorphous silicon cap layer. The cap layer is formed on a heavily doped silicon layer, such as a polysilicon or amorphous silicon layer doped to a concentration in excess of 1019 cm"3, such as 1019 cm"3 to 1021 cm"3. The cap layer is preferably deposited on P + polysilicon or N + amorphous silicon layers. The N + amorphous silicon may then be recrystallized into N + polysilicon during subsequent annealing steps.
[0122] A method of forming a titanium silicide (TiSi2) layer comprises the following steps. A heavily doped polysilicon layer is deposited. For example, a P + polysilicon layer is boron doped to a concentration of 5x1 020 cm"3, and has a thickness of about 1400 Angstroms. A cap layer of undoped amorphous silicon is deposited on the P -l- polysilicon layer. The cap may be 600 Angstroms thick, for example. A titanium layer is deposited on the cap. The titanium layer may be 250 Angstroms thick, for example. A titanium nitride layer is deposited on the titanium layer. The titanium nitride layer may be 100 Angstroms thick, for example. Other layer thicknesses may be used, as required.
[0123] The layers are annealed at a temperature below 650 °C for less than five minutes to react the titanium and the silicon in the cap to form a C49 phase TiSi2 layer. The anneal may be carried out at 600 °C for 1 minute, for example. If desired, another P + polysilicon layer is deposited over the stack and the stack is etched into a thin "wire" or "rail", such as a word line or bit line. The wire or rail may be 0.25 mm wide or less. The titanium silicide is then transformed from the C49 to the C54 phase by a high temperature (i.e., above 650 °C) anneal. The anneal can take place before or after the wires or rails are patterned, at 800°C for one minute, for example. By annealing each Si/Ti/TiN film stack below 650°C, dopant diffusion and thermal grooving of the TiSi2 is minimized. Multiple film stacks can be deposited and etched sequentially.
[0124] The foregoing description of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and modifications and variations are possible in light of the above teachings or may be acquired from practice of the invention. The drawings and description were chosen in order to explain the principles of the invention and its practical application. The drawings are not necessarily to scale and illustrate the device in schematic block format. It is intended that the scope of the invention be defined by the claims appended hereto, and their equivalents.

Claims

WHAT IS CLAIMED IS:
1 . A nonvolatile memory array, comprising: a three dimensional array of nonvolatile memory devices; at least one driver circuit; and a silicon on insulator substrate or a lll-V, ll-VI or IV-IV semiconductor substrate.
2. The array of claim 1 , wherein the at least one driver circuit is located in a silicon on insulator substrate.
3. The array of claim 2, wherein the at least one driver circuit is located in a single crystal silicon layer or a polysilicon layer separated from a moncrystalline silicon substrate by an insulating layer.
4. The array of claim 2, wherein the at least one driver circuit is formed above a glass, plastic, ceramic or sapphire substrate.
5. The array of claim 2, wherein: the at least one driver circuit comprises a plurality of CMOS thin film transistors; and the array of nonvolatile memory devices comprises a monolithic three dimensional array of PROMs, EPROMs or EEPROMs.
6. The array of claim 5, wherein the nonvolatile memory devices comprise rail stack or pillar EEPROMs.
7. The array of claim 2, wherein the array of nonvolatile memory devices comprises a three dimensional array of antifuses.
8. A method of making a nonvolatile memory array, comprising: forming at least one driver circuit in a silicon on insulator substrate; and forming a three dimensional array of nonvolatile memory devices over the at least one driver circuit.
9. The method of claim 8, further comprising: providing a monocrystalline silicon substrate; forming a single crystal silicon or polysilicon layer separated from the substrate by an insulating layer; and forming the at least one driver circuit in the single crystal silicon or polysilicon layer.
1 0. The method of claim 8, wherein the at least one driver circuit is formed above a glass, plastic or ceramic substrate.
1 1 . The method of claim 8, further comprising: forming a first interlayer insulating layer over the at least one driver circuit; depositing a first semiconductor layer over the first interlayer insulating layer; forming a first array of PROMs, EPROMs or EEPROMs in the first semiconductor layer; forming a second interlayer insulating layer over the first array of PROMs, EPROMs or EEPROMs; depositing a second semiconductor layer over the second interlayer insulating layer; and forming a second array of PROMs, EPROMs or EEPROMs in the at least one second semiconductor layer.
1 2. The method of claim 1 1 , wherein the first array of PROMs, EPROMs or EEPROMs comprises at least one array selected from an array of rail stack antifuses, an array of rail stack EEPROMs, an array of two terminal pillar memory devices, an array of pillar EEPROMs, and an array of TFT EEPROMs.
PCT/US2002/021318 2001-08-13 2002-08-12 Nonvolatile memory on soi and compound semiconductor substrates and methods of fabrication WO2003017285A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/927,642 US6888750B2 (en) 2000-04-28 2001-08-13 Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US09/927,642 2001-08-13

Publications (1)

Publication Number Publication Date
WO2003017285A1 true WO2003017285A1 (en) 2003-02-27

Family

ID=25455036

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/021318 WO2003017285A1 (en) 2001-08-13 2002-08-12 Nonvolatile memory on soi and compound semiconductor substrates and methods of fabrication

Country Status (2)

Country Link
US (1) US6888750B2 (en)
WO (1) WO2003017285A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006005363A (en) * 2004-06-17 2006-01-05 Samsung Electronics Co Ltd Complementary nonvolatile memory device, operation method therefor, manufacturing method therefor, and logic device including the same, and semiconductor device and read operating circuit thereof
WO2007109068A2 (en) * 2006-03-16 2007-09-27 Micron Technology, Inc. Stacked non-volatile memory with silicon-carbige-based amorphous-silicon thin-film transistors and manufacturing method thereof
WO2008156756A1 (en) * 2007-06-19 2008-12-24 Sandisk 3D Llc Highly scalable thin film transistor
GB2425177B (en) * 2003-11-18 2009-03-18 Halliburton Energy Serv Inc High temperature imaging device

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2988331B1 (en) 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US7087975B2 (en) * 2000-12-28 2006-08-08 Infineon Technologies Ag Area efficient stacking of antifuses in semiconductor device
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7132711B2 (en) 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US7087954B2 (en) 2001-08-30 2006-08-08 Micron Technology, Inc. In service programmable logic arrays with low tunnel barrier interpoly insulators
US7075829B2 (en) * 2001-08-30 2006-07-11 Micron Technology, Inc. Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6815781B2 (en) * 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
US6897522B2 (en) 2001-10-31 2005-05-24 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6925007B2 (en) 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6534841B1 (en) * 2001-12-14 2003-03-18 Hewlett-Packard Company Continuous antifuse material in memory structure
US6703652B2 (en) * 2002-01-16 2004-03-09 Hewlett-Packard Development Company, L.P. Memory structure and method making
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6885573B2 (en) * 2002-03-15 2005-04-26 Hewlett-Packard Development Company, L.P. Diode for use in MRAM devices and method of manufacture
US6579760B1 (en) * 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US6967350B2 (en) * 2002-04-02 2005-11-22 Hewlett-Packard Development Company, L.P. Memory structures
US6643159B2 (en) 2002-04-02 2003-11-04 Hewlett-Packard Development Company, L.P. Cubic memory array
US6821848B2 (en) 2002-04-02 2004-11-23 Hewlett-Packard Development Company, L.P. Tunnel-junction structures and methods
US6661691B2 (en) 2002-04-02 2003-12-09 Hewlett-Packard Development Company, L.P. Interconnection structure and methods
US6940085B2 (en) 2002-04-02 2005-09-06 Hewlett-Packard Development Company, I.P. Memory structures
US20030183868A1 (en) * 2002-04-02 2003-10-02 Peter Fricke Memory structures
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US6992503B2 (en) 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US6774458B2 (en) * 2002-07-23 2004-08-10 Hewlett Packard Development Company, L.P. Vertical interconnection structure and methods
US6683365B1 (en) * 2002-08-01 2004-01-27 Micron Technology, Inc. Edge intensive antifuse device structure
US6864503B2 (en) 2002-08-09 2005-03-08 Macronix International Co., Ltd. Spacer chalcogenide memory method and device
US6882010B2 (en) * 2002-10-03 2005-04-19 Micron Technology, Inc. High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US6710409B1 (en) * 2002-10-15 2004-03-23 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with etch stop layer and method of making same
US7071734B2 (en) * 2002-10-15 2006-07-04 Altera Corporation Programmable logic devices with silicon-germanium circuitry and associated methods
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US7057234B2 (en) * 2002-12-06 2006-06-06 Cornell Research Foundation, Inc. Scalable nano-transistor and memory using back-side trapping
CN100403549C (en) * 2002-12-19 2008-07-16 松下电器产业株式会社 Semiconductor device and holding circuit
US6849905B2 (en) * 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
US6807119B2 (en) * 2002-12-23 2004-10-19 Matrix Semiconductor, Inc. Array containing charge storage and dummy transistors and method of operating the array
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7005350B2 (en) * 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7505321B2 (en) 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
WO2004061863A2 (en) * 2002-12-31 2004-07-22 Matrix Semiconductor, Inc. Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
WO2004061861A2 (en) * 2002-12-31 2004-07-22 Matrix Semiconductor, Inc. Nand memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7233024B2 (en) * 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6879505B2 (en) * 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US7183611B2 (en) * 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US6858883B2 (en) 2003-06-03 2005-02-22 Hewlett-Packard Development Company, L.P. Partially processed tunnel junction control element
US7177183B2 (en) * 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7442932B2 (en) * 2003-11-18 2008-10-28 Halliburton Energy Services, Inc. High temperature imaging device
US20050104104A1 (en) * 2003-11-18 2005-05-19 Halliburton Energy Services, Inc. High temperature memory device
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
US7023739B2 (en) * 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US20050128807A1 (en) * 2003-12-05 2005-06-16 En-Hsing Chen Nand memory array incorporating multiple series selection devices and method for operation of same
US7221588B2 (en) * 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7667299B2 (en) * 2004-01-27 2010-02-23 Panasonic Corporation Circuit board and method for mounting chip component
US7082052B2 (en) 2004-02-06 2006-07-25 Unity Semiconductor Corporation Multi-resistive state element with reactive metal
US20060171200A1 (en) 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
CN102856390B (en) * 2004-03-12 2015-11-25 独立行政法人科学技术振兴机构 Comprise the LCD of thin-film transistor or the transition components of OLED display
US20050212022A1 (en) * 2004-03-24 2005-09-29 Greer Edward C Memory cell having an electric field programmable storage element, and method of operating same
US7598134B2 (en) 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7608503B2 (en) * 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
DE102004056973A1 (en) * 2004-11-25 2006-06-01 Infineon Technologies Ag Method for producing and integrating solid body electrolyte memory cells comprises depositing a lower electrode material on a silicon substrate, structuring the lower electrode material and further processing
US7265381B2 (en) * 2004-12-30 2007-09-04 Infineon Technologies, Ag Opto-electronic memory element on the basis of organic metalloporphyrin molecules
US7286439B2 (en) * 2004-12-30 2007-10-23 Sandisk 3D Llc Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders
US7177191B2 (en) * 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7298665B2 (en) * 2004-12-30 2007-11-20 Sandisk 3D Llc Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US7473589B2 (en) * 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7692236B1 (en) * 2005-02-15 2010-04-06 Spansion Llc Multiple dual bit memory integrated circuit system
US7244981B2 (en) * 2005-02-25 2007-07-17 Micron Technology, Inc. Scalable high performance non-volatile memory cells using multi-mechanism carrier transport
US8270193B2 (en) 2010-01-29 2012-09-18 Unity Semiconductor Corporation Local bit lines and methods of selecting the same to access memory elements in cross-point arrays
US8937292B2 (en) 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
JP2006278987A (en) * 2005-03-30 2006-10-12 Nec Electronics Corp Nonvolatile memory element and its manufacturing method
US8559209B2 (en) 2011-06-10 2013-10-15 Unity Semiconductor Corporation Array voltage regulating technique to enable data operations on large cross-point memory arrays with resistive memory elements
US20130082232A1 (en) 2011-09-30 2013-04-04 Unity Semiconductor Corporation Multi Layered Conductive Metal Oxide Structures And Methods For Facilitating Enhanced Performance Characteristics Of Two Terminal Memory Cells
US8565003B2 (en) 2011-06-28 2013-10-22 Unity Semiconductor Corporation Multilayer cross-point memory array having reduced disturb susceptibility
US7514288B2 (en) * 2005-06-17 2009-04-07 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram
US7514367B2 (en) * 2005-06-17 2009-04-07 Macronix International Co., Ltd. Method for manufacturing a narrow structure on an integrated circuit
US7321130B2 (en) * 2005-06-17 2008-01-22 Macronix International Co., Ltd. Thin film fuse phase change RAM and manufacturing method
US7238994B2 (en) * 2005-06-17 2007-07-03 Macronix International Co., Ltd. Thin film plate phase change ram circuit and manufacturing method
US7534647B2 (en) 2005-06-17 2009-05-19 Macronix International Co., Ltd. Damascene phase change RAM and manufacturing method
US7598512B2 (en) * 2005-06-17 2009-10-06 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation layer and manufacturing method
US7696503B2 (en) * 2005-06-17 2010-04-13 Macronix International Co., Ltd. Multi-level memory cell having phase change element and asymmetrical thermal boundary
US8237140B2 (en) * 2005-06-17 2012-08-07 Macronix International Co., Ltd. Self-aligned, embedded phase change RAM
US20070009821A1 (en) * 2005-07-08 2007-01-11 Charlotte Cutler Devices containing multi-bit data
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7521950B2 (en) * 2005-10-07 2009-04-21 International Business Machines Corporation Wafer level I/O test and repair enabled by I/O layer
US7737003B2 (en) * 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US7745820B2 (en) * 2005-11-03 2010-06-29 The Ohio State University Negative differential resistance polymer devices and circuits incorporating same
US7397060B2 (en) * 2005-11-14 2008-07-08 Macronix International Co., Ltd. Pipe shaped phase change memory
US7394088B2 (en) * 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7450411B2 (en) * 2005-11-15 2008-11-11 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7635855B2 (en) 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7449710B2 (en) * 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7816661B2 (en) * 2005-11-21 2010-10-19 Macronix International Co., Ltd. Air cell thermal isolation for a memory array formed of a programmable resistive material
US7829876B2 (en) * 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
US7507986B2 (en) 2005-11-21 2009-03-24 Macronix International Co., Ltd. Thermal isolation for an active-sidewall phase change memory cell
US7479649B2 (en) * 2005-11-21 2009-01-20 Macronix International Co., Ltd. Vacuum jacketed electrode for phase change memory element
US7599217B2 (en) * 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7605079B2 (en) * 2005-12-05 2009-10-20 Macronix International Co., Ltd. Manufacturing method for phase change RAM with electrode layer process
US7888707B2 (en) * 2005-12-09 2011-02-15 Macronix International Co., Ltd. Gated diode nonvolatile memory process
US7491599B2 (en) * 2005-12-09 2009-02-17 Macronix International Co., Ltd. Gated diode nonvolatile memory process
US7642539B2 (en) * 2005-12-13 2010-01-05 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation pad and manufacturing method
TWI266423B (en) * 2005-12-23 2006-11-11 Ind Tech Res Inst Three-dimensional thin-film transistor nano-die memory device and manufacturing method thereof
US7531825B2 (en) * 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7741636B2 (en) * 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7595218B2 (en) * 2006-01-09 2009-09-29 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US20070158632A1 (en) * 2006-01-09 2007-07-12 Macronix International Co., Ltd. Method for Fabricating a Pillar-Shaped Phase Change Memory Element
US7560337B2 (en) * 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7825396B2 (en) * 2006-01-11 2010-11-02 Macronix International Co., Ltd. Self-align planerized bottom electrode phase change memory and manufacturing method
US7432206B2 (en) * 2006-01-24 2008-10-07 Macronix International Co., Ltd. Self-aligned manufacturing method, and manufacturing method for thin film fuse phase change ram
US7456421B2 (en) * 2006-01-30 2008-11-25 Macronix International Co., Ltd. Vertical side wall active pin structures in a phase change memory and manufacturing methods
US7956358B2 (en) * 2006-02-07 2011-06-07 Macronix International Co., Ltd. I-shaped phase change memory cell with thermal isolation
US7910907B2 (en) 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
US7554144B2 (en) * 2006-04-17 2009-06-30 Macronix International Co., Ltd. Memory device and manufacturing method
US7928421B2 (en) 2006-04-21 2011-04-19 Macronix International Co., Ltd. Phase change memory cell with vacuum spacer
US8129706B2 (en) * 2006-05-05 2012-03-06 Macronix International Co., Ltd. Structures and methods of a bistable resistive random access memory
US7907450B2 (en) * 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US7608848B2 (en) * 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
US7423300B2 (en) * 2006-05-24 2008-09-09 Macronix International Co., Ltd. Single-mask phase change memory element
US7732800B2 (en) 2006-05-30 2010-06-08 Macronix International Co., Ltd. Resistor random access memory cell with L-shaped electrode
US7820997B2 (en) * 2006-05-30 2010-10-26 Macronix International Co., Ltd. Resistor random access memory cell with reduced active area and reduced contact areas
US20070284650A1 (en) * 2006-06-07 2007-12-13 Josef Willer Memory device and a method of forming a memory device
US7696506B2 (en) 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
US7785920B2 (en) * 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7442603B2 (en) * 2006-08-16 2008-10-28 Macronix International Co., Ltd. Self-aligned structure and method for confining a melting point in a resistor random access memory
US7772581B2 (en) * 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7504653B2 (en) * 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US8772858B2 (en) * 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7510929B2 (en) * 2006-10-18 2009-03-31 Macronix International Co., Ltd. Method for making memory cell device
US7863655B2 (en) * 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
US20080094885A1 (en) * 2006-10-24 2008-04-24 Macronix International Co., Ltd. Bistable Resistance Random Access Memory Structures with Multiple Memory Layers and Multilevel Memory States
US7527985B2 (en) * 2006-10-24 2009-05-05 Macronix International Co., Ltd. Method for manufacturing a resistor random access memory with reduced active area and reduced contact areas
US7388771B2 (en) * 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
KR20080042548A (en) * 2006-11-10 2008-05-15 삼성전자주식회사 Hinge module and electronic equipment havint the same
US8067762B2 (en) 2006-11-16 2011-11-29 Macronix International Co., Ltd. Resistance random access memory structure for enhanced retention
JP2008140912A (en) 2006-11-30 2008-06-19 Toshiba Corp Nonvolatile semiconductor memory device
US7476587B2 (en) * 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US7473576B2 (en) * 2006-12-06 2009-01-06 Macronix International Co., Ltd. Method for making a self-converged void and bottom electrode for memory cell
US20080137400A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Phase Change Memory Cell with Thermal Barrier and Method for Fabricating the Same
US7682868B2 (en) 2006-12-06 2010-03-23 Macronix International Co., Ltd. Method for making a keyhole opening during the manufacture of a memory cell
US7697316B2 (en) * 2006-12-07 2010-04-13 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
US7903447B2 (en) * 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7652915B2 (en) * 2006-12-19 2010-01-26 Hitachi Global Storage Technologies Netherlands B.V. High density spin torque three dimensional (3D) memory arrays addressed with microwave current
US7718989B2 (en) * 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7515461B2 (en) * 2007-01-05 2009-04-07 Macronix International Co., Ltd. Current compliant sensing architecture for multilevel phase change memory
US7433226B2 (en) * 2007-01-09 2008-10-07 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on multiple programmable resistive memory cell
US7440315B2 (en) 2007-01-09 2008-10-21 Macronix International Co., Ltd. Method, apparatus and computer program product for stepped reset programming process on programmable resistive memory cell
US7535756B2 (en) 2007-01-31 2009-05-19 Macronix International Co., Ltd. Method to tighten set distribution for PCRAM
US7663135B2 (en) 2007-01-31 2010-02-16 Macronix International Co., Ltd. Memory cell having a side electrode contact
US7868388B2 (en) * 2007-01-31 2011-01-11 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7888200B2 (en) * 2007-01-31 2011-02-15 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7701759B2 (en) * 2007-02-05 2010-04-20 Macronix International Co., Ltd. Memory cell device and programming methods
US7483292B2 (en) * 2007-02-07 2009-01-27 Macronix International Co., Ltd. Memory cell with separate read and program paths
US7463512B2 (en) * 2007-02-08 2008-12-09 Macronix International Co., Ltd. Memory element with reduced-current phase change element
US8138028B2 (en) * 2007-02-12 2012-03-20 Macronix International Co., Ltd Method for manufacturing a phase change memory device with pillar bottom electrode
US7884343B2 (en) 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US8008643B2 (en) * 2007-02-21 2011-08-30 Macronix International Co., Ltd. Phase change memory cell with heater and method for fabricating the same
US7619237B2 (en) * 2007-02-21 2009-11-17 Macronix International Co., Ltd. Programmable resistive memory cell with self-forming gap
US7956344B2 (en) * 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
US7786461B2 (en) 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
US8610098B2 (en) * 2007-04-06 2013-12-17 Macronix International Co., Ltd. Phase change memory bridge cell with diode isolation device
US7755076B2 (en) * 2007-04-17 2010-07-13 Macronix International Co., Ltd. 4F2 self align side wall active phase change memory
US7483316B2 (en) * 2007-04-24 2009-01-27 Macronix International Co., Ltd. Method and apparatus for refreshing programmable resistive memory
TWI381385B (en) * 2007-05-04 2013-01-01 Macronix Int Co Ltd Memory structure with embeded multi-type memory
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7773446B2 (en) 2007-06-29 2010-08-10 Sandisk 3D Llc Methods and apparatus for extending the effective thermal operating range of a memory
US7656734B2 (en) * 2007-06-29 2010-02-02 Sandisk 3D Llc Methods and apparatus for extending the effective thermal operating range of a memory
US8513637B2 (en) * 2007-07-13 2013-08-20 Macronix International Co., Ltd. 4F2 self align fin bottom electrodes FET drive phase change memory
TWI402980B (en) 2007-07-20 2013-07-21 Macronix Int Co Ltd Resistive memory structure with buffer layer
US7884342B2 (en) * 2007-07-31 2011-02-08 Macronix International Co., Ltd. Phase change memory bridge cell
US7729161B2 (en) * 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US9018615B2 (en) * 2007-08-03 2015-04-28 Macronix International Co., Ltd. Resistor random access memory structure having a defined small area of electrical contact
US20090039414A1 (en) * 2007-08-09 2009-02-12 Macronix International Co., Ltd. Charge trapping memory cell with high speed erase
CN101369582B (en) * 2007-08-15 2011-03-30 旺宏电子股份有限公司 Vertical non-volatile memory and manufacturing method thereof
US7642125B2 (en) * 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
US8178386B2 (en) * 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7551473B2 (en) * 2007-10-12 2009-06-23 Macronix International Co., Ltd. Programmable resistive memory with diode structure
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US7804083B2 (en) * 2007-11-14 2010-09-28 Macronix International Co., Ltd. Phase change memory cell including a thermal protect bottom electrode and manufacturing methods
US7646631B2 (en) * 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
KR20090072399A (en) * 2007-12-28 2009-07-02 삼성전자주식회사 Tree dimentional memory device
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US7879643B2 (en) * 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
US7879645B2 (en) * 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US8084842B2 (en) * 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
KR101477690B1 (en) 2008-04-03 2014-12-30 삼성전자주식회사 Non-volatile memory device, method of fabricating the same
US20090251960A1 (en) * 2008-04-07 2009-10-08 Halliburton Energy Services, Inc. High temperature memory device
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US7791057B2 (en) * 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US8077505B2 (en) 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
US8415651B2 (en) * 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8134857B2 (en) 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US20100019215A1 (en) * 2008-07-22 2010-01-28 Macronix International Co., Ltd. Mushroom type memory cell having self-aligned bottom electrode and diode access device
US7825479B2 (en) * 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
US7995384B2 (en) * 2008-08-15 2011-08-09 Macronix International Co., Ltd. Electrically isolated gated diode nonvolatile memory
US7903457B2 (en) * 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
KR101529575B1 (en) * 2008-09-10 2015-06-29 삼성전자주식회사 Transistor, inverter comprising the same and methods of manufacturing transistor and inverter
US7719913B2 (en) * 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
KR20100032211A (en) * 2008-09-17 2010-03-25 삼성전자주식회사 Non-volatile memory devices and method of operating the same
CN101878530B (en) * 2008-10-01 2012-03-07 松下电器产业株式会社 Nonvolatile storage element and nonvolatile storage device using same
US8324605B2 (en) 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7897954B2 (en) * 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
US8036014B2 (en) * 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US8907316B2 (en) * 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
GB2466313A (en) * 2008-12-22 2010-06-23 Cambridge Silicon Radio Ltd Radio Frequency CMOS Transistor
US7869270B2 (en) 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US8093661B2 (en) * 2009-01-07 2012-01-10 Macronix International Co., Ltd. Integrated circuit device with single crystal silicon on silicide and manufacturing method
US8107283B2 (en) 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
KR101539699B1 (en) * 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US8084760B2 (en) 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US7933139B2 (en) 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8168538B2 (en) * 2009-05-26 2012-05-01 Macronix International Co., Ltd. Buried silicide structure and method for making
US8809829B2 (en) 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8406033B2 (en) 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8238149B2 (en) 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
US8363463B2 (en) 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US7894254B2 (en) 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US8110822B2 (en) 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US8198619B2 (en) 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8064248B2 (en) 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8164146B2 (en) * 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US8178387B2 (en) 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
US8299519B2 (en) * 2010-01-11 2012-10-30 International Business Machines Corporation Read transistor for single poly non-volatile memory using body contacted SOI device
US8638584B2 (en) * 2010-02-02 2014-01-28 Unity Semiconductor Corporation Memory architectures and techniques to enhance throughput for cross-point arrays
CN101834152B (en) * 2010-04-20 2012-08-22 中国科学院上海微系统与信息技术研究所 Method for manufacturing three-dimensionally stacked resistance conversion memory
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
CN102263041B (en) * 2010-05-27 2013-02-13 中国科学院上海微系统与信息技术研究所 Method for manufacturing multilayer stacked resistance conversion memorizer
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8446767B2 (en) 2010-07-02 2013-05-21 Micron Technology, Inc. Memories and their formation
JP5075959B2 (en) * 2010-09-14 2012-11-21 株式会社東芝 Resistance change memory
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
US8630114B2 (en) * 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US9053766B2 (en) 2011-03-03 2015-06-09 Sandisk 3D, Llc Three dimensional memory system with intelligent select circuit
US8374051B2 (en) * 2011-03-03 2013-02-12 Sandisk 3D Llc Three dimensional memory system with column pipeline
US8553476B2 (en) 2011-03-03 2013-10-08 Sandisk 3D Llc Three dimensional memory system with page of data across word lines
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
US8605495B2 (en) 2011-05-09 2013-12-10 Macronix International Co., Ltd. Isolation device free memory
US10566056B2 (en) 2011-06-10 2020-02-18 Unity Semiconductor Corporation Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations
US8891276B2 (en) 2011-06-10 2014-11-18 Unity Semiconductor Corporation Memory array with local bitlines and local-to-global bitline pass gates and gain stages
US9117495B2 (en) 2011-06-10 2015-08-25 Unity Semiconductor Corporation Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations
US8552525B2 (en) 2011-07-01 2013-10-08 Micron Technology, Inc. Semiconductor structures and devices and methods of forming the same
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US8709890B2 (en) 2011-12-12 2014-04-29 International Business Machines Corporation Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts
US8748258B2 (en) 2011-12-12 2014-06-10 International Business Machines Corporation Method and structure for forming on-chip high quality capacitors with ETSOI transistors
US8861271B1 (en) 2012-03-16 2014-10-14 Cypress Semiconductor Corporation High reliability non-volatile static random access memory devices, methods and systems
US10134916B2 (en) * 2012-08-27 2018-11-20 Micron Technology, Inc. Transistor devices, memory cells, and arrays of memory cells
JP2014053371A (en) * 2012-09-05 2014-03-20 Toshiba Corp Nonvolatile semiconductor memory device
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US9252324B2 (en) * 2013-05-30 2016-02-02 Globalfoundries Inc Heterojunction light emitting diode
TWI549229B (en) 2014-01-24 2016-09-11 旺宏電子股份有限公司 Multiple phase change materials in a memory device for system on a chip application
JP2015172989A (en) 2014-03-12 2015-10-01 株式会社東芝 semiconductor non-volatile memory device
US10151195B2 (en) * 2014-04-29 2018-12-11 China Petroleum & Chemical Corporation Electronic devices for high temperature drilling operations
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9159412B1 (en) 2014-07-15 2015-10-13 Macronix International Co., Ltd. Staggered write and verify for phase change memory
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
TW201725704A (en) * 2016-01-05 2017-07-16 聯華電子股份有限公司 Non-volatile memory device and method for fbricating the same
US9666587B1 (en) * 2016-01-29 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9806088B2 (en) * 2016-02-15 2017-10-31 Toshiba Memory Corporation Semiconductor memory device having memory cells arranged three-dimensionally and method of manufacturing the same
US10559578B2 (en) * 2017-06-02 2020-02-11 Applied Materials, Inc. Deposition of cobalt films with high deposition rate
US11342469B2 (en) * 2018-07-09 2022-05-24 Macom Technology Solutions Holdings, Inc. Vertical etch heterolithic integrated circuit devices
US10957705B2 (en) * 2018-12-24 2021-03-23 Sandisk Technologies Llc Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same
US10665581B1 (en) 2019-01-23 2020-05-26 Sandisk Technologies Llc Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US20230005914A1 (en) * 2021-07-05 2023-01-05 Changxin Memory Technologies, Inc. Memory and method for manufacturing same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3432827A (en) 1964-09-04 1969-03-11 An Controls Inc Di Stacked magnetic memory system
US3414892A (en) 1967-12-26 1968-12-03 Lab For Electronics Inc Means interconnecting printed circuit memory planes
US3634929A (en) 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3571809A (en) 1968-11-04 1971-03-23 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3629863A (en) 1968-11-04 1971-12-21 Energy Conversion Devices Inc Film deposited circuits and devices therefor
US3699543A (en) 1968-11-04 1972-10-17 Energy Conversion Devices Inc Combination film deposited switch unit and integrated circuits
US3573757A (en) 1968-11-04 1971-04-06 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3582908A (en) 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
US3576549A (en) 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3671948A (en) 1970-09-25 1972-06-20 North American Rockwell Read-only memory
FR2134172B1 (en) 1971-04-23 1977-03-18 Radiotechnique Compelec
US3717852A (en) 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3990098A (en) 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
US3980505A (en) 1973-09-12 1976-09-14 Buckley William D Process of making a filament-type memory semiconductor device
US3886577A (en) 1973-09-12 1975-05-27 Energy Conversion Devices Inc Filament-type memory semiconductor device and method of making the same
US3846767A (en) 1973-10-24 1974-11-05 Energy Conversion Devices Inc Method and means for resetting filament-forming memory semiconductor device
US3877049A (en) 1973-11-28 1975-04-08 William D Buckley Electrodes for amorphous semiconductor switch devices and method of making the same
US3922648A (en) 1974-08-19 1975-11-25 Energy Conversion Devices Inc Method and means for preventing degradation of threshold voltage of filament-forming memory semiconductor device
JPS5267532A (en) 1975-12-03 1977-06-04 Nippon Telegr & Teleph Corp <Ntt> Semiconductor memory unit
CA1135854A (en) 1977-09-30 1982-11-16 Michel Moussie Programmable read only memory cell
US4177475A (en) 1977-10-31 1979-12-04 Burroughs Corporation High temperature amorphous memory device for an electrically alterable read-only memory
US4203123A (en) 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4203158A (en) 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
US4272880A (en) 1979-04-20 1981-06-16 Intel Corporation MOS/SOS Process
US4281397A (en) 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
US4419741A (en) 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4499557A (en) 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
JPS5814752A (en) * 1981-07-21 1983-01-27 Komori Printing Mach Co Ltd Automatic regulator for register of polychrome printer
DE3278799D1 (en) 1981-08-31 1988-08-25 Toshiba Kk Method for manufacturing three-dimensional semiconductor device
US4489478A (en) 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
US4500905A (en) 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4507757A (en) 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4535424A (en) 1982-06-03 1985-08-13 Texas Instruments Incorporated Solid state three dimensional semiconductor memory array
US4543594A (en) 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4677742A (en) 1983-01-18 1987-07-07 Energy Conversion Devices, Inc. Electronic matrix arrays and method for making the same
US4569121A (en) 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4630096A (en) 1984-05-30 1986-12-16 Motorola, Inc. High density IC module assembly
JPS613450A (en) 1984-06-18 1986-01-09 Hiroshima Daigaku Shared memory integrated device of three-dimensional photo coupling
US4686758A (en) 1984-06-27 1987-08-18 Honeywell Inc. Three-dimensional CMOS using selective epitaxial growth
US4646266A (en) 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
JPS6258673A (en) 1985-09-09 1987-03-14 Fujitsu Ltd Semiconductor storage device
CA1226966A (en) 1985-09-10 1987-09-15 Gabriel Marcantonio Integrated circuit chip package
US5089862A (en) 1986-05-12 1992-02-18 Warner Jr Raymond M Monocrystalline three-dimensional integrated circuit
JPS6316761A (en) 1986-02-24 1988-01-23 Konica Corp Image reader
US4692994A (en) 1986-04-29 1987-09-15 Hitachi, Ltd. Process for manufacturing semiconductor devices containing microbridges
US4899205A (en) 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4943538A (en) 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4876220A (en) 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4881114A (en) 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4820657A (en) 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5283468A (en) 1988-05-30 1994-02-01 Canon Kabushiki Kaisha Electric circuit apparatus
JPH0770623B2 (en) 1988-07-08 1995-07-31 三菱電機株式会社 Static random access memory device
US5306935A (en) 1988-12-21 1994-04-26 Texas Instruments Incorporated Method of forming a nonvolatile stacked memory
US5191405A (en) 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US5070383A (en) 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
US5160987A (en) 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5070384A (en) 1990-04-12 1991-12-03 Actel Corporation Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer
US5311039A (en) 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
JP3060680B2 (en) 1990-11-30 2000-07-10 日本電気株式会社 Nonvolatile semiconductor memory device
KR950000103B1 (en) 1991-04-15 1995-01-09 금성일렉트론 주식회사 Manufacturing method of semiconductor device
JPH05102430A (en) 1991-04-23 1993-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5334880A (en) 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
JP3547146B2 (en) 1991-06-10 2004-07-28 日本特殊陶業株式会社 Package for integrated circuit
US5202754A (en) 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
JPH0715969B2 (en) 1991-09-30 1995-02-22 インターナショナル・ビジネス・マシーンズ・コーポレイション Multi-chip integrated circuit package and system thereof
US5321286A (en) 1991-11-26 1994-06-14 Nec Corporation Non-volatile semiconductor memory device having thin film memory transistors stacked over associated selecting transistors
US5712180A (en) * 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
US5313421A (en) * 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
JP2817500B2 (en) 1992-02-07 1998-10-30 日本電気株式会社 Nonvolatile semiconductor memory device
US5398200A (en) 1992-03-02 1995-03-14 Motorola, Inc. Vertically formed semiconductor random access memory device
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5283458A (en) 1992-03-30 1994-02-01 Trw Inc. Temperature stable semiconductor bulk acoustic resonator
US5422435A (en) 1992-05-22 1995-06-06 National Semiconductor Corporation Stacked multi-chip modules and method of manufacturing
US5266912A (en) 1992-08-19 1993-11-30 Micron Technology, Inc. Inherently impedance matched multiple integrated circuit module
EP0595021A1 (en) * 1992-10-28 1994-05-04 International Business Machines Corporation Improved lead frame package for electronic devices
JP3267409B2 (en) 1992-11-24 2002-03-18 株式会社日立製作所 Semiconductor integrated circuit device
US5379255A (en) 1992-12-14 1995-01-03 Texas Instruments Incorporated Three dimensional famos memory devices and methods of fabricating
US5536968A (en) 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
US5471090A (en) 1993-03-08 1995-11-28 International Business Machines Corporation Electronic structures having a joining geometry providing reduced capacitive loading
JP3535205B2 (en) * 1993-03-22 2004-06-07 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
US5455740A (en) 1994-03-07 1995-10-03 Staktek Corporation Bus communication system for stacked high density integrated circuit packages
JPH09504654A (en) 1993-08-13 1997-05-06 イルビン センサーズ コーポレーション IC chip stack instead of single IC chip
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US5391518A (en) 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
US5427979A (en) 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JP3344598B2 (en) * 1993-11-25 2002-11-11 株式会社デンソー Semiconductor nonvolatile memory device
US5455445A (en) 1994-01-21 1995-10-03 Kulite Semiconductor Products, Inc. Multi-level semiconductor structures having environmentally isolated elements
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5535156A (en) * 1994-05-05 1996-07-09 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5585675A (en) 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5463244A (en) 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5441907A (en) 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5434745A (en) 1994-07-26 1995-07-18 White Microelectronics Div. Of Bowmar Instrument Corp. Stacked silicon die carrier assembly
US5523628A (en) 1994-08-05 1996-06-04 Hughes Aircraft Company Apparatus and method for protecting metal bumped integrated circuit chips during processing and for providing mechanical support to interconnected chips
US5612570A (en) 1995-04-13 1997-03-18 Dense-Pac Microsystems, Inc. Chip stack and method of making same
US5675547A (en) 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
US5781031A (en) * 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
US5953588A (en) * 1996-12-21 1999-09-14 Irvine Sensors Corporation Stackable layers containing encapsulated IC chips
US6057598A (en) * 1997-01-31 2000-05-02 Vlsi Technology, Inc. Face on face flip chip integration
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
JP3376247B2 (en) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 Thin film transistor and semiconductor device using thin film transistor
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
KR100277438B1 (en) * 1998-05-28 2001-02-01 윤종용 Multi Chip Package
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6281042B1 (en) * 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6351028B1 (en) * 1999-02-08 2002-02-26 Micron Technology, Inc. Multiple die stack apparatus employing T-shaped interposer elements
US6075719A (en) * 1999-06-22 2000-06-13 Energy Conversion Devices, Inc. Method of programming phase-change memory element
JP3768744B2 (en) * 1999-09-22 2006-04-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
EP2988331B1 (en) * 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP2002231882A (en) * 2001-02-06 2002-08-16 Mitsubishi Electric Corp Semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
WADA Y. ET AL.: "Active-body-bias SOI-CMOS driver circuits", SYMPOSIUM ON VLSI CIRCUITS DIGEST OF TECHNICAL PAPERS, June 1997 (1997-06-01), pages 29 - 30, XP002959257 *

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2425177B (en) * 2003-11-18 2009-03-18 Halliburton Energy Serv Inc High temperature imaging device
EP1608018A3 (en) * 2004-06-17 2008-04-16 Samsung Electronics Co., Ltd. Semiconductor device, methods of operating and manufacturing
JP2006005363A (en) * 2004-06-17 2006-01-05 Samsung Electronics Co Ltd Complementary nonvolatile memory device, operation method therefor, manufacturing method therefor, and logic device including the same, and semiconductor device and read operating circuit thereof
US7719871B2 (en) 2004-06-17 2010-05-18 Samsung Electronics Co., Ltd. Methods of operating and manufacturing logic device and semiconductor device including complementary nonvolatile memory device, and reading circuit for the same
JP4542469B2 (en) * 2004-06-17 2010-09-15 三星電子株式会社 Complementary nonvolatile memory element, operation method thereof, manufacturing method thereof, logic element including the same, semiconductor device and read operation circuit thereof
US8139387B2 (en) 2004-06-17 2012-03-20 Samsung Electronics Co., Ltd. Method of erasing a memory device including complementary nonvolatile memory devices
WO2007109068A3 (en) * 2006-03-16 2008-01-31 Micron Technology Inc Stacked non-volatile memory with silicon-carbige-based amorphous-silicon thin-film transistors and manufacturing method thereof
US7439594B2 (en) 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
WO2007109068A2 (en) * 2006-03-16 2007-09-27 Micron Technology, Inc. Stacked non-volatile memory with silicon-carbige-based amorphous-silicon thin-film transistors and manufacturing method thereof
US7994566B2 (en) 2006-03-16 2011-08-09 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon finFETs
US8404536B2 (en) 2006-03-16 2013-03-26 Micron Technology, Inc. Method for fabricating stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US9111798B2 (en) 2006-03-16 2015-08-18 Micron Technology, Inc. Memory with carbon-containing silicon channel
WO2008156756A1 (en) * 2007-06-19 2008-12-24 Sandisk 3D Llc Highly scalable thin film transistor
US7888205B2 (en) 2007-06-19 2011-02-15 Sandisk 3D Llc Highly scalable thin film transistor

Also Published As

Publication number Publication date
US20010055838A1 (en) 2001-12-27
US6888750B2 (en) 2005-05-03

Similar Documents

Publication Publication Date Title
US6888750B2 (en) Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US10644021B2 (en) Dense arrays and charge storage devices
US6737675B2 (en) High density 3D rail stack arrays
US7250646B2 (en) TFT mask ROM and method for making same
US8679917B2 (en) Buried bit line anti-fuse one-time-programmable nonvolatile memory
US6555870B1 (en) Nonvolatile semiconductor memory device and method for producing same
US8728907B2 (en) Methods for fabricating an integrated circuit arrangement comprising isolating trenches and a field effect transistor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG US UZ VC VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP

DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)