WO2002103760A2 - Method of selective removal of sige alloys - Google Patents

Method of selective removal of sige alloys Download PDF

Info

Publication number
WO2002103760A2
WO2002103760A2 PCT/US2002/018973 US0218973W WO02103760A2 WO 2002103760 A2 WO2002103760 A2 WO 2002103760A2 US 0218973 W US0218973 W US 0218973W WO 02103760 A2 WO02103760 A2 WO 02103760A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
region
sige
channel device
strained
Prior art date
Application number
PCT/US2002/018973
Other languages
French (fr)
Other versions
WO2002103760A3 (en
Inventor
Richard Hammond
Matthew Currie
Original Assignee
Amberware Systems Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amberware Systems Corporation filed Critical Amberware Systems Corporation
Priority to AU2002322105A priority Critical patent/AU2002322105A1/en
Publication of WO2002103760A2 publication Critical patent/WO2002103760A2/en
Publication of WO2002103760A3 publication Critical patent/WO2002103760A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention generally relates to the fabrication of semiconductor substrates from devices, and in particular relates to the use of strained silicon (Si) heterostructure substrates in forming devices such as transistors, for example, for high- performance CMOS integrated circuit products.
  • Si strained silicon
  • Such integrated circuits include, for example, microprocessors, ASICs, embedded controllers, and millions of transistors, such as metal oxide silicon semiconductor field-effect transistors (MOSFETs).
  • MOSFETs metal oxide silicon semiconductor field-effect transistors
  • microelectronics systems such as radars, satellites, and cell phones, require low-power, high-speed, and high-density circuits with a high signal-to-noise ratio (i.e., low noise). These low power, high speed, and low noise requirements present a significant design challenge both at the circuit design and at the transistor design level.
  • Microelectronic devices that include both analog and digital circuits are used together to achieve these requirements. Analog devices are used in applications requiring high speed and low noise, whereas digital circuits are used in applications requiring high density and low power.
  • Microelectronic devices that include both analog and digital circuits on the same substrate typically use traditional Si based MOSFET devices.
  • Analog MOSFET devices which run on analog signals, typically exhibit noise problems because noise is induced at high frequency when carriers scatter along the Si/Si ⁇ 2 interface of a traditional MOSFET device.
  • field-effect transistors FETs
  • bipolar transistors that do not have conduction along a Si/SiO 2 interface are used.
  • FETs field-effect transistors
  • bipolar transistors that do not have conduction along a Si/SiO 2 interface are used.
  • a conventional Si based buried channel FET device has a channel conduction layer that is buried within a highly doped silicon region. This buried channel device has low noise because the charge carriers in the conduction channel are spatially separated from the Si/SiO 2 interface.
  • 5,963,817 discloses a method of using local selective oxidation of bulk or strained SiGe for forming buried channel oxide regions involving steps of masking, oxidation (e.g., thermal oxidation), and oxide removal; and U.S. Patent No. 5,442,205 discloses the formation of surface channel semiconductor heterostructure devices with strained silicon device layers. It has been found, however, that the process of oxidation affects certain strained semiconductors differently. For example, the different layers of a strained semiconductor heterostructure may oxidize or become doped sufficiently differently that device formation procedures are compromised. Moreover, with high thermal budget oxidation, the thin strained semiconductor channels may be destroyed by significant mterdiffusion during the high temperature oxidation steps.
  • the invention provides a method of selectively removing SuGe alloy layers, thus exposing underlying semiconductor layers.
  • the invention also provides a method of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate.
  • the method includes the steps of providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.
  • Figures 1 - 9 show diagrammatic views of a heterostructure substrate during a method of providing buried and surface channel devices on the substrate in accordance with an embodiment of the invention
  • Figure 10 shows the buried channel device and a surface channel device of Figure 9 coupled to a circuit
  • Figure 11 shows a diagrammatic graphical view of thermal oxidation time versus oxidation thickness for various semiconductor substrates.
  • the invention provides a simplified method of forming buried and surface channel heterostructure devices on the same substrate.
  • conventional Si based integrated buried and surface channel devices are typically manufactured using complex implantation procedures.
  • the starting substrate material defines the buried and surface channel device structures.
  • This starting material is a heterostructure where the the different materials in the heterostructure have different oxidation or removal properties. The difference in material properties allows for the selective removal of particular layers and this allows for the integration of varied device structures.
  • An exemplary embodiment of such a heterostructure substrate is a strained silicon substrate.
  • a strained silicon (Si) substrate is generally formed by providing a relaxed SiGe layer on bulk Si through either epitaxial deposition or wafer bonding, and then providing a Si layer on the relaxed SiGe layer. Because SiGe has a different lattice constant than Si, the Si layer becomes strained and results in enhanced mobilities
  • the invention involves the selective removal of SiGe alloys to form buried channel strained Si FET devices and surface channel strained Si FET devices on the same substrate.
  • both device types e.g., digital and analog
  • a strained silicon surface channel device offers an enhanced drive current over a conventional Si based MOSFET due to its enhanced carrier mobilities.
  • the band offset of the strained silicon buried channel device offers low noise characteristics due to the spatial separation ofthe active charge carriers from both the SiO 2 interface and any remote impurity atoms introduced via ion implantation.
  • Figure 1 shows a diagrammatic cross-sectional view of a substrate 10, comprising a Si layer 12, a relaxed SiGe layer 14, a strained Si layer 16, a second SiGe layer 18, and a second strained Si layer 20.
  • the substrate 10 forms an examplary base structure for the present invention.
  • epitaxial growth techniques e.g., chemical vapor deposition
  • polishing techniques for example, chemical mechanical polishing
  • wafer bonding techniques which are known in the art.
  • a variety of masking layers are then applied to the substrate of Figure 1 as shown in Figure 2.
  • an oxide layer 22 is applied to the exposed strained silicon layer 20, followed by a nitride masking layer 24.
  • a photoresist layer 26 is then applied to the nitride mask.
  • Oxide masking layer 22 and nitride masking layer 24 are typically formed using low-pressure chemical vapor deposition (LPCVD), and are approximately lOOA and 500-lOO ⁇ A thick, respectively.
  • the photoresist layer 26 is typically a photosensitive polymer, such as a novolak-based photoresist, which is spun-applied.
  • a chrome mask 28 is then utilized to expose selected regions of the photoresist layer 26 with ultraviolet light. The ultraviolet light exposes the uncovered regions of the photoresist layer 26. This is followed by a developing step to remove the exposed regions of the photoresist layer 26.
  • the remaining regions of the photoresist masking layer 26 serve as a mask to etch the exposed regions of nitride masking region 24 and oxide masking region 22.
  • the exposed regions of nitride masking layer 24 are removed by using a hot phosphoric acid, or CF4/O2 reactive ion etch (RIE), and the exposed regions of oxide masking layer 22 are removed using a HF acid etch step.
  • the photoresist masking region 26 is then removed using a plasma O2 ash. Note that a portion of strained Si cap layer 20 may possibly be removed in the process of removing the exposed region of oxide masking layer 22.
  • the nitride masking region 24 then serves as a mask to etch the strained Si cap layer 20 in, for example, CF4/O 2 RIE as shown in Figure 4. Note that this step may also etch into a portion of second SiGe layer 18.
  • the exposed portion of the second SiGe layer 18 is then selectively oxidized to expose the strained Si layer 16 leaving regions 32 of oxidized SiGe, for example, at or below approximately 850°C, and in some applications at or below approximately 700°C.
  • FIG. 11 shows that the selectivity of SiGe increases with an increase in concentration of Ge.
  • the x-axis is the oxidation duration in hours and the y-axis is the square of the oxide thickness in 10 5 nm 2 .
  • Line 1 represents the rate for a 36% Ge concentration in SiGe
  • line 2 represents a 28% Ge concentration in SiGe
  • line 3 represents a 0% Ge concentration (i.e., pure Si).
  • the oxidation rate increases for certain oxidation conditions.
  • oxidation conditions for which an acceptable oxidation rate differential occurs is oxidation at 700°C in a wet ambient. This indicates that oxidation of SiGe layer 18 will occur rapidly, and the oxidation step will slow down considerably as the oxidation front reaches the strained Si layer 16. Thus, the controlled selective removal of SiGe layer 18 takes advantage of this differential in oxidation rates.
  • This differential may be further exploited by grading the second SiGe layer 18 such that the Ge concentration is higher at the intersection of second SiGe layer 18 and strained Si layer 16. This expedites the oxidation of second SiGe layer 18 at the intersection of second SiGe layer 18 and strained Si layer 16, thereby avoiding too much erosion of strained Si layer 16.
  • a differential chemical oxidation rate may also be exploited during a wet chemical processing step. For example, a standard RCA SC-1 clean
  • a second nitride layer 30 is then formed using LPCVD (approximately 500- lOOOA thick) over the entire surface of structure as shown in Figure 5. Note that the oxidized SiGe regions 32 of the SiGe layer 18 may undercut and extend partially underneath strained Si cap layer 20. Isolation trenches 34, 36 and 38 may then be
  • oxide masking layer 22 and regions 32 of SiGe oxide are then wet etched using a buffered HF solution.
  • This buffered HF solution etches oxide masking region 22 and
  • first isolation trenches 34, 36 and 38 are then planarized via etching to leave a
  • insulator layers 40 and 42 are then
  • the first SiO 2 layer 40 and second SiO 2 layer 42 are the gate dielectric layers upon which buried channel and surface channel MOSFET devices 44 and 46 may be formed as shown in Figure 10.
  • a deposited gate dielectric e.g., a high-k gate dielectric
  • the buried gate dielectric may be used instead of the oxide layers 40 and 42.
  • channel device 44 and the surface channel device 46 each utilize the strained silicon layer
  • the devices are fabricated having doped source/drain regions, silicide regions, spacers, and isolation regions. Although the invention has been shown in connection with a strained
  • Si/SiGe heterostructure any heterostructure that allows for the selective removal of the layers overlaying the channel layer will also work.
  • layers of SiGe, Ge or GaAs may be used, alternatively, a plurality of such layers may be used to optimize the transport characteristics.
  • selective removal of blanket SiGe alloy layers may also be employed during the fabrication of silicon-on-insulator (SOI) and strained silicon-on-insulator (SSOI) substrates.

Abstract

A method is disclosed of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate. In an embodiment, the method includes the steps of providing a structure including a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.

Description

METHOD OF SELECTIVE REMOVAL OF SiGe ALLOYS
The present application claims priority to U.S. Provisional Patent Application Ser. No. 60/298, 153 filed June 14, 2001 , which is incorporated herein by reference in its entirety.
BACKGROUND OF THE INVENTION
The present invention generally relates to the fabrication of semiconductor substrates from devices, and in particular relates to the use of strained silicon (Si) heterostructure substrates in forming devices such as transistors, for example, for high- performance CMOS integrated circuit products.
As microelectronic systems require faster operating speeds and increased computing power, the need exists for integrated circuits to provide a greater complexity of transistors in a smaller amount of circuit real estate. Such integrated circuits include, for example, microprocessors, ASICs, embedded controllers, and millions of transistors, such as metal oxide silicon semiconductor field-effect transistors (MOSFETs).
Certain microelectronics systems, such as radars, satellites, and cell phones, require low-power, high-speed, and high-density circuits with a high signal-to-noise ratio (i.e., low noise). These low power, high speed, and low noise requirements present a significant design challenge both at the circuit design and at the transistor design level. Microelectronic devices that include both analog and digital circuits are used together to achieve these requirements. Analog devices are used in applications requiring high speed and low noise, whereas digital circuits are used in applications requiring high density and low power.
Microelectronic devices that include both analog and digital circuits on the same substrate typically use traditional Si based MOSFET devices. Analog MOSFET devices, which run on analog signals, typically exhibit noise problems because noise is induced at high frequency when carriers scatter along the Si/Siθ2 interface of a traditional MOSFET device. Thus, for high-speed analog devices, field-effect transistors (FETs) are not used; rather, bipolar transistors that do not have conduction along a Si/SiO2 interface are used. Unfortunately, it is difficult and expensive to integrate both bipolar and MOSFET devices on a single substrate. One way to reduce noise and to achieve devices that are integrated on the same substrate is through changes at the transistor design level by using surface channel devices along with buried channel devices. A conventional Si based buried channel FET device has a channel conduction layer that is buried within a highly doped silicon region. This buried channel device has low noise because the charge carriers in the conduction channel are spatially separated from the Si/SiO2 interface.
While it is possible to build surface channel devices and buried channel devices on the same substrate, the manufacturing process requires complex and extensive process capabilities. For example, use of ion implantation to populate the buried channel requires counterdoping of the layers above the buried channel, and also requires extensive masking steps, adding to the cost and complexity ofthe overall manufacturing process. Furthermore, the excessive doping required to populate a buried conduction layer within a conventional silicon substrate places fundamental limitations on the performance of such a device. Further, the use of strained semiconductor devices presents particular problems to the formation of surface channel devices and buried channel devices on the same substrate. For example, U.S. Patent No. 5,963,817 discloses a method of using local selective oxidation of bulk or strained SiGe for forming buried channel oxide regions involving steps of masking, oxidation (e.g., thermal oxidation), and oxide removal; and U.S. Patent No. 5,442,205 discloses the formation of surface channel semiconductor heterostructure devices with strained silicon device layers. It has been found, however, that the process of oxidation affects certain strained semiconductors differently. For example, the different layers of a strained semiconductor heterostructure may oxidize or become doped sufficiently differently that device formation procedures are compromised. Moreover, with high thermal budget oxidation, the thin strained semiconductor channels may be destroyed by significant mterdiffusion during the high temperature oxidation steps.
There is a need, therefore, for a method of integrating surface channel and buried channel strained silicon devices on the same substrate
SUMMARY OF THE INVENTION
The invention provides a method of selectively removing SuGe alloy layers, thus exposing underlying semiconductor layers. The invention also provides a method of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate. In an embodiment, the method includes the steps of providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.
BRIEF DESCRIPTION OF THE DRAWINGS
The following description may be further understood with reference to the accompanying drawing in which
Figures 1 - 9 show diagrammatic views of a heterostructure substrate during a method of providing buried and surface channel devices on the substrate in accordance with an embodiment of the invention;
Figure 10 shows the buried channel device and a surface channel device of Figure 9 coupled to a circuit; and
Figure 11 shows a diagrammatic graphical view of thermal oxidation time versus oxidation thickness for various semiconductor substrates.
The drawings are shown for illustrative purposes and are not to scale.
DETAILED DESCRIPTION OF THE INVENTION
The invention provides a simplified method of forming buried and surface channel heterostructure devices on the same substrate. As aforementioned, conventional Si based integrated buried and surface channel devices are typically manufactured using complex implantation procedures. In the present invention, the starting substrate material defines the buried and surface channel device structures. This starting material is a heterostructure where the the different materials in the heterostructure have different oxidation or removal properties. The difference in material properties allows for the selective removal of particular layers and this allows for the integration of varied device structures. An exemplary embodiment of such a heterostructure substrate is a strained silicon substrate. A strained silicon (Si) substrate is generally formed by providing a relaxed SiGe layer on bulk Si through either epitaxial deposition or wafer bonding, and then providing a Si layer on the relaxed SiGe layer. Because SiGe has a different lattice constant than Si, the Si layer becomes strained and results in enhanced mobilities
(and hence improved device performance) compared with bulk Si. The percentage of Ge in the SiGe can have a dramatic effect on the characteristics of the strained Si layer.
In an embodiment, the invention involves the selective removal of SiGe alloys to form buried channel strained Si FET devices and surface channel strained Si FET devices on the same substrate. Using this method, both device types (e.g., digital and analog) may be realized on a common substrate and both have distinct advantages over conventional silicon MOSFET technologies. For example, a strained silicon surface channel device offers an enhanced drive current over a conventional Si based MOSFET due to its enhanced carrier mobilities. Similarly, the band offset of the strained silicon buried channel device offers low noise characteristics due to the spatial separation ofthe active charge carriers from both the SiO2 interface and any remote impurity atoms introduced via ion implantation.
Figure 1 shows a diagrammatic cross-sectional view of a substrate 10, comprising a Si layer 12, a relaxed SiGe layer 14, a strained Si layer 16, a second SiGe layer 18, and a second strained Si layer 20. Each of the strained Si layers 16 and 18 may be between 5θA and 500A. The substrate 10 forms an examplary base structure for the present invention. In developing this layered heterostructure substrate 10, epitaxial growth techniques (e.g., chemical vapor deposition) and polishing techniques (for example, chemical mechanical polishing) or wafer bonding techniques, which are known in the art, are applied. Methods of fabricating various strained silicon heterostructures are disclosed in U.S. Patent Application Ser. No. 09/906,551 filed July 16, 2001 and U.S. Patent Application Ser. No. 09/928,126 filed August 10, 2001, the disclosures of which are hereby incorporated by reference. A variety of masking layers are then applied to the substrate of Figure 1 as shown in Figure 2. First, an oxide layer 22 is applied to the exposed strained silicon layer 20, followed by a nitride masking layer 24. A photoresist layer 26 is then applied to the nitride mask. Oxide masking layer 22 and nitride masking layer 24 are typically formed using low-pressure chemical vapor deposition (LPCVD), and are approximately lOOA and 500-lOOθA thick, respectively. The photoresist layer 26 is typically a photosensitive polymer, such as a novolak-based photoresist, which is spun-applied. A chrome mask 28 is then utilized to expose selected regions of the photoresist layer 26 with ultraviolet light. The ultraviolet light exposes the uncovered regions of the photoresist layer 26. This is followed by a developing step to remove the exposed regions of the photoresist layer 26.
As shown in Figure 3, after the exposed regions of the photoresist layer 26 are removed, the remaining regions of the photoresist masking layer 26 serve as a mask to etch the exposed regions of nitride masking region 24 and oxide masking region 22. The exposed regions of nitride masking layer 24 are removed by using a hot phosphoric acid, or CF4/O2 reactive ion etch (RIE), and the exposed regions of oxide masking layer 22 are removed using a HF acid etch step. The photoresist masking region 26 is then removed using a plasma O2 ash. Note that a portion of strained Si cap layer 20 may possibly be removed in the process of removing the exposed region of oxide masking layer 22. The nitride masking region 24 then serves as a mask to etch the strained Si cap layer 20 in, for example, CF4/O2 RIE as shown in Figure 4. Note that this step may also etch into a portion of second SiGe layer 18.
The exposed portion of the second SiGe layer 18 is then selectively oxidized to expose the strained Si layer 16 leaving regions 32 of oxidized SiGe, for example, at or below approximately 850°C, and in some applications at or below approximately 700°C.
The selective removal requires consideration of oxidation rates for Si and SiGe at various Ge concentrations. For example, Figure 11 shows that the selectivity of SiGe increases with an increase in concentration of Ge. For a 470 nm SiGe layer, the x-axis is the oxidation duration in hours and the y-axis is the square of the oxide thickness in 105nm2. Line 1 represents the rate for a 36% Ge concentration in SiGe, line 2 represents a 28% Ge concentration in SiGe, and line 3 represents a 0% Ge concentration (i.e., pure Si). As the Ge concentration in SiGe increases, the oxidation rate increases for certain oxidation conditions. An example of oxidation conditions for which an acceptable oxidation rate differential occurs is oxidation at 700°C in a wet ambient. This indicates that oxidation of SiGe layer 18 will occur rapidly, and the oxidation step will slow down considerably as the oxidation front reaches the strained Si layer 16. Thus, the controlled selective removal of SiGe layer 18 takes advantage of this differential in oxidation rates.
This differential may be further exploited by grading the second SiGe layer 18 such that the Ge concentration is higher at the intersection of second SiGe layer 18 and strained Si layer 16. This expedites the oxidation of second SiGe layer 18 at the intersection of second SiGe layer 18 and strained Si layer 16, thereby avoiding too much erosion of strained Si layer 16. A differential chemical oxidation rate may also be exploited during a wet chemical processing step. For example, a standard RCA SC-1 clean
(NH4θH+H2θ2+H2O) may be used to preferentially remove the second SiGe layer 18 over the strained silicon layer 16. Again, this preferential chemical removal is due to the
5 enhanced chemical oxidation rate of SiGe alloys compared to that of silicon.
A second nitride layer 30 is then formed using LPCVD (approximately 500- lOOOA thick) over the entire surface of structure as shown in Figure 5. Note that the oxidized SiGe regions 32 of the SiGe layer 18 may undercut and extend partially underneath strained Si cap layer 20. Isolation trenches 34, 36 and 38 may then be
10 formed to isolate the various regions from one another as shown in Figure 6. The devices may be isolated from one another as disclosed in U.S. Provisional Patent Application Ser. No. 60/296,976 filed June 8, 2001, the disclosure of which is hereby incorporated by reference.
The second nitride layer 30 and the remaining region ofthe nitride masking layer
15 24 are then removed via plasma CF4/O2 or hot phosphoric wet etch as shown in Figure 7.
The oxide masking layer 22 and regions 32 of SiGe oxide are then wet etched using a buffered HF solution. This buffered HF solution etches oxide masking region 22 and
SiGe oxide region 32, but stops on strained Si layer 16 and strained Si layer 20. In the same step, first isolation trenches 34, 36 and 38 are then planarized via etching to leave a
20 relatively planar surface in both buried channel device region A and surface channel device region B as shown in Figure 8. A judicious choice of thickness for oxide masking layer 22 and an appropriate wet chemical etchant results in the degree of planarity required.
As shown in Figure 9, insulator layers 40 and 42 (of for example, SiO2) are then
25 applied to the substrate of Figure 8 via thermal oxidation of the strained Si layers 16 and
20. The first SiO2 layer 40 and second SiO2 layer 42 are the gate dielectric layers upon which buried channel and surface channel MOSFET devices 44 and 46 may be formed as shown in Figure 10. In other embodiments, a deposited gate dielectric (e.g., a high-k gate dielectric) layer may be used instead of the oxide layers 40 and 42. The buried
30 channel device 44 and the surface channel device 46 each utilize the strained silicon layer
16 as the channel, and each may be coupled to a circuit as generally shown at 48 in Figure 10. Note that in this example, the devices are fabricated having doped source/drain regions, silicide regions, spacers, and isolation regions. Although the invention has been shown in connection with a strained
Si/SiGe heterostructure, those skilled in the art will appreciate that any heterostructure that allows for the selective removal of the layers overlaying the channel layer will also work. Additionally, instead of a strained Si channel layer, layers of SiGe, Ge or GaAs may be used, alternatively, a plurality of such layers may be used to optimize the transport characteristics. Furthermore, the selective removal of blanket SiGe alloy layers may also be employed during the fabrication of silicon-on-insulator (SOI) and strained silicon-on-insulator (SSOI) substrates.
Those skilled in the art will appreciate that numerous modifications and variations may be made to the above disclosed embodiments without departing from the spirit and scope of the invention.
What is claimed is:

Claims

1. A method of forming a semiconductor substrate, comprising: providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate; reacting said first layer to form a sacrificial layer; and removing said sacrificial layer to expose said second layer.
2. The method as claimed in claim 1 , wherein the second layer comprises a strained semiconductor.
3. The method as claimed in claim 1, wherein the second layer comprises Si.
4. The method as claimed in claim 1, wherein the first layer comprises Si or Ge.
5. The method as claimed in claim 1 , wherein said semiconductor substrate further comprises a relaxed semiconductor layer disposed beneath said second layer.
6. The method as claimed in claim 5, wherein said relaxed semiconductor layer comrises Si or Ge.
7. The method as claimed in claim 1 , wherein said semiconductor substrate further comprises an insulator layer disposed beneath said second layer.
8. The method as claimed in claim 7, wherein said insulator layer comprises silicon dioxide.
9. The method as claimed in claim 1 , wherein said step of reacting said first layer to form a sacrificial layer comprises thermal oxidation.
10. The method as claimed in claim 9, wherein said thermal oxidation is performed at or below a temperature of approximately 850 °C.
11. The method as claimed in claim 9 , wherein said thermal oxidation is performed at a temperature at or below approximately 700°C.
12. The method as claimed in claim 1, wherein said step of reacting said first layer to form a sacrificial layer comprises chemical oxidation
13. The method as claimed in claim 1 , wherein said step of reacting said first layer to form a sacrificial layer is performed on a first region of said first layer and not on a second region of said first layer.
14. The method as claimed in claim 13, wherein said method further comprises forming a surface channel device in said first region.
15. The method as claimed in claim 13, wherein said method further comprises forming a buried channel device in said second region.
16. The method as claimed in claim 13, wherein said method further comprises: forming a surface channel device in said first region; and forming a buried channel device in said second region, wherein the channel of said surface channel device and said buried channel device comprises a second device layer.
17. The method as claimed in claim 16. wherein said second layer comprises Si and said first layer comprises SiGe.
18. The structure formed by the method of claim 1.
19. The structure formed by the method of claim 7.
20. The structure formed by the method of claim 16.
21. A method of forming devices on a substrate said method comprising the steps of: providing a structure comprising a SiGe layer disposed over a strained semiconductor layer; selectively removing said SiGe layer in a first region but not in a second region such that a surface channel device may be formed on said first region and a buried channel device may be formed on said second region.
22. A method of forming devices on a substrate, said method comprising the steps of: providing a structure comprising a SiGe layer disposed over a strained semiconductor layer; oxidizing said SiGe layer to form a SiGe oxide in a first region but not in a second region of said structure; removing said SiGe oxide; forming a surface channel device in said first region and a buried channel device in said second region such that the strained semiconductor layer serves as the channel layer of each device.
23. A structure comprising: a strained semiconductor layer; a surface channel device; and a buried channel device, wherein said surface and buried channel devices include a channel comprising said strained semiconductor layer.
24. The structure as claimed in claim 23, wherein said strained semiconductor layer comprises Si.
25. The structure as claimed in claim 23, wherein said structure further includes a relaxed semiconductor layer.
26. The structure as claimed in claim 25, wherein said relaxed semiconductor layer comprises SiGe.
27. A circuit formed by interconnecting the buried channel device and the surface channel device of claim 23.
PCT/US2002/018973 2001-06-14 2002-06-14 Method of selective removal of sige alloys WO2002103760A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002322105A AU2002322105A1 (en) 2001-06-14 2002-06-14 Method of selective removal of sige alloys

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29815301P 2001-06-14 2001-06-14
US60/298,153 2001-06-14

Publications (2)

Publication Number Publication Date
WO2002103760A2 true WO2002103760A2 (en) 2002-12-27
WO2002103760A3 WO2002103760A3 (en) 2003-07-31

Family

ID=23149281

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/018973 WO2002103760A2 (en) 2001-06-14 2002-06-14 Method of selective removal of sige alloys

Country Status (3)

Country Link
US (2) US6900094B2 (en)
AU (1) AU2002322105A1 (en)
WO (1) WO2002103760A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004061920A2 (en) * 2002-12-31 2004-07-22 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6940089B2 (en) * 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) * 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) * 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US7132348B2 (en) * 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US7049627B2 (en) * 2002-08-23 2006-05-23 Amberwave Systems Corporation Semiconductor heterostructures and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
EP1437764A1 (en) * 2003-01-10 2004-07-14 S.O.I. Tec Silicon on Insulator Technologies S.A. A compliant substrate for a heteroepitaxy, a heteroepitaxial structure and a method for fabricating a compliant substrate
JP4659732B2 (en) * 2003-01-27 2011-03-30 台湾積體電路製造股▲ふん▼有限公司 Method for forming a semiconductor layer
US7198974B2 (en) * 2003-03-05 2007-04-03 Micron Technology, Inc. Micro-mechanically strained semiconductor film
CN100437970C (en) * 2003-03-07 2008-11-26 琥珀波系统公司 Shallow trench isolation process
US7041575B2 (en) * 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US6987037B2 (en) * 2003-05-07 2006-01-17 Micron Technology, Inc. Strained Si/SiGe structures by ion implantation
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7087473B2 (en) * 2003-06-13 2006-08-08 Matsushita Electric Industrial Co., Ltd. Method of forming conventional complementary MOS transistors and complementary heterojunction MOS transistors on common substrate
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
JP2005142431A (en) * 2003-11-07 2005-06-02 Toshiba Corp Semiconductor device and its manufacturing method
US7256465B2 (en) * 2004-01-21 2007-08-14 Sharp Laboratories Of America, Inc. Ultra-shallow metal oxide surface channel MOS transistor
US7078723B2 (en) * 2004-04-06 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device with depth adjustable sill
FR2870043B1 (en) * 2004-05-07 2006-11-24 Commissariat Energie Atomique MANUFACTURING OF ACTIVE ZONES OF DIFFERENT NATURE DIRECTLY ON INSULATION AND APPLICATION TO MOS TRANSISTOR WITH SINGLE OR DOUBLE GRID
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
JP4473651B2 (en) * 2004-06-18 2010-06-02 株式会社東芝 Manufacturing method of semiconductor device
DE102004048096A1 (en) * 2004-09-30 2006-04-27 Forschungszentrum Jülich GmbH Method for producing a strained layer on a substrate and layer structure
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7327008B2 (en) * 2005-01-24 2008-02-05 International Business Machines Corporation Structure and method for mixed-substrate SIMOX technology
FR2893446B1 (en) * 2005-11-16 2008-02-15 Soitec Silicon Insulator Techn SEGMENT SEGMENT SEGMENT LAYER TREATMENT
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) * 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
TWI438827B (en) 2006-09-20 2014-05-21 Univ Illinois Release strategies for making printable semiconductor structures, devices and device components
KR101610885B1 (en) 2007-01-17 2016-04-08 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 Optical systems fabricated by printing-based assembly
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US20090142891A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
JP5743553B2 (en) 2008-03-05 2015-07-01 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ Stretchable and foldable electronic devices
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8097926B2 (en) * 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
EP2349440B1 (en) 2008-10-07 2019-08-21 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
TWI592996B (en) 2009-05-12 2017-07-21 美國伊利諾大學理事會 Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
WO2011041727A1 (en) 2009-10-01 2011-04-07 Mc10, Inc. Protective cases with integrated electronics
US8716752B2 (en) * 2009-12-14 2014-05-06 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
WO2011084450A1 (en) 2009-12-16 2011-07-14 The Board Of Trustees Of The University Of Illinois Electrophysiology in-vivo using conformal electronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
KR101724273B1 (en) 2010-03-17 2017-04-07 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 implantable biomedical devices on bioresorbable substrates
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
JP2014523633A (en) 2011-05-27 2014-09-11 エムシー10 インコーポレイテッド Electronic, optical and / or mechanical devices and systems and methods of manufacturing these devices and systems
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
EP2786644B1 (en) 2011-12-01 2019-04-10 The Board of Trustees of the University of Illionis Transient devices designed to undergo programmable transformations
JP2015521303A (en) 2012-03-30 2015-07-27 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシ An electronic device that can be attached to the surface and can be attached to an accessory
US9059321B2 (en) 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
KR102077447B1 (en) * 2013-06-24 2020-02-14 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
EP3304130B1 (en) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternative approach to uv sensing
CN107851208B (en) 2015-06-01 2021-09-10 伊利诺伊大学评议会 Miniaturized electronic system with wireless power supply and near field communication capability
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
EP0844651A1 (en) * 1996-11-26 1998-05-27 Xerox Corporation Method of controlling oxidation in multilayer semiconductor structure comprising Group III elements
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3542482A1 (en) * 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
JPS63122176A (en) 1986-11-11 1988-05-26 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and its manufacture
DE3816358A1 (en) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
JPH04307974A (en) 1991-04-05 1992-10-30 Sharp Corp Electrically erasable nonvolatile semiconductor storage device
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
JPH05121317A (en) * 1991-10-24 1993-05-18 Rohm Co Ltd Method for forming soi structure
US5467305A (en) * 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) * 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH07106466A (en) 1993-09-30 1995-04-21 Toppan Printing Co Ltd Printed-wiring board for mounting of multichip module
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
DE19533313A1 (en) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
JP3403877B2 (en) * 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
JP3217015B2 (en) * 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
DE59707274D1 (en) * 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
JP3487124B2 (en) * 1997-03-26 2004-01-13 三菱電機株式会社 High frequency circuit
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (en) * 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US5936274A (en) * 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5981400A (en) * 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US6154475A (en) * 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) * 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
JP3059145B2 (en) 1997-12-12 2000-07-04 松下電子工業株式会社 Nonvolatile semiconductor memory device and driving method thereof
FR2773177B1 (en) * 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
CA2327421A1 (en) 1998-04-10 1999-10-21 Jeffrey T. Borenstein Silicon-germanium etch stop layer system
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
JP4258034B2 (en) * 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
JP3403076B2 (en) * 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6130453A (en) * 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
US6162688A (en) * 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
JP4511739B2 (en) * 1999-01-15 2010-07-28 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア Polycrystalline silicon germanium films for forming microelectromechanical systems
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
KR100441469B1 (en) 1999-03-12 2004-07-23 인터내셔널 비지네스 머신즈 코포레이션 High speed ge channel heterostructures for field effect devices
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP3595718B2 (en) * 1999-03-15 2004-12-02 株式会社東芝 Display element and method of manufacturing the same
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6151248A (en) * 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6559040B1 (en) * 1999-10-20 2003-05-06 Taiwan Semiconductor Manufacturing Company Process for polishing the top surface of a polysilicon gate
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001054202A1 (en) 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
DE10025264A1 (en) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP3546169B2 (en) * 2000-05-26 2004-07-21 三菱重工業株式会社 Semiconductor device and manufacturing method thereof
AU2001268577A1 (en) 2000-06-22 2002-01-02 Massachusetts Institute Of Technology Etch stop layer system
WO2002013262A2 (en) 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
KR100391988B1 (en) * 2001-02-09 2003-07-22 삼성전자주식회사 DRAM cell and fabrication method thereof
JP2002241195A (en) 2001-02-15 2002-08-28 Mitsubishi Materials Silicon Corp Method for producing epitaxial multilayer film and epitaxial multilayer film
EP1364411A1 (en) 2001-03-02 2003-11-26 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071488A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071491A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
JP2002273961A (en) * 2001-03-16 2002-09-25 Hitachi Koki Co Ltd Method of setting printing start position for continuous paper printer
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6468869B1 (en) * 2001-05-11 2002-10-22 Macronix International Co., Ltd. Method of fabricating mask read only memory
US6900094B2 (en) 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) * 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6541321B1 (en) * 2002-05-14 2003-04-01 Advanced Micro Devices, Inc. Method of making transistors with gate insulation layers of differing thickness
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
US5327375B1 (en) * 1988-07-08 1999-03-16 Eliyahou Harari Dram cellutilizing novel capacitor
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
EP0844651A1 (en) * 1996-11-26 1998-05-27 Xerox Corporation Method of controlling oxidation in multilayer semiconductor structure comprising Group III elements
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004061920A2 (en) * 2002-12-31 2004-07-22 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
WO2004061920A3 (en) * 2002-12-31 2005-01-27 Advanced Micro Devices Inc Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
JP2006512766A (en) * 2002-12-31 2006-04-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for forming a thick strained silicon layer and semiconductor structure incorporating a thick strained silicon layer
CN100365766C (en) * 2002-12-31 2008-01-30 先进微装置公司 Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer

Also Published As

Publication number Publication date
US20040171223A1 (en) 2004-09-02
WO2002103760A3 (en) 2003-07-31
AU2002322105A1 (en) 2003-01-02
US6900094B2 (en) 2005-05-31
US20030013323A1 (en) 2003-01-16

Similar Documents

Publication Publication Date Title
US6900094B2 (en) Method of selective removal of SiGe alloys
US7023057B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
US7595232B2 (en) CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US8211761B2 (en) Semiconductor system using germanium condensation
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7605429B2 (en) Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
CN100461430C (en) Semiconductor structure and its forming method
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US7141459B2 (en) Silicon-on-insulator ULSI devices with multiple silicon film thicknesses
US6225163B1 (en) Process for forming high quality gate silicon dioxide layers of multiple thicknesses
JP2009526390A (en) CMOS device with hybrid channel orientation and method of manufacturing the same
US7316943B2 (en) Method for manufacturing semiconductor apparatus having drain/source on insulator
US5445107A (en) Semiconductor device and method of formation
KR100296130B1 (en) Manufacturing Method of Metal-Oxide-Semiconductor Field Effect Transistor Using Double-Layer Silicon Wafer
JP4328708B2 (en) Manufacturing method of CMOS device and structure including CMOS device
US7541258B2 (en) Method of manufacturing semiconductor substrate and method of manufacturing semiconductor device
US6849508B2 (en) Method of forming multiple gate insulators on a strained semiconductor heterostructure
JP2003249648A (en) Semiconductor device and manufacturing method therefor
JP2004296744A (en) Process for fabricating semiconductor device
JPH0521455A (en) Manufacture of semiconductor integrated circuit device
JP2002158357A (en) Soi semiconductor device and its manufacturing method
JP2001267577A (en) Semiconductor device
JP2006344622A (en) Semiconductor device and its fabrication process

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP