WO2002061811A2 - Laser cleaning process for semiconductor material - Google Patents

Laser cleaning process for semiconductor material Download PDF

Info

Publication number
WO2002061811A2
WO2002061811A2 PCT/US2002/000584 US0200584W WO02061811A2 WO 2002061811 A2 WO2002061811 A2 WO 2002061811A2 US 0200584 W US0200584 W US 0200584W WO 02061811 A2 WO02061811 A2 WO 02061811A2
Authority
WO
WIPO (PCT)
Prior art keywords
liquid
contaminant particles
semiconductor wafer
residual layer
wafer
Prior art date
Application number
PCT/US2002/000584
Other languages
French (fr)
Other versions
WO2002061811A3 (en
Inventor
Danny L. Thompson
Mary C. Freeman
Ronald N. Legge
Original Assignee
Motorola, Inc., A Corporation Of The State Of Delaware
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola, Inc., A Corporation Of The State Of Delaware filed Critical Motorola, Inc., A Corporation Of The State Of Delaware
Priority to AU2002248330A priority Critical patent/AU2002248330A1/en
Publication of WO2002061811A2 publication Critical patent/WO2002061811A2/en
Publication of WO2002061811A3 publication Critical patent/WO2002061811A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • B08B1/32
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the present invention pertains to processes for cleaning surfaces of material and more specifically to a process of cleaning semiconductor wafers .
  • Patent number 4,752,668 entitled “System for Laser Removal of Excess Material From a Semiconductor Wafer", issued June 21, 1988, the laser portion of which is incorporated herein by reference. This severely limits the versatility and usefulness of the prior art processes and unduly complicates them.
  • Another prior art photonic energy cleaning processes, known as photothermic uses inert process gasses to clean the surface of semiconductors or displays. An example of this type of cleaning is disclosed in U.S. Patent number 5,643,472, entitled “Selective Removal of Material by Irradiation", issued July 1, 1997, the laser portion of which is incorporated herein by reference. Further, these prior art processes using lasers prohibit the use of reactive liquids and require high laser energies to provide particle removal.
  • a laser cleaning process for the surface of materials including the steps of providing a structure with a surface including thereon undesirable material to be removed, applying a wetting liquid to the surface of the structure, and irradiating the surface, using photon energy, with sufficient energy to remove the wetting liquid and the undesirable material.
  • the wetting liquid can include reactive or non-reactive liquids and the irradiating step can be performed at atmospheric pressure.
  • FIG. 1 illustrates schematically a prior art semiconductor line process for wafer polishing and cleaning
  • FIG. 2 illustrates schematically a line process for substrate polishing and cleaning in accordance with the present invention
  • FIG. 3 illustrates schematically a line process for substrate polishing and cleaning in accordance with the present invention
  • FIG. 4 illustrates schematically a semiconductor line process for wafer polishing and cleaning in accordance with the present invention
  • FIG. 5 is a simplified general flow chart illustrating general steps in a process in accordance with the present invention. Description of the Preferred Embodiments
  • FIG. 1 illustrates in a simplified schematic form a prior art semiconductor line process for wafer polishing and cleaning.
  • a first stage designated 10
  • each semiconductor wafer 11 mounted on a chuck 12
  • CMP chemical mechanical polish
  • each wafer 11 is exposed to dilute chemicals in which silica or similar particles are entrained. Because the CMP process is well known to those skilled in the art, further description of this process is not included herein.
  • each wafer 11 moves to a second stage, designated 13, in which each wafer 11 is processed through a scrub track that removes gross contamination. Scrub tracks are also well known in the cleaning art and will not be described further.
  • Stage 15 is a spin rinse dryer (SRD) which does a final rinse and dry process to complete the line process. Wafers 11 are then removed from the chucks 12 and packaged for further processing.
  • SRD spin rinse dryer
  • each substrate, or semiconductor wafer, 21, mounted on a chuck 22 is subjected to a chemical mechanical polish (CMP) .
  • CMP chemical mechanical polish
  • each wafer 21 is exposed to dilute chemicals in which silica or similar particles are entrained.
  • each wafer 21, now having a plurality of contaminant particles on the surface, generated during the CMP process moves to a second stage, designated 23, 'in which each wafer 21 is processed through a scrub track that removes gross contamination.
  • Stage 25 includes a laser cleaning and drying process in which photonic energy from a laser 26 is directed onto the surface to be cleaned, e.g. the surface of wafer 21.
  • the laser cleaning and drying process replaces the SRD step illustrated in FIG. 1.
  • the scrub track step 23 dispenses a liquid to the surface of each wafer 21 and the photonic energy of laser 26 in step 25 removes the liquid and solids while simultaneously drying the surface.
  • FIG. 3 another specific example of a line process for substrate polishing and cleaning in accordance with the present invention is illustrated.
  • the line process is again illustrated in a simplified schematic view.
  • substrate materials is intended to include semiconductor materials, metals, glass, or the like.
  • each semiconductor wafer 31, mounted on a chuck 32 is subjected to a chemical mechanical polish (CMP) .
  • CMP chemical mechanical polish
  • each wafer 31 is exposed to dilute chemicals in which silica or similar particles are entrained.
  • wafers 31, having a plurality of contaminant particles, generated during the CMP process, and now chemisorbed in the remaining liquid are each moved along the line to a second stage, designated 35.
  • Stage 35 includes a laser cleaning and drying process in which an energy source 36, such as a photonic energy source, such as a laser as illustrated here, an ion energy source, such as an ion beam, or a neutralized ion energy source is directed onto the surface to be cleaned, e.g. the surface of wafer 31.
  • the cleaning and drying process replaces both the scrub process and the SRD step illustrated in FIG. 1.
  • the CMP process of step 30 dispenses a liquid to the surface of each wafer 31 and the photonic energy of laser 36 in step 35 removes the liquid and solids while simultaneously drying the surface.
  • FIG. 4 illustrates in a simplified schematic form a semiconductor line process for wafer polishing and cleaning.
  • each semiconductor wafer 41 mounted on a chuck 42 is subjected to a chemical mechanical polish (CMP) .
  • CMP chemical mechanical polish
  • each wafer 41 is exposed to dilute chemicals in which silica or similar particles are entrained. Because the CMP process is well known to those skilled in the art, further description of this process is not included herein.
  • each wafer 41 moves to a second stage, designated 43, in which each wafer 41 is processed through a scrub track that removes gross contamination. Scrub tracks are also well known in the cleaning art and will not be described further.
  • wafers 11 are each moved along the line to a third stage, designated 45.
  • Stage 45 is a caustic bath process in which wafer 42 is washed in a caustic solution for the removal of specific materials which have accumulated from other steps or through natural occurrences.
  • a stage 46 generally follows stage 44.
  • Stage 46 is a spin rinse dryer (SRD) which does a final rinse and dry process to complete the line process . Wafers 41 are then removed from the chucks 42 and packaged for further processing.
  • SRD spin rinse dryer
  • stage 43 the caustic bath process, in accordance with the present invention.
  • stage 47 is illustrated as following stage 46, the spin rinse dryer stage, it should be understood that stage 46 is optional and stage 47 could follow stage 44 directly.
  • stages 40 and 43 are optional, at least in some applications.
  • the general steps include a first step 50 in which the surface to be cleaned is prepared by applying a liquid directly to the work surface.
  • the liquid can be either reactive or non- reactive and is applied in a non-vaporous (liquid) form. More particularly, it is disclosed that the liquid can be reactive or non-reactive to the substrate surface, and/or reactive or non-reactive to the contaminant particles. Further, the liquid can be applied directly to the surface or it can be already present from a prior step. It should be understood that a plurality of contaminant particles present on the semiconductor surface (previously described) will become entrained in the liquid once the liquid is present.
  • the amount of liquid can be copious or minimal, in which copious amounts can be reduced in a second step, designated 52, to a residual layer 53 of liquid of a specified minimal thickness, prior to the application of energy source by spinning, evaporation, etc. It should be noted that typically copious amounts of liquid having contaminant particles entrained therein, are left- remaining on a substrate, or wafer surface, subsequent to CMP processing steps.
  • the majority, and more specifically substantially the entire surface, of the wafer is dried by spinning the wafer in the horizontal plane so that residual layer 53 having a thickness of less than 20A, and preferably less than 10 A is left remaining on a majority of the wafer surface, thus leaving the desired amount of liquid on the surface prior to the step of irradiating the surface.
  • evaporation techniques may be used to form residual layer 53 of the specified thickness, thus leaving the desired amount of liquid on the surface prior to the step of irradiating the surface.
  • Residual layer 53 of liquid that is left remaining is disclosed and defined herein as including a layer of liquid having a thickness of less than 20A, and preferably less than 10 A. Residual layer 53 remaining is characterized as a monolayer of molecules that are adsorbed on the surface having contaminant particles chemisorbed or adsorbed therein. This process of removing the majority of the liquid from the surface of the substrate, or wafer, prior to the irradiation step, provides for remaining residual layer 53 of liquid of a specific thickness to be left on the surface of the wafer. Residual layer 53 must be of a specific minimal thickness to enable the radiation to properly evaporate the liquid in a sort of explosive fashion, thereby removing the remaining liquid and contaminant particles from the wafer surface.
  • residual layer 53 may be formed as either a continuous layer or a discontinuous layer, across the surface of the semiconductor wafer. Therefore it is disclosed that residual layer 53 covers a majority of the semiconductor wafer surface . It should further be understood that, depending upon wetting step 50, step 52 may or may not be included in the process.
  • the surface to be cleaned is irradiated, using an energy source, with sufficient energy to remove residual layer 53 of wetting liquid and the entrained contaminant particles in a single irradiation process.
  • an energy source including photon energy sources, such as any electromagnetic waves, including but not limited to x-ray, IR, RF, gamma, visible, such as laser, or the like.
  • ion energy sources such as ion beam sources, and neutralized ion beam sources, are anticipated.
  • the energy is provided by directing the output of a energy source 56 onto a reflecting surface 57 for imaging onto the desired surface.
  • Step 55 is performed at atmospheric pressure since the rapid drying of the liquid on the surface results in contamination removal, while promoting excellent surface conditions.
  • Using the laser to remove the liquid directly provides the ability to simultaneously remove surface contaminants and prevent unwanted cleaning residuals.
  • the irradiation step, and more particularly, the laser irradiation step is carried out in a single process step across the entire surface area of the wafer, whereby the laser irradiation is . commenced subsequent to the removal of the copious amount of liquid (as previously described) to leave remaining residual layer 53 of the liquid having entrained therein contaminant particles on a majority of the wafer surface.
  • the laser also enhances chemical reactions within a zone surrounding the laser beam.
  • the reactions are contained at or near the surface to be cleaned, which provides a great deal of controllability of the reactions. Further, by using liquid at the surface to be cleaned, the generation of additional thermal reaction at lower laser fluences is realized.
  • the use of liquid on the surface and direct laser cleaning lessens the quantity of chemicals used in the cleaning process and the post treatment of the chemicals .
  • the new process includes applying a liquid to the surface to be cleaned at the laser stage, applying liquid to the surface to be cleaned prior to the laser stage, or applying liquid to the surface to be cleaned prior to the laser stage and performing a controlled spin to leave a controlled amount of liquid, the residual layer, on the surface. Further, while many cleaning applications are possible, three preferred examples in semiconductor processing are: removal of polishing slurries; enhanced removal of photo resists; and surface preparation prior to film depositions.
  • a new and useful cleaning process for semiconductors and the like is disclosed.
  • the new and improved cleaning process for semiconductors and the like is highly versatile and efficient with the ability to simultaneously remove surface contaminants and prevent unwanted cleaning residues.
  • the new and improved cleaning process for semiconductors and the like uses liquid directly on the surface to be cleaned so that the generation of additional thermal reaction occurs at lower laser fluences.
  • the new and improved cleaning process for semiconductors and the like enables the manufacturing of more complex structures with ultra clean semiconductor films without the negative impact of harsh chemicals to the material systems .

Abstract

A laser cleaning process is disclosed for cleaning the surface of materials, such as semiconductor wafers (41) and the like, which process can be performed at atmospheric pressure. The process includes the steps of providing (40) a structure with a surface having undesirable contaminant particles thereon, wetting (44) the surface with a liquid including reactive or non-reactive liquids, and irradiating (47) the surface using photon energy with sufficient energy to remove the wetting liquid and the undesirable material.

Description

LASER CLEANING PROCESS
FOR SEMICONDUCTOR MATERIAL
Field of the Invention
The present invention pertains to processes for cleaning surfaces of material and more specifically to a process of cleaning semiconductor wafers .
Background of the Invention
In the most common prior art cleaning methods, wet chemistry is used in conjunction with sound (ultra sonic) energy, heat and/or fluid velocities to prepare the surface of semiconductor wafers and the like. This prior art does not provide, in all cases, adequate surface cleaning or preparation. More recently, photonic energy has been introduced as a cleaner with additional benefits of surface reactions and simultaneous surface preparation. One of these photonic energy cleaning processes, known as photochemical, uses gas chemistries or liquids in vapor phase which require the condensing of the vapors on the target material to be done in a vacuum environment. An example of this type of cleaning is disclosed in U.S. Patent number 4,752,668, entitled "System for Laser Removal of Excess Material From a Semiconductor Wafer", issued June 21, 1988, the laser portion of which is incorporated herein by reference. This severely limits the versatility and usefulness of the prior art processes and unduly complicates them. Another prior art photonic energy cleaning processes, known as photothermic, uses inert process gasses to clean the surface of semiconductors or displays. An example of this type of cleaning is disclosed in U.S. Patent number 5,643,472, entitled "Selective Removal of Material by Irradiation", issued July 1, 1997, the laser portion of which is incorporated herein by reference. Further, these prior art processes using lasers prohibit the use of reactive liquids and require high laser energies to provide particle removal.
Thus, it would be highly desirable to provide a cleaning process for surfaces of substrates, such as semiconductor wafers and the like, which is more efficient and versatile.
It is a purpose of the present invention to provide a new and improved laser cleaning process for substrates, such as semiconductors and the like.
It is another purpose of the present invention to provide a new and improved laser cleaning process for substrates, such as semiconductors and the like, which is highly versatile and efficient. It is still another purpose of the present invention to provide a new and improved laser cleaning process for semiconductors and the like with the ability to simultaneously remove surface contaminants and prevent unwanted cleaning residues.
It is a further purpose of the present invention to provide a new and improved laser cleaning process for semiconductors and the like using liquid so that the generation of additional thermal reaction occurs at lower laser fluences .
It is still a further purpose of the present invention to provide a new and improved laser cleaning process for semiconductors and the like which enables the manufacturing of more complex structures with ultra clean semiconductor films without the negative impact of harsh chemicals to the material systems.
Summary of the Invention
The above problems and others are at least partially solved and the above purposes and others are realized in a laser cleaning process for the surface of materials including the steps of providing a structure with a surface including thereon undesirable material to be removed, applying a wetting liquid to the surface of the structure, and irradiating the surface, using photon energy, with sufficient energy to remove the wetting liquid and the undesirable material. The wetting liquid can include reactive or non-reactive liquids and the irradiating step can be performed at atmospheric pressure.
Brief Description of the Drawings
Referring to the drawings:
FIG. 1 illustrates schematically a prior art semiconductor line process for wafer polishing and cleaning;
FIG. 2 illustrates schematically a line process for substrate polishing and cleaning in accordance with the present invention;
FIG. 3 illustrates schematically a line process for substrate polishing and cleaning in accordance with the present invention; FIG. 4 illustrates schematically a semiconductor line process for wafer polishing and cleaning in accordance with the present invention; and
FIG. 5 is a simplified general flow chart illustrating general steps in a process in accordance with the present invention. Description of the Preferred Embodiments
Turning now to the figures, FIG. 1 illustrates in a simplified schematic form a prior art semiconductor line process for wafer polishing and cleaning. In a first stage, designated 10, each semiconductor wafer 11, mounted on a chuck 12 is subjected to a chemical mechanical polish (CMP) . In the CMP process each wafer 11 is exposed to dilute chemicals in which silica or similar particles are entrained. Because the CMP process is well known to those skilled in the art, further description of this process is not included herein. After the CMP process, each wafer 11 moves to a second stage, designated 13, in which each wafer 11 is processed through a scrub track that removes gross contamination. Scrub tracks are also well known in the cleaning art and will not be described further. Subsequent to the scrub track process, wafers 11 are each moved along the line to a third stage, designated 15. Stage 15 is a spin rinse dryer (SRD) which does a final rinse and dry process to complete the line process. Wafers 11 are then removed from the chucks 12 and packaged for further processing.
Referring specifically to FIG. 2, a specific example of a substrate line process, such as a semiconductor wafer line process for wafer polishing and cleaning in accordance with the present invention is illustrated. The line process is again illustrated in a simplified schematic view. In a first stage, designated 20, each substrate, or semiconductor wafer, 21, mounted on a chuck 22 is subjected to a chemical mechanical polish (CMP) . In the CMP process each wafer 21 is exposed to dilute chemicals in which silica or similar particles are entrained. After the CMP process, each wafer 21, now having a plurality of contaminant particles on the surface, generated during the CMP process, moves to a second stage, designated 23, 'in which each wafer 21 is processed through a scrub track that removes gross contamination. During this second stage 23, a scrub process is performed by essentially applying a liquid to the surface of the semiconductor wafer, suspending therein the plurality of contaminant particles. Subsequently, during this second stage 23, a majority of this liquid having contaminant particles entrained therein is removed. Subsequent to the scrub track process of stage 23, wafers 21 are each moved along the line to a third stage, designated 25. Stage 25 includes a laser cleaning and drying process in which photonic energy from a laser 26 is directed onto the surface to be cleaned, e.g. the surface of wafer 21. The laser cleaning and drying process, in this specific example, replaces the SRD step illustrated in FIG. 1. In this process the scrub track step 23 dispenses a liquid to the surface of each wafer 21 and the photonic energy of laser 26 in step 25 removes the liquid and solids while simultaneously drying the surface. Referring specifically to FIG. 3, another specific example of a line process for substrate polishing and cleaning in accordance with the present invention is illustrated. The line process is again illustrated in a simplified schematic view. It should be understood that while semiconductor wafer polishing and cleaning is disclosed in the preferred embodiment, that anticipated by this disclosure is a process for cleaning and polishing various metal substrates used in micromachining, and glass substrates, such as that utilized for lens manufacture, etc. is anticipated by this disclosure. Accordingly, the term substrate materials is intended to include semiconductor materials, metals, glass, or the like.
In a first stage, designated 30, each semiconductor wafer 31, mounted on a chuck 32 is subjected to a chemical mechanical polish (CMP) . In the CMP process each wafer 31 is exposed to dilute chemicals in which silica or similar particles are entrained. Subsequent to the CMP process of stage 30, wafers 31, having a plurality of contaminant particles, generated during the CMP process, and now chemisorbed in the remaining liquid, are each moved along the line to a second stage, designated 35.
Stage 35 includes a laser cleaning and drying process in which an energy source 36, such as a photonic energy source, such as a laser as illustrated here, an ion energy source, such as an ion beam, or a neutralized ion energy source is directed onto the surface to be cleaned, e.g. the surface of wafer 31. The cleaning and drying process, in this specific example, replaces both the scrub process and the SRD step illustrated in FIG. 1. *In this example, the CMP process of step 30 dispenses a liquid to the surface of each wafer 31 and the photonic energy of laser 36 in step 35 removes the liquid and solids while simultaneously drying the surface. It should be understood that during the irradiation stage 35 that a single process step is undertaken whereby the surface of the substrate material is irradiated by moving the energy source relative to the surface of the substrate material, or vice versa, so as to irradiate the entire surface of the substrate in a continuous manner. It is anticipated by this disclosure that dependent upon the illumination size of the energy source and the size of the surface area to be irradiated, that the entire surface can be irradiated without the need to move either the energy source or the surface of the substrate relative to one another . Turning now to the figures, FIG. 4 illustrates in a simplified schematic form a semiconductor line process for wafer polishing and cleaning. In a first stage, designated 40, each semiconductor wafer 41, mounted on a chuck 42 is subjected to a chemical mechanical polish (CMP) . In the CMP process each wafer 41 is exposed to dilute chemicals in which silica or similar particles are entrained. Because the CMP process is well known to those skilled in the art, further description of this process is not included herein. After the CMP process, each wafer 41 moves to a second stage, designated 43, in which each wafer 41 is processed through a scrub track that removes gross contamination. Scrub tracks are also well known in the cleaning art and will not be described further. Subsequent to the scrub track process, wafers 11 are each moved along the line to a third stage, designated 45. Stage 45 is a caustic bath process in which wafer 42 is washed in a caustic solution for the removal of specific materials which have accumulated from other steps or through natural occurrences. A stage 46 generally follows stage 44. Stage 46 is a spin rinse dryer (SRD) which does a final rinse and dry process to complete the line process . Wafers 41 are then removed from the chucks 42 and packaged for further processing.
It will be understood that the semiconductor line process illustrated in FIG. 4, is intended to illustrate the use of stage 43, the caustic bath process, in accordance with the present invention. Also, while a laser dry and cleaning stage 47 is illustrated as following stage 46, the spin rinse dryer stage, it should be understood that stage 46 is optional and stage 47 could follow stage 44 directly. Also, stages 40 and 43 are optional, at least in some applications.
Turning now to FIG. 5, a simplified general flow chart is illustrated showing general steps in a process in accordance with the present invention. The general steps include a first step 50 in which the surface to be cleaned is prepared by applying a liquid directly to the work surface. The liquid can be either reactive or non- reactive and is applied in a non-vaporous (liquid) form. More particularly, it is disclosed that the liquid can be reactive or non-reactive to the substrate surface, and/or reactive or non-reactive to the contaminant particles. Further, the liquid can be applied directly to the surface or it can be already present from a prior step. It should be understood that a plurality of contaminant particles present on the semiconductor surface (previously described) will become entrained in the liquid once the liquid is present. The amount of liquid can be copious or minimal, in which copious amounts can be reduced in a second step, designated 52, to a residual layer 53 of liquid of a specified minimal thickness, prior to the application of energy source by spinning, evaporation, etc. It should be noted that typically copious amounts of liquid having contaminant particles entrained therein, are left- remaining on a substrate, or wafer surface, subsequent to CMP processing steps. To reduce this copious amount of liquid to residual layer 53 of liquid having contaminant particles entrained therein, the majority, and more specifically substantially the entire surface, of the wafer is dried by spinning the wafer in the horizontal plane so that residual layer 53 having a thickness of less than 20A, and preferably less than 10 A is left remaining on a majority of the wafer surface, thus leaving the desired amount of liquid on the surface prior to the step of irradiating the surface. Alternatively, evaporation techniques may be used to form residual layer 53 of the specified thickness, thus leaving the desired amount of liquid on the surface prior to the step of irradiating the surface. Residual layer 53 of liquid that is left remaining is disclosed and defined herein as including a layer of liquid having a thickness of less than 20A, and preferably less than 10 A. Residual layer 53 remaining is characterized as a monolayer of molecules that are adsorbed on the surface having contaminant particles chemisorbed or adsorbed therein. This process of removing the majority of the liquid from the surface of the substrate, or wafer, prior to the irradiation step, provides for remaining residual layer 53 of liquid of a specific thickness to be left on the surface of the wafer. Residual layer 53 must be of a specific minimal thickness to enable the radiation to properly evaporate the liquid in a sort of explosive fashion, thereby removing the remaining liquid and contaminant particles from the wafer surface. It should be understood that it is anticipated by this disclosure that residual layer 53 may be formed as either a continuous layer or a discontinuous layer, across the surface of the semiconductor wafer. Therefore it is disclosed that residual layer 53 covers a majority of the semiconductor wafer surface . It should further be understood that, depending upon wetting step 50, step 52 may or may not be included in the process.
In a next step, designated 55, the surface to be cleaned is irradiated, using an energy source, with sufficient energy to remove residual layer 53 of wetting liquid and the entrained contaminant particles in a single irradiation process. It is disclosed that anticipated by this disclosure are various energy sources, including photon energy sources, such as any electromagnetic waves, including but not limited to x-ray, IR, RF, gamma, visible, such as laser, or the like. In addition, ion energy sources, such as ion beam sources, and neutralized ion beam sources, are anticipated. In the process illustrated in FIG. 5, the energy is provided by directing the output of a energy source 56 onto a reflecting surface 57 for imaging onto the desired surface. Step 55 is performed at atmospheric pressure since the rapid drying of the liquid on the surface results in contamination removal, while promoting excellent surface conditions. Using the laser to remove the liquid directly provides the ability to simultaneously remove surface contaminants and prevent unwanted cleaning residuals. In this particular embodiment, the irradiation step, and more particularly, the laser irradiation step, is carried out in a single process step across the entire surface area of the wafer, whereby the laser irradiation is . commenced subsequent to the removal of the copious amount of liquid (as previously described) to leave remaining residual layer 53 of the liquid having entrained therein contaminant particles on a majority of the wafer surface. The laser also enhances chemical reactions within a zone surrounding the laser beam. The reactions are contained at or near the surface to be cleaned, which provides a great deal of controllability of the reactions. Further, by using liquid at the surface to be cleaned, the generation of additional thermal reaction at lower laser fluences is realized. The use of liquid on the surface and direct laser cleaning lessens the quantity of chemicals used in the cleaning process and the post treatment of the chemicals . Generally, the new process includes applying a liquid to the surface to be cleaned at the laser stage, applying liquid to the surface to be cleaned prior to the laser stage, or applying liquid to the surface to be cleaned prior to the laser stage and performing a controlled spin to leave a controlled amount of liquid, the residual layer, on the surface. Further, while many cleaning applications are possible, three preferred examples in semiconductor processing are: removal of polishing slurries; enhanced removal of photo resists; and surface preparation prior to film depositions.
Thus, a new and useful cleaning process for semiconductors and the like is disclosed. The new and improved cleaning process for semiconductors and the like is highly versatile and efficient with the ability to simultaneously remove surface contaminants and prevent unwanted cleaning residues. Further, the new and improved cleaning process for semiconductors and the like uses liquid directly on the surface to be cleaned so that the generation of additional thermal reaction occurs at lower laser fluences. Also, the new and improved cleaning process for semiconductors and the like enables the manufacturing of more complex structures with ultra clean semiconductor films without the negative impact of harsh chemicals to the material systems .
While we have shown and described specific embodiments of the present invention, further modifications and improvements will occur to those skilled in the art. We desire it to be understood, therefore, that this invention is not limited to the particular forms shown and we intend in the appended claims to cover all modifications that do not depart from the spirit and scope of this invention.

Claims

What is claimed is :
1. A cleaning process for a surface of a semiconductor wafer having undesirable materials thereon, comprising the steps of: performing a chemical mechanical polish on the surface of the semiconductor wafer, thereby generating a plurality of contaminant particles on the surface of the semiconductor wafer; performing a scrub by applying a liquid to the surface of the semiconductor wafer suspending therein the plurality of contaminant particles, and removing a majority of the liquid and contaminant particles suspended therein; removing a majority of the remaining liquid and contaminant particles suspended therein so that only a residual layer of the liquid having a thickness of less than 20 angstroms, and having contaminant particles suspended therein remains on a majority of the wafer surface; and irradiating the residual layer of liquid with energy to remove the remaining residual layer.
2. A laser cleaning process for a surface of a semiconductor wafer of claim 1 wherein the residual layer is less than 10 angstroms thick.
3. A laser cleaning process for a surface of a semiconductor wafer of claim 2 wherein the residual layer is a monolayer of molecules adsorbed on the surface of the semiconductor wafer, having the contaminant particles chemisorbed therein.
4. A cleaning process for a surface of a semiconductor wafer having undesirable materials thereon, comprising the steps of: performing a chemical mechanical polish on the surface by applying a liquid thereto, thereby generating ,a plurality of contaminant particles on the surface; removing a majority of the liquid having the plurality of contaminant particles chemisorbed therein so that only a residual layer of the liquid having a thickness of less than 20 angstroms remains on the wafer surface; and irradiating the remaining residual layer of liquid with energy to remove the remaining residual layer.
5. The cleaning process for the surface of the semiconductor wafer of claim 4 wherein the step of performing a chemical mechanical polish includes the step of using a liquid that is one of reactive with the surface or reactive with the particles.
6. The cleaning process for the surface of the semiconductor wafer of claim 4 wherein the step of performing a chemical mechanical polish includes the step of using a liquid that is one of non-reactive with the surface or non-reactive with the particles .
7. A laser cleaning process for a surface of a semiconductor wafer of claim 4 wherein the energy source is one of a photon energy source or an ion energy source .
8. A laser cleaning process for a surface of a semiconductor wafer having undesirable materials thereon, comprising the steps of: performing a chemical mechanical polish on the surface of a semiconductor wafer by applying liquid thereto, thereby generating a plurality of contaminant particles chemisorbed within the liquid; removing a majority of the liquid by spinning the wafer in the horizontal plane so that only a residual layer of liquid characterized as a monolayer of molecules adsorbed on the surface and having the plurality of contaminant particles chemisorbed therein remains on the entire wafer surface; and irradiating the remaining residual layer of liquid with photon energy from the laser to remove the remaining residual layer of liquid and contaminant particles.
9. A laser cleaning process for a surface of a semiconductor wafer having undesirable materials thereon, as claimed in claim 8 further including the step of performing a scrub and removing a majority of the liquid and particles prior to removing a majority of the liquid by spinning .
10. A laser cleaning process for a surface of a substrate having undesirable materials thereon, comprising the steps of: performing a chemical mechanical polish on the surface of the substrate, thereby generating a plurality of contaminant particles on the surface of the substrate; performing a scrub by applying a liquid to the surface of the substrate suspending therein the plurality of contaminant particles, and removing a majority of the liquid and contaminant particles suspended therein; removing a majority of the remaining liquid and contaminant particles suspended therein so that only a residual layer of the liquid having a thickness of less than 10 angstroms and having contaminant particles suspended therein remains on a majority of the wafer surface; and irradiating the residual layer of liquid with photon energy from the laser to remove the remaining residual layer .
PCT/US2002/000584 2001-01-23 2002-01-09 Laser cleaning process for semiconductor material WO2002061811A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002248330A AU2002248330A1 (en) 2001-01-23 2002-01-09 Laser cleaning process for semiconductor material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/768,107 US6494217B2 (en) 1998-03-12 2001-01-23 Laser cleaning process for semiconductor material and the like
US09/768,107 2001-01-23

Publications (2)

Publication Number Publication Date
WO2002061811A2 true WO2002061811A2 (en) 2002-08-08
WO2002061811A3 WO2002061811A3 (en) 2003-03-20

Family

ID=25081538

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/000584 WO2002061811A2 (en) 2001-01-23 2002-01-09 Laser cleaning process for semiconductor material

Country Status (4)

Country Link
US (1) US6494217B2 (en)
AU (1) AU2002248330A1 (en)
TW (1) TWI228265B (en)
WO (1) WO2002061811A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006020333A1 (en) * 2004-08-12 2006-02-23 Applied Materials, Inc. A semiconductor substrate processing apparatus and method thereof
CN108906442A (en) * 2018-08-02 2018-11-30 欣辰卓锐(苏州)智能装备有限公司 One kind can correct mistake dispenser for dispensing glue
CN108993829A (en) * 2018-08-02 2018-12-14 欣辰卓锐(苏州)智能装备有限公司 A kind of control device that can convert colloid solid-liquid form

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003066245A1 (en) * 2002-02-01 2003-08-14 Metastable Instruments, Inc. Method and apparatus for cleaning with electromagnetic radiation
SG114560A1 (en) * 2002-07-31 2005-09-28 Inst Data Storage A method and apparatus for cleaning surfaces
US6924456B2 (en) * 2003-04-21 2005-08-02 Intel Corporation Method and apparatus for particle removal
US20050181116A1 (en) * 2004-02-18 2005-08-18 Rob Worsham Method for coating a medical device using a matrix assisted pulsed-laser evaporation technique and associated system and medical device
US20050181141A1 (en) * 2004-02-18 2005-08-18 Aiden Flanagan Laser-induced explosive vaporization coating method, associated system, and device made by the method
CN1716557A (en) * 2004-02-25 2006-01-04 库力索法投资公司 Laser cleaning system for a wire bonding machine
US20050188921A1 (en) * 2004-02-27 2005-09-01 Anthony Malone Matrix assisted pulsed-laser evaporation technique for coating a medical device and associated system and medical device
TW200633033A (en) 2004-08-23 2006-09-16 Koninkl Philips Electronics Nv Hot source cleaning system
US20060219754A1 (en) * 2005-03-31 2006-10-05 Horst Clauberg Bonding wire cleaning unit and method of wire bonding using same
JP2006317726A (en) * 2005-05-13 2006-11-24 Nec Lcd Technologies Ltd Method for correcting disconnection, method for manufacturing active matrix substrate, and display apparatus
US20070022623A1 (en) * 2005-07-29 2007-02-01 Board Of Regents Of University Of Nebraska Laser surface drying
US8290239B2 (en) * 2005-10-21 2012-10-16 Orbotech Ltd. Automatic repair of electric circuits
US8987632B2 (en) * 2009-10-09 2015-03-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Modification of surface energy via direct laser ablative surface patterning
US9278374B2 (en) 2012-06-08 2016-03-08 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Modified surface having low adhesion properties to mitigate insect residue adhesion
WO2015107325A1 (en) * 2014-01-15 2015-07-23 Woodrow Scientific Limited Methods and apparatus for laser cleaning
TWI651146B (en) * 2017-11-07 2019-02-21 財團法人工業技術研究院 Apparatus for laser cleaning and method thereof
CN109201549B (en) * 2018-08-02 2020-12-25 欣辰卓锐(苏州)智能装备有限公司 Adhesive deposite machine is with revising arm
CN109772820A (en) * 2019-02-21 2019-05-21 天津欧泰激光科技有限公司 A kind of welding wire surface dirt laser cleaning method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5800625A (en) * 1996-07-26 1998-09-01 Cauldron Limited Partnership Removal of material by radiation applied at an oblique angle
US5996594A (en) * 1994-11-30 1999-12-07 Texas Instruments Incorporated Post-chemical mechanical planarization clean-up process using post-polish scrubbing
US6074949A (en) * 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4081653A (en) 1976-12-27 1978-03-28 Western Electric Co., Inc. Removal of thin films from substrates by laser induced explosion
DE2943107C2 (en) 1979-10-25 1984-07-26 Robert 6600 Saarbrücken Langen Procedure for derusting
CA1265209A (en) 1984-02-17 1990-01-30 Robert Langen Process to remove contaminants, particularly rust/from metallic surfaces
US4670637A (en) 1985-02-11 1987-06-02 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for transmitting a laser signal through fog
US4629859A (en) 1985-04-12 1986-12-16 Standard Oil Company (Indiana) Enhanced evaporation from a laser-heated target
US4752668A (en) 1986-04-28 1988-06-21 Rosenfield Michael G System for laser removal of excess material from a semiconductor wafer
US5531857A (en) 1988-07-08 1996-07-02 Cauldron Limited Partnership Removal of surface contaminants by irradiation from a high energy source
US5024968A (en) 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US5643472A (en) 1988-07-08 1997-07-01 Cauldron Limited Partnership Selective removal of material by irradiation
US5099557A (en) 1988-07-08 1992-03-31 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
US4987286A (en) 1989-10-30 1991-01-22 University Of Iowa Research Foundation Method and apparatus for removing minute particles from a surface
US5114877A (en) 1991-01-08 1992-05-19 Xerox Corporation Method of fabricating quantum wire semiconductor laser via photo induced evaporation enhancement during in situ epitaxial growth
EP0666326B1 (en) 1993-12-07 2001-03-28 Toyota Jidosha Kabushiki Kaisha Laser shock processing method utilizing light absorbing material layer of controlled thickness
WO2000074113A1 (en) * 1999-05-27 2000-12-07 Lam Research Corporation Wafer drying apparatus and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5996594A (en) * 1994-11-30 1999-12-07 Texas Instruments Incorporated Post-chemical mechanical planarization clean-up process using post-polish scrubbing
US5800625A (en) * 1996-07-26 1998-09-01 Cauldron Limited Partnership Removal of material by radiation applied at an oblique angle
US6074949A (en) * 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006020333A1 (en) * 2004-08-12 2006-02-23 Applied Materials, Inc. A semiconductor substrate processing apparatus and method thereof
CN108906442A (en) * 2018-08-02 2018-11-30 欣辰卓锐(苏州)智能装备有限公司 One kind can correct mistake dispenser for dispensing glue
CN108993829A (en) * 2018-08-02 2018-12-14 欣辰卓锐(苏州)智能装备有限公司 A kind of control device that can convert colloid solid-liquid form
CN108906442B (en) * 2018-08-02 2019-12-24 欣辰卓锐(苏州)智能装备有限公司 Dispensing machine capable of correcting wrong dispensing

Also Published As

Publication number Publication date
WO2002061811A3 (en) 2003-03-20
US6494217B2 (en) 2002-12-17
US20010011545A1 (en) 2001-08-09
TWI228265B (en) 2005-02-21
AU2002248330A1 (en) 2002-08-12

Similar Documents

Publication Publication Date Title
US6494217B2 (en) Laser cleaning process for semiconductor material and the like
JP6929981B2 (en) Stiction-free drying process with contaminant removal for high aspect ratio semiconductor device construction
US5967156A (en) Processing a surface
EP1421609B1 (en) Process and apparatus for treating a workpiece such as a semiconductor wafer
TWI540658B (en) Cleaning methods, handling devices and memory media
JP2820534B2 (en) Method and apparatus for removing surface contaminants by irradiation
WO1998004366A1 (en) Removal of material by radiation applied at an oblique angle
WO1996041370A1 (en) Removal of material by polarized radiation and back side application of radiation
US20090258159A1 (en) Novel treatment for mask surface chemical reduction
JP2001118817A (en) Surface-purifying device and method
JPH08335563A (en) Method and equipment for removing coat
US5958143A (en) Cleaning process for EUV optical substrates
JP3426560B2 (en) Substrate cleaning method
US20090084754A1 (en) Method and system for manufacturing microstructure
US20080000495A1 (en) Apparatus and method for single substrate processing
CN110299282A (en) The method of substrate cleaning method, substrate cleaning apparatus and manufacturing semiconductor devices
US6265138B1 (en) Process and apparatus for oblique beam revolution, for the effective laser stripping of sidewalls
EP1408534B1 (en) A method and a device for producing an adhesive surface of a substrate
JP2022053517A (en) Unit for removing adhesive layer and method for removing adhesive layer using the same
JP3062337B2 (en) How to remove foreign matter
JP3576216B2 (en) How to clean a synthetic resin storage case
JPH02275631A (en) Method and device for washing and treating substrate
JPH1064865A (en) Manufacture and device for semiconductor device
JPH05283346A (en) Semiconductor manufacturing device
JPH0610361U (en) Film forming equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP