WO2002019364A2 - Inductively coupled plasma using an internal inductive element - Google Patents

Inductively coupled plasma using an internal inductive element Download PDF

Info

Publication number
WO2002019364A2
WO2002019364A2 PCT/US2001/027024 US0127024W WO0219364A2 WO 2002019364 A2 WO2002019364 A2 WO 2002019364A2 US 0127024 W US0127024 W US 0127024W WO 0219364 A2 WO0219364 A2 WO 0219364A2
Authority
WO
WIPO (PCT)
Prior art keywords
inductive element
processing
plasma
processing space
coupling
Prior art date
Application number
PCT/US2001/027024
Other languages
French (fr)
Other versions
WO2002019364A3 (en
Inventor
Jozef Brcka
Original Assignee
Tokyo Electron Limited
Tokyo Electron Arizona, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron Arizona, Inc. filed Critical Tokyo Electron Limited
Priority to AU2001288540A priority Critical patent/AU2001288540A1/en
Publication of WO2002019364A2 publication Critical patent/WO2002019364A2/en
Publication of WO2002019364A3 publication Critical patent/WO2002019364A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • This invention relates generally to semiconductor processing utilizing a plasma, and specifically relates to the improvement of plasma distribution and process performance within a plasma generated and sustained through inductive coupling.
  • Gas plasma generation is widely used in a variety of integrated circuit (1C) fabrication processes, including plasma etching, plasma enhanced chemical vapor deposition (PECVD), and plasma sputter deposition applications.
  • plasmas are produced within a process chamber by introducing a low-pressure process gas into the chamber and then directing electrical energy into the chamber for creating an electrical field therein.
  • the electrical field creates an electron flow within the chamber which ionizes individual gas atoms and molecules by transferring kinetic energy through individual electron-gas molecule collisions.
  • the electrons are accelerated within the electric field, producing efficient ionization.
  • the ionized particles of the gas and free electrons collectively form what is referred to as a gas plasma or discharge.
  • the plasma may exist at various ionization levels from 10 "6 up to fully ionized plasma (based on the fraction of ionized particles with respect to the total number of particles).
  • the plasma particles will generally be positively charged, and are commonly utilized for etching a surface of a substrate within the chamber or depositing a layer of material onto such a substrate.
  • the substrate may be negatively biased such that the positive plasma particles are attracted to the substrate surface to bombard the surface and thus remove surface particles or etch the substrate.
  • a target may be positioned within the chamber opposite the substrate. The target is then biased so that plasma particles bombard the target and dislodge, or "sputter,” target particles therefrom. The sputtered target particles then deposit upon the substrate to form a material layer on an exposed surface thereof.
  • iPVD ionized sputter deposition
  • the sputtered particles are themselves ionized before they are deposited.
  • the electrically neutral, active radicals form a deposited layer on exposed surfaces.
  • a pair of opposing electrodes might be oriented within the chamber to capacitatively couple energy to the plasma.
  • a microwave resonant chamber utilizing ultra-high frequency microwave fields might also be utilized.
  • Electron cyclotron resonance (ECR) devices use controlled magnetic fields in conjunction with microwave energy to induce circular electron flow within a process gas to create and sustain a plasma.
  • ICPs Inductively coupled plasmas
  • an inductive element such as a shaped coil or antenna positioned with respect to the processing chamber to inductively couple energy into the processing chamber and thus create and sustain a plasma therein.
  • an inductive coil or antenna is positioned proximate the top portion of the chamber to create a plasma within the chamber. More specifically, the antenna is positioned on one side of a dielectric plate or window at the top of the processing chamber, and electromagnetic energy from the antenna is coupled through the dielectric window and into the plasma.
  • U.S. Patent No. 5,556,521 which is commonly owned with the present application.
  • a helical or solenoidal- shaped coil is wound around the outside of a sidewall portion of the processing chamber to inductively couple energy to the plasma through the chamber sidewall, rather than through the top of the chamber.
  • a portion of the chamber sidewall is fabricated from a dielectric material through which the inductively coupled energy may pass.
  • a dielectric material for a window or chamber sidewall is quartz.
  • the geometry of an ICP system is a significant factor in determining both the plasma density and uniformity, and ultimately, the processing uniformity over the area of the substrate. For current processes, it is desirable to produce a uniform, high-density plasma, over a significantly large area so that large substrate sizes might be accommodated. For example, manufacturing of today's ultra large-scale integrated (ULSI) circuits requires a dense, uniform plasma over large substrates having diameters of approximately 200 mm or greater.
  • ULSI ultra large-scale integrated
  • the plasma is excited by heating or exciting electrons in the plasma region of the processing chamber.
  • the inductive currents which heat the plasma electrons are derived from oscillating magnetic fields which are produced proximate the inside of the dielectric window or sidewall by RF currents within the inductive antenna or coil.
  • the spatial distribution of those magnetic fields is a function of the sum of the individual magnetic fields produced by each portion or segment of the antenna or coil conductor. Therefore, the geometry of the inductive antenna or coil significantly determines the spatial distribution of the plasma, and particularly the spatial distribution and uniformity of the plasma ion density within the process chamber.
  • an antenna having an 'S' shape such as that disclosed in U.S. Patent No.
  • the process chamber For example, within the confines of existing ICP systems and antenna configurations, it is difficult to scale the process chamber to a larger size for handling larger substrates without significantly increasing the dimensions of the antenna or coil.
  • An ICP antenna with a larger footprint must be accommodated with expensive modification to the processing system.
  • larger antennas and their associated plasmas exhibit greater sensitivity to process parameters within the chamber.
  • the plasma process such as an etch or deposition process, becomes more sensitive to process parameters such as the substrate-to-target distance within a sputtering system, the target material within a sputtering system, the pressure within the process chamber, and the height and width configuration of the chamber.
  • planarspiral antennas have exhibited asymmetry wherein the distribution of the plasma is not aligned with the central axis of the chamber. Such plasma asymmetry degrades the uniformity of the plasma and the uniformity of the deposition or etch process, thereby affecting the overall system efficiency.
  • planar antennas may exhibit a ring or doughnut-shaped plasma for one process and corresponding set of parameters, while creating a centrally peaked plasma for another process and other parameters. Accordingly, the plasma shape and uniformity is not consistent within such ICP systems and will be process dependent. Therefore, the overall IC fabrication process will not be consistent from one plasma process to another plasma process.
  • planar antenna systems utilizing an S-shaped antenna or coil is that the outer portions of the coil marginally affect the plasmas created by the central region of the coil, thus giving an azimuthal dependence within the plasma, and a corresponding azimuthal dependence in the etched or deposited films on the substrate. That is, along one axis of the plane defined by the coil, the plasma will have a different uniformity and density than along another planar axis of the coil.
  • Another concern with inductively coupled plasmas is to ensure that energy is predominantly inductively coupled into a plasma rather than predominantly capacitively coupled. Capacitive coupling between the inductive element and the plasma is undesirable. While Faraday shields may be used to reduce capacitive coupling, it is still desirable to improve on the design of such shields within a processing system.
  • a processing system for processing a substrate with an ionized plasma comprises a processing chamber defining a processing space with a substrate support therein for supporting a substrate in the processing space.
  • a gas inlet introduces process gas into the space and a plasma source is operable for creating an ionized plasma therein.
  • the plasma source comprises an inductive element operable for coupling electrical energy into the processing space to create an ionized plasma therein.
  • the inductive element winds around a portion of the processing space inside the processing chamber such that the inductive element is internally contained. In that way, the inductive element is exposed directly to the plasma in the processing space, rather than having to couple electromagnetic energy through a portion of the processing chamber.
  • the inductive element is encased inside a dielectric material to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space.
  • a dielectric material assembly includes an inner subassembly, an outer subassembly, and a middle subassembly.
  • the inductive element is configured around the middle subassembly, wherein the outer subassembly isolates the inductive element from the grounded processing chamber, while the inner subassembly isolates the inductive element from the processing space and plasma.
  • the present invention may be suitably used with a number of different inductive element shapes.
  • One suitable shape comprises a coil with multiple coil turns wherein the turns include segments oriented along a chamber sidewall portion and segments oriented along a chamber end wall portion for coupling energy simultaneously into the processing space through both the sidewall and end wall portions of the chamber.
  • a dielectric envelope is positioned within the processing space and surrounds a portion of the inductive element.
  • the envelope encases the element against the processing chamber and isolates the element from the processing space.
  • a dielectric epoxy or ⁇ other suitable insulating material fills the inside of the envelope for further encasing the inductive element.
  • a DC electrical energy source is electrically coupled to the inductive element at a point along the inductive element, and a ground reference is electrically coupled to the inductive element at another point along the inductive element.
  • the DC source is operable for enhancing the magnetization of the inductive element to reduce the capacitative coupling of energy between the inductive element and the plasma, thereby protecting the inductive element exposed to the plasma and the processing space of the chamber.
  • Inductors are electrically coupled between the inductive element and the DC source and ground to further enhance the magnetization of the inductive element.
  • an electrostatic shield is utilized with the plasma source to enhance inductive coupling of energy into the processing space.
  • the electrostatic shield comprises a body having opposing face surfaces wherein at least one bore is formed in the shield along the body and between the face surfaces.
  • a slot is formed in each of the face surfaces proximate the bore and communicating with the bore to form a passage through the shield.
  • the shield enhances inductive coupling and the unique slots prevent a line-of-sight pathway therethrough to effectively trap particles that might otherwise penetrate the shield and generally prevent transmission of plasma particles through the shield.
  • Figure 1 is a front cross-sectional view of a processing system in accordance with one aspect of the present invention.
  • Figure 1A is an exploded perspective view of elements of the processing system illustrated in Figure 1.
  • Figure 2 is an exploded perspective view of an alternative embodiment
  • Figures 2A, 2B, and 2C are perspective views of targets which may be utilized with the embodiment of the processing system illustrated in Figure 2.
  • Figure 3 is a front cross-sectional view of another alternative embodiment of the present invention.
  • Figure 4 is a perspective view, partially cut away, of another embodiment of the present invention.
  • FIGS. 4A, 4B, and 4C are illustrative schematic diagrams of biased networks for an inductive element in accordance with the principles of the present invention.
  • FIG. 5 is the perspective view of electrostatic shields and inductive elements in accordance with one embodiment of the present invention.
  • FIGS 5A, 5B, and 5C illustrate bore and slot patterns for an electrostatic shield in accordance with the principles of the present invention.
  • Figure 6 is a perspective view of a section of an electrostatic shield with an ignition volume in accordance with one aspect of the present invention.
  • Figure 6A is a side view, of a cut away portion of an electrostatic shield, as illustrated in Figure 6.
  • Figure 1 illustrates in cross-section a processing system 12 in accordance with one embodiment of the present invention.
  • System 12 includes a processing chamber 13 which defines a processing space 14 therein.
  • Processing system 12 and the concept embodied therein would be suitable for use with a variety of inductive elements, such as the inductive elements shown and described in U.S. Patent Application Serial No. 09/277,526.
  • One possible inductive element is shown in system 12 for illustrative purposes and is not meant to be limiting.
  • a substrate support 17 in space 14 is configured for supporting a substrate 18 to be processed.
  • the substrate support 17 could be any appropriate support and may be associated and operably coupled with other subsystems (not shown) which are known in the art, such as an electrostatic chuck device, an RF or DC biasing source, a heater, and back plane gas system.
  • One or more inlets 20 are coupled to a process gas supply 22, such as an argon gas supply, for introducing a process gas into the processing space 14 to form a plasma.
  • Chamber 13 is a vacuum chamber which is sealed against atmospheric pressure. Accordingly, chamber 13 will be coupled to an appropriate vacuum or pumping system (not shown) as is known in the art.
  • the plasma source is immersed in the vacuum processing space 14.
  • the source comprising an inductive element, dielectric material encasing the inductive element, and one or more electrostatic shields, couples energy directly into the processing space from inside of the chamber. Therefore, the chamber may utilize a full metal design. Generally the chamber will be grounded. The internal dimensions and shape of the chamber will fit the shape of the elements of the plasma source to eliminate any stray plasma discharges away from the processing space 14 and the substrate 18 to be processed. Chamber 13 may have a circular shape, or any other appropriate shape suitable to process a substrate.
  • the plasma may be a unitary structure or multiple structures which couple together.
  • the plasma source may be coupled in one structure or module which then mounts to a main chamber housing the substrate support 17.
  • targets will also be appropriately mounted with the chamber to be exposed to the plasma in processing space 14.
  • System 12 includes one embodiment of a suitable inductive element 24 which extends simultaneously around the top wall 26 and sidewall 28 inside chamber 13 and within the processing space 14.
  • the inductive element is external of the chamber 13 and space 14.
  • the inductive element 24 is internally located in chamber 13 and is directly exposed to the processing space 14 and any plasma therein.
  • the element 24 is encased inside dielectric material to physically separate the element from space 14 and any plasma sustained therein.
  • the encased element 24, however, is still operable for coupling energy directly into the plasma through the dielectric material, because the inductive element is internally located, with respect to processing space 14.
  • the chamber 13 may be made completely of a suitable metal, such as stainless steel, without the need for a dielectric window or other dielectric portion as part of the chamber.
  • the inductive element may be any of several inductive elements disclosed herein or in U.S. Patent Application Serial No. 09/277,526.
  • the inductive element 24 is coupled through an appropriate matching unit 29a to a source of electrical energy, such as RF power supply 29b, which biases the inductive element 24 to create varying RF magnetic fields in the processing space 14 for forming a plasma (not shown) therein, in accordance with known ICP principles.
  • the matching unit is an electrical circuit well known to those of ordinary skill in the art to accommodate the impedances of the RF power supply 29b and the inductive element 24 to provide maximum delivered power to the element 24 and into a plasma under various conditions.
  • the plasma is then utilized for processing substrate 18, such as by plasma etching or deposition, according to principles and techniques well known to a person of ordinary skill in the plasma processing art.
  • the inductive magnetic fields, created by RF currents within the inductive element 24, are coupled into space 14 through a dielectric structure 30 encasing element 24.
  • the structure 30 is formed of a dielectric material and encases the element and protects it from the plasma in space
  • the structure 30 includes multiple pieces which cooperate.
  • Faraday shields To enhance inductive coupling into processing space 14, one or more Faraday shields might be used.
  • An upper Faraday shield 35 is shown positioned proximate an upper portion of the chamber and the processing space and a lower Faraday shield 36 is positioned in the chamber proximate a lower portion of the space 14.
  • the Faraday shields are placed between any inductive elements, such as element 24, and the processing space 14 where the plasma is generated.
  • Faraday shields are known in the art and effectively provide improved inductive coupling of energy from element 24, across the dielectric window, and into the processing space. Faraday shields also reduce undesired capacitive coupling between the inductive elements and the plasma.
  • the Faraday shields will include a plurality of slots 37, 38 formed in the shields, as illustrated in shields 35, 36 of Figure 1.
  • the slots 37, 38 are oriented generally perpendicular to coil turns of the inductive element 24.
  • the inductive element 24 which might also be referred to as an antenna, is shown in the form of a coil having multiple coil turns.
  • the terms "inductive element” and “antenna” are used interchangeably herein.
  • the inductive element is formed of an electrical conductor according to principles known in the art.
  • the conductor such as elongated metal wire or metal tubing, is configured and shaped in accordance with the principles of the present invention to form an element which will inductively couple energy into a processing chamber when an electrical current is passed through the element.
  • the conductor is wound or shaped as desired, depending upon the processing space, substrate and plasma parameters which are required, for example.
  • processing system 12 also incorporates a cooling ring 40 having an internal cooling passage 42 through which water or another cooling liquid may be passed. Cooling ring 40 may be utilized to cool the processing chamber 13 during plasma processing. Other cooling apparatus might also be used.
  • Processing chamber 13 will also be coupled to an appropriate vacuum system (not shown), as noted. Chamber 13 further includes appropriate feed-throughs for the opposing terminals 25a, 25b of the inductive element 24. Insulators 27 insulate the terminals from the grounded processing chamber 13.
  • the processing system will generally incorporate control and monitoring systems necessary for a plasma processing system such as a pressure control system, an electrostatic chuck temperature control system, and other systems (not shown) which would be known to a person of ordinary skill in the art.
  • the dielectric structure 30 encasing the inductive element includes multiple pieces which nest together to encase the element 24 and prevent stray plasma discharges outside of the main processing space proximate substrate 18.
  • FIG 1A an exploded view of components of system 12 are shown.
  • the embodiment of dielectric structure 30 illustrated includes an outer dielectric subassembly 50, a middle subassembly 52, and an inner subassembly 54.
  • the inductive element 24 is wound around the middle subassembly as shown.
  • the various subassemblies 50, 52, and 54 which encase the inductive element are appropriately shaped depending upon the shape of the inductive element which is utilized.
  • the inductive element may take various different appropriate shapes.
  • Inductive element 24 illustrated in Figures 1 and 1A utilizes a series of coils which wind and extend proximate both the top wall 26 and side wall 28 of the processing chamber 13 inside the chamber.
  • each subassembly generally includes a top or upper portion and a side portion. Those portions may be unitary or separate pieces, as shown.
  • outer subassembly 50 includes portions 50a and 50b which cooperate with each other to encase the various coil turns of element 24.
  • inductive element 24 includes coil turns which also have top coil portions 24a and side coil portions 24b. To that end, portion 50a of the subassembly 50 is used to encase the top coil portions and also part of the side coil portions, while portion 50b of subassembly 50 is used to encase side coil portions 24b.
  • the various portions 50a, 50b of the subassembly 50 are dimensioned for cooperating to encase the inductive element 24.
  • the sub-assemblies may take other shapes in accordance with the invention, depending upon the inductive element used.
  • Subassembly 52 is shown as a unitary piece including a top portion 52a and a side portion 52b. Inductive element 24, in the form of a coil, is actually wound around subassembly 52. Subassembly 52 is then dimensioned to nest inside of subassembly 50, as illustrated in Figure 1.
  • the inner subassembly 54 includes a top portion 54a and a side portion 54b. Portions 54a and 54b are configured and dimensioned to cooperate and to nest inside of subassembly 52, as illustrated in the Figures. With all of the subassemblies nested together, the element 24 is encased and is isolated from a plasma in processing space 14.
  • Inductive element 24 and the various subassemblies, 50, 52, and 54, which encase the inductive element within the plasma processing space, all are suitably dimensioned in accordance with known principles for providing a desirably dense plasma within the processing space.
  • the plasma flux, utilizing an inductive element shaped like 24 shown in the figures, is affected by ratios between the diameter and height of the inductive element, as reflected by the dimensions of the coil turns. Therefore, a suitable dimension may be empirically determined for the encased inductive element 24.
  • inductive element 24 may utilize a hollow pipe-like conductor which is wound in the desired shape.
  • the inner dielectric subassembly 54 may be relatively thin compared to subassembly 50, and may have a wall thickness in the range of approximately 3-6 mm.
  • the element 24 is shown wound around subassembly 52.
  • Subassembly 52 separates the inductive element from the plasma within processing space 14.
  • Subassembly 52 may utilize grooves therein, either externally or internally, along which the conductorforming inductive element 24 is shaped, as illustrated in Figure 1A.
  • the conductor is wound around grooves within subassembly 52 for forming the desired shape of the inductive element 24.
  • Subassembly 52 provides mechanical support for the inductive element.
  • the thickness of subassembly 52 may be suitably determined.
  • the dielectric assembly 52 with a wall thickness in the range of 5-10 mm may be suitable for mechanically supporting the inductive element.
  • subassembly 50 is generally thicker than the other subassemblies encasing the inductive element.
  • subassembly 50, and specifically portions 50a and 50b thereof, may have a wall thickness of greater than 20 mm.
  • the various subassemblies, 50, 52, and 54, are shaped appropriately for adequately encasing and supporting the inductive element 24 while preventing a plasma from being struck and maintained within the dielectric structure 30 wherein it might affect the inductive element 24.
  • Top portion 50a of subassembly 50 includes appropriately formed apertures 60 to align with aperture 61 in the processing chamber 30 for the insulative elements 27 and the pass-through of the inductive element terminals 25a and 25b through the subassembly 50 and processing chamber 13.
  • the dielectric structure 30 encasing the inductive element 24 will also protect the insulative elements from the sputtering or coating associated with the plasma process being performed.
  • the dielectric subassemblies may be formed of a suitable ceramic material.
  • the inner subassembly 54 may be quartz. Quartz provides optimum results for RF field coupling from element 24.
  • An electrostatic shield assembly 62 comprises a top electrostatic shield 62a and a side shield 62b.
  • the shields are appropriately slotted with slots 37 and 38, as discussed above.
  • the shields 62a, 62b are configured and dimensioned to further nest inside of the inner subassembly 54 between the inductive element 24 and the processing space 14. While the shields 62a and 62b are shown in separate pieces, they might also be fabricated as a single, or unitary piece.
  • the processing chamber 13 might be appropriately configured for housing one or more targets of material to be sputtered.
  • the processing chamber 13 might be configured to have openings or apertures 66 therein for receiving one or more target assemblies.
  • Each of the subassemblies, 50, 52, 54 and the shield assembly 62 are appropriately formed to expose the target assembly 68 to the plasma processing space 14 and the plasma therein for the purposes of sputtering.
  • the top portions or sections of the assemblies are open to couple the targets with the processing space 14.
  • top portion 50a has cut-out sections 51 on either side through which the target assembly 68 may extend into the processing space.
  • the other subassemblies and electrostatic shield assembly are similarly formed with appropriate cut-out sections for providing access between the processing space 14 and the target assembly 68, as illustrated in Figure 2.
  • each target assembly may include an appropriate target 68a of material to be sputter deposited upon substrate 118.
  • the target 68a is appropriately attached to a cooling plate 68b through which cooling fluid is circulated by means of a circulation system 69.
  • the cooling plate 68b is fixed to a back plate 68c.
  • the target material 68a is DC biased. Therefore, an appropriate DC connection 70 is coupled to target 68a through plates 68b, 68c and to an appropriate DC power supply (not shown).
  • Figures 2A, 2B, and 2C illustrate various different shapes of targets which may be utilized within the system of 12a, illustrated in Figure 2 for desired deposition parameters.
  • the target might be a flat target 72, as illustrated in Figure 2B.
  • the target might have a concave shape, as illustrated in Figures 2A and 2C.
  • Target 74 in Figure 2A has a concave surface 74a relative to the end surfaces 74b.
  • target 76 has a concave surface formed by surface 76a and angled surfaces 76b.
  • the concave shape of the targets will modify and improve the directivity of the sputtered flux from the target toward the central processing (ionization) zone. Therefore, the concave-shaped targets provide better geometrical confinement of the sputtered particles.
  • Figure 3 illustrates another embodiment of the present invention wherein the inductive element is encased inside dielectric material to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space.
  • the inductive element is located inside of the processing chamber and is thereby exposed directly to the plasma environment.
  • the processing system 80 incorporates a processing chamber 82.
  • System 80 and processing chamber 82 will incorporate various similar components as illustrated in system 12 of Figure 1 discussed hereinabove for accomplishing plasma processing, such as etching or deposition.
  • like elements which have previously been discussed with respect to Figures 1 , 1A, and 2, will be given similar reference numerals in Figure 3, even though the shape of one or more of those elements may be slightly different due to the alternative embodiment illustrated within Figure 3.
  • the dielectric material encasing the inductive element comprises a dielectric envelope 84 which surrounds the inductive element 24 along the top wall and side wall of chamber 82.
  • the envelope 84 may be made of quartz or some other suitable ceramic material.
  • Envelope 84 is constructed in accordance with the internal shape of chamber 82, and also the shape of the inductive element. To that end, the envelope 84 may take various suitable forms in accordance with the principles of the present invention and depending upon the shape of the inductive element. Envelope 84 cooperates with chamber 82 to provide a volume in which the inductive element 24 is encased.
  • the volume is filled with an epoxy 86 which surrounds inductive element 24 and further encases the inductive element within a dielectric material.
  • the epoxy is filled into the envelope 84 in a liquid or semi-liquid form, and then is allowed to dry at a reduced pressure in the chamber. Rough vacuum conditions may be suitable for allowing the epoxy to out-gas while it solidifies. Other suitable insulating materials may be used to fill space inside envelope 84.
  • the grounded chamber 82 acts as one side of the encasing for the inductive element. Alternatively, additional ground shields (not shown) might be utilized against the top and side walls of the chambers for further encasing
  • a grounded electrostatic shield 88 is positioned on the inside of the envelope 84 as discussed above.
  • shield 88 might be a unitary piece with slots 89 for the coil turns 91 extending along the top wall and part of the side wall of chamber 82, and slots 90 for turns 93 extending along the side wall of the chamber.
  • a seal 94 might be utilized between the envelope 84 and the other portions of the chamber, such as the cooling ring 40.
  • a similar seal 31 is shown in system 12 of Figure 1.
  • Figure 4 illustrates another embodiment of the present invention which utilizes a self-magnetized inductive element 24 which is not encased within a dielectric material, yet is positioned inside the processing chamber and is exposed to the processing space.
  • a DC electrical energy source is electrically coupled to the inductive element 24 at a point along the inductive element and a ground reference is electrically coupled to the inductive element at another point along the element.
  • the DC source is operable for enhancing the magnetization of the inductive element to reduce the capacitive coupling of energy between the inductive element and the plasma. In that way, the effects of localized capacitive coupling are reduced and sputtering of the inductive element is also reduced.
  • the inductive element may be exposed directly to the plasma inside of the chamber without being encased in dielectric material.
  • inductive element 24 is positioned inside chamber 13 and is exposed to the processing space 14 without being encased in dielectric material. Therefore, during plasma processing, the inductive element 24 is exposed to the plasma. The inductive element is protected electrically rather than being physically covered.
  • Figures 4A, 4B, and 4C illustrate various embodiments of the invention wherein the inductive element is DC biased in different ways to operate in a push-pull configuration. Such a configuration creates conditions wherein the conductive element acts as a one-half wave resonator. To that end, inductors and capacitors are coupled between the DC power supply and the terminals of the inductive element and other points along the element at which the DC power supply or a ground reference are coupled.
  • a DC source 98 is coupled to one point along the inductive element, such as terminal 25a. Another point along the inductive element, such as terminal 25b is grounded to a ground reference 100.
  • Inductive element 24 is RF biased by an RF power supply (not shown in Figure 4A) which is coupled to element 24 through a suitable matching network 102.
  • the matching network 102, or MNU will usually contain various capacitive elements 103 for desired frequency matching.
  • the DC power supply 98 is coupled to terminal 25a, through inductor 104, and terminal 25b is also grounded through an inductor 105.
  • a capacitor 106 extends between the DC source 98 and the ground reference 100.
  • the chamber 13 is also grounded.
  • the capacitive currents within the inductively coupled plasma may be compensated inside of the inductive element 24.
  • the plasma will not have any significant capacitive coupling to the ground reference at the excitation frequency utilized to excite the inductive element. Therefore, the plasma potential is expected to be low.
  • the configurations illustrated in Figures 4A, 4B and 4C enhance magnetization of the inductive element 24 and thereby provide a plasma electron confinement. That is, electron losses from the plasma are reduced. In that way, the effects of localized capacitive coupling between the inductive element 24 and a plasma are reduced, and sputtering of the inductive element 24 by the plasma is also reduced.
  • DC source is coupled to both of the terminals 25a, 25b through inductors 104, 108. That is, both of the opposing terminals of inductive element 24 are electrically coupled to the DC source 98. A point along the inductive element 24 between the opposing terminals 24a, 24b is then coupled to a ground reference through inductor 105, as illustrated in Figure 4B. For example, the grounded point 110 might be midway between the opposing terminals 25a, 25b of the inductive element 24.
  • Figure 4C illustrates yet another embodiment wherein the DC source is coupled to point 110 between the terminals, whereas the terminals 25a, 25b are grounded through inductors
  • FIGS 5, 5A, 5B, and 5C illustrate, in accordance with another aspect of the present invention, electrostatic shields for reducing capacitive coupling between the inductive element and a plasma within a processing chamber.
  • electrostatic shields of the invention utilize a unique construction which improves plasma ignition, allows a low power capacitive plasma to be sustained, is not particularly complex to manufacture and may be adequately cooled. It is often desirable to maintain a capacitive plasma at very low RF power levels (below 100 Watts) during loading and unloading wafers from a processing chamber.
  • FIG. 5 illustrates two electrostatic shield embodiments formed in accordance with the principles of the present invention.
  • Shield 120 may be utilized with an inductive element 122 which utilizes a ring-shaped pattern of repeated element segments 124. Similar alternative of such inductive elements are described in U.S. Patent Application Serial No. 09/277,526.
  • Shield 120 will generally be positioned proximate a top wall of a processing chamber, and will be suitably encased in a dielectric material in accordance with the invention.
  • Shield 126 may be utilized to extend around the side walls of a processing chamber to be utilized with a cylindrical or helical inductive element, such as a helical coil (not shown). In both cases, with shields 120 and 126, the various slots formed in the shield are generally perpendicular to the conductor segments of the inductive elements, such as inductive element 122. In forming the shields 120, 126, a metallic shield made of a nonmagnetic electrically conductive material, such as copper or silver plated copper, is drilled, forming holes therein. Other materials, such as aluminum or molybdenum may be used.
  • the shield should inhibit contamination in the chamber due to flaking of sputtered material from the shield and thus it should have good adherence properties and should be compatible with any chemical processes.
  • Various bores 130 are drilled in shield 120 and extend radially out from a center of the shield within the plane of the shield 120.
  • Slits 132 are then formed in opposing face surfaces 120a, 120b of the shield. The slits are formed to cooperate with the bores 130.
  • the slits in opposing face surfaces are positioned on opposite sides of the bores, so that no line-of-sight paths exist through the shield. (See Figures 5A, 5B, and 5C.)
  • Various different orientations of the slots with respect to adjacent bores are shown in Figures 5A, 5B, and 5C.
  • the slots 132 are positioned in the face surface 120a on the side of a bore 130 opposite slots 134 formed in face surface 120b.
  • Figure 5A illustrates an embodiment wherein each of the sets of slots are similarly oriented in adjacent bores.
  • Figures 5B and 5C illustrate an alternative design of the shield wherein the slots of adjacent bores are next to each other in the various face surfaces of the shield. That is, every other bore is flipped 180° in orientation, such that the slots of adjacent bores are next to each other in one face surface and more separated in another face surface.
  • shield 126 is formed similarly with the patterns of bores and slots as discussed above. However, the various bores 140 and slots 142 are oriented generally parallel to the main axis of the cylindrical shield 126.
  • the shields 120, 126 are mechanically robust and provide no line-of- sight pathway through the shield. In that way, the shields are effective in trapping particles which may otherwise penetrate the shield, and the shields have proven particularly efficient in generally preventing and reducing the transmission of plasma particles therethrough.
  • the shield utilizing the unique bore and slot arrangements, as illustrated in Figures 5, 5A, 5B, and 5C, also may be modified to provide an ignition volume for ignition of a plasma at low power levels. Referring to Figures 6 and 6A, one or more open spaces may be formed within a shield 160 to couple with one or more of the slots 152 formed therein.
  • Shield 160 is similarly fabricated as discussed above, and includes bores 156 and a plurality of slots 152, 154, formed in the opposing face surfaces 160a, 160b of the shield.
  • the open spaces 150 which may be generally rectangular, for example, as illustrated in Figure 6, create an ignition volume within the shield 160 to provide for capacitive plasma ignition.
  • the open spaces 150 are formed in the shield 160 on the side of the shield facing the inductive element, such as element 24 discussed above. (See Figure 6A.)
  • the open spaces provide a larger open area adjacent to one or more of the slots 152 for plasma ignition. At low power levels, such as under 50 Watts, a capacitive plasma will ignite in the ignition volumes or open spaces 150.
  • a suitable shield can utilize one or more specific ignition volumes, as shown in Figure 6.
  • the conductor segments 170 of an inductive element which will generally extend perpendicularly to the various slots 152, 154 may be dimensioned larger proximate the ignition volumes 150 for further assisting in the creation of a capacitive plasma.
  • Figure 6A illustrates an inductive element 24 having an enlarged section 172 directly adjacent to ignition volume 150.
  • the electrostatic shield will generally be grounded. However, an electrically floating shield might also be useful in some applications. Furthermore, utilizing the inductive elements without a shield is also possible.

Abstract

A processing system (12) for processing a substrate with an ionized plasma comprises a processing chamber (13) defining a processing space (14) and including a substrate support (17) therein for supporting a substrate (18) in the processing space. A gas inlet (20) introduces a process gas into said processing space (14) and a plasma source is operable for creating an ionized plasma in the processing space from process gas introduced therein. The plasma source comprises an inductive element (24) operable for coupling electrical energy into the processing space to create an ionized plasma therein. The inductive element (24) winds around a portion of the processing space (14) inside the processing chamber (13) and is encased inside a dielectric material (30) to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space. Alternatively, the inductive element is coupled to a DC power supply (98) for enhancing the magnetization of the inductive element (24) to reduce the capacitive coupling of energy between the inductive element and the plasma.

Description

PROCESS APPARATUS AND METHOD FOR IMPROVING PLASMA
DISTRIBUTION AND PERFORMANCE IN AN INDUCTIVELY COUPLED
PLASMA USING AN INTERNAL INDUCTIVE ELEMENT
Field of the Invention:
This invention relates generally to semiconductor processing utilizing a plasma, and specifically relates to the improvement of plasma distribution and process performance within a plasma generated and sustained through inductive coupling. Background of the Invention:
Gas plasma generation is widely used in a variety of integrated circuit (1C) fabrication processes, including plasma etching, plasma enhanced chemical vapor deposition (PECVD), and plasma sputter deposition applications. Generally, plasmas are produced within a process chamber by introducing a low-pressure process gas into the chamber and then directing electrical energy into the chamber for creating an electrical field therein. The electrical field creates an electron flow within the chamber which ionizes individual gas atoms and molecules by transferring kinetic energy through individual electron-gas molecule collisions. The electrons are accelerated within the electric field, producing efficient ionization. The ionized particles of the gas and free electrons collectively form what is referred to as a gas plasma or discharge. The plasma may exist at various ionization levels from 10"6 up to fully ionized plasma (based on the fraction of ionized particles with respect to the total number of particles).
The plasma particles will generally be positively charged, and are commonly utilized for etching a surface of a substrate within the chamber or depositing a layer of material onto such a substrate. Within an etching process, the substrate may be negatively biased such that the positive plasma particles are attracted to the substrate surface to bombard the surface and thus remove surface particles or etch the substrate. In a sputter deposition process, a target may be positioned within the chamber opposite the substrate. The target is then biased so that plasma particles bombard the target and dislodge, or "sputter," target particles therefrom. The sputtered target particles then deposit upon the substrate to form a material layer on an exposed surface thereof. In ionized sputter deposition, hereinafter referred to as iPVD, the sputtered particles are themselves ionized before they are deposited. In a plasma enhanced CVD process, the electrically neutral, active radicals form a deposited layer on exposed surfaces. Generally, there are various different ways of producing a plasma within a process chamber. For example, a pair of opposing electrodes might be oriented within the chamber to capacitatively couple energy to the plasma. A microwave resonant chamber utilizing ultra-high frequency microwave fields might also be utilized. Electron cyclotron resonance (ECR) devices, on the other hand, use controlled magnetic fields in conjunction with microwave energy to induce circular electron flow within a process gas to create and sustain a plasma. Inductive coupling processes are also popular, and are particularly desirable for their capability of producing high-density plasmas. Inductively coupled plasmas (ICPs) generally utilize an inductive element such as a shaped coil or antenna positioned with respect to the processing chamber to inductively couple energy into the processing chamber and thus create and sustain a plasma therein. For example, in one particular design for an inductively coupled plasma (ICP) system, an inductive coil or antenna is positioned proximate the top portion of the chamber to create a plasma within the chamber. More specifically, the antenna is positioned on one side of a dielectric plate or window at the top of the processing chamber, and electromagnetic energy from the antenna is coupled through the dielectric window and into the plasma. One such design is illustrated in U.S. Patent No. 5,556,521 which is commonly owned with the present application.
In an alternative ICP processing system, a helical or solenoidal- shaped coil is wound around the outside of a sidewall portion of the processing chamber to inductively couple energy to the plasma through the chamber sidewall, rather than through the top of the chamber. In such a system, a portion of the chamber sidewall is fabricated from a dielectric material through which the inductively coupled energy may pass. One suitable dielectric material for a window or chamber sidewall is quartz. Various ICP systems are known and utilized in the art, as evidenced by various issued patents directed to particular ICP details, such as plasma uniformity, RF matching, and the performance characteristics of the antennas or other inductive elements. The geometry of an ICP system is a significant factor in determining both the plasma density and uniformity, and ultimately, the processing uniformity over the area of the substrate. For current processes, it is desirable to produce a uniform, high-density plasma, over a significantly large area so that large substrate sizes might be accommodated. For example, manufacturing of today's ultra large-scale integrated (ULSI) circuits requires a dense, uniform plasma over large substrates having diameters of approximately 200 mm or greater.
More specifically, in an ICP system, the plasma is excited by heating or exciting electrons in the plasma region of the processing chamber. The inductive currents which heat the plasma electrons are derived from oscillating magnetic fields which are produced proximate the inside of the dielectric window or sidewall by RF currents within the inductive antenna or coil. The spatial distribution of those magnetic fields is a function of the sum of the individual magnetic fields produced by each portion or segment of the antenna or coil conductor. Therefore, the geometry of the inductive antenna or coil significantly determines the spatial distribution of the plasma, and particularly the spatial distribution and uniformity of the plasma ion density within the process chamber. As one example, an antenna having an 'S' shape, such as that disclosed in U.S. Patent No. 5,669,975, establishes a significant ion density in the central area of the antenna. At higher RF power levels, the outer portions of the antenna will also contribute significantly to plasma ionization. While a significant advantage of an ICP system utilizing such an antenna is the linearity of the system with respect to the power delivered to the antenna and also the radius of the process chamber, and while the current ICP systems and antenna designs utilized therein have provided sufficient plasma generation, such systems still have certain drawbacks.
For example, within the confines of existing ICP systems and antenna configurations, it is difficult to scale the process chamber to a larger size for handling larger substrates without significantly increasing the dimensions of the antenna or coil. An ICP antenna with a larger footprint must be accommodated with expensive modification to the processing system. Furthermore, larger antennas and their associated plasmas exhibit greater sensitivity to process parameters within the chamber. For example, the plasma process, such as an etch or deposition process, becomes more sensitive to process parameters such as the substrate-to-target distance within a sputtering system, the target material within a sputtering system, the pressure within the process chamber, and the height and width configuration of the chamber.
Furthermore, current ICP systems utilizing planarspiral antennas have exhibited asymmetry wherein the distribution of the plasma is not aligned with the central axis of the chamber. Such plasma asymmetry degrades the uniformity of the plasma and the uniformity of the deposition or etch process, thereby affecting the overall system efficiency. Still further, planar antennas may exhibit a ring or doughnut-shaped plasma for one process and corresponding set of parameters, while creating a centrally peaked plasma for another process and other parameters. Accordingly, the plasma shape and uniformity is not consistent within such ICP systems and will be process dependent. Therefore, the overall IC fabrication process will not be consistent from one plasma process to another plasma process.
Another drawback with planar antenna systems utilizing an S-shaped antenna or coil, is that the outer portions of the coil marginally affect the plasmas created by the central region of the coil, thus giving an azimuthal dependence within the plasma, and a corresponding azimuthal dependence in the etched or deposited films on the substrate. That is, along one axis of the plane defined by the coil, the plasma will have a different uniformity and density than along another planar axis of the coil. Another concern with inductively coupled plasmas is to ensure that energy is predominantly inductively coupled into a plasma rather than predominantly capacitively coupled. Capacitive coupling between the inductive element and the plasma is undesirable. While Faraday shields may be used to reduce capacitive coupling, it is still desirable to improve on the design of such shields within a processing system.
Accordingly, it is an objective of the present invention to overcome drawbacks in the prior art and provide a plasma processing system, and particularly an ICP system, in which a dense, uniform plasma is created. It is another objective of the present invention to provide a uniform plasma which is less dependent upon the size and shape of the process chamber than current plasma processing systems.
It is still another objective to provide a plasma which is symmetrical in the processing chamber.
It is another objective to reduce capacitive coupling within an ICP system.
It is another objective of the present invention to provide a uniform, dense plasma over a large area, such as an area sufficient to handle a 200 mm or greater wafer, while maintaining a compact and inexpensive design of the inductive coil or antenna.
It is still another objective of the present invention to provide consistent plasma generation and thereby provide consistent processes, such as etch processes and deposition processes, which are less dependent upon process parameters, such as pressure and/or chamber geometry or size.
One attempt to address various of the above objectives utilizes a processing system incorporating unique inductive elements therein. Specifically, U.S. patent application Serial No. 09/277,526, entitled Process, Apparatus and Method for Improving Plasma Distribution and Performance in an Inductively Coupled Plasma, and filed on March 26, 1999, illustrates such systems. That patent application is incorporated herein by reference in its entirety. While the processing systems and inductive elements disclosed therein provide for the creation and use of effective inductively coupled plasmas, it is still desirable to improve and further refine such systems for improved ICP characteristics. As such, the present invention addresses the above objectives and other objectives and is set forth in greater detail below. Summary of the Invention:
A processing system for processing a substrate with an ionized plasma comprises a processing chamber defining a processing space with a substrate support therein for supporting a substrate in the processing space. A gas inlet introduces process gas into the space and a plasma source is operable for creating an ionized plasma therein. In accordance with one aspect of the present invention, the plasma source comprises an inductive element operable for coupling electrical energy into the processing space to create an ionized plasma therein. The inductive element winds around a portion of the processing space inside the processing chamber such that the inductive element is internally contained. In that way, the inductive element is exposed directly to the plasma in the processing space, rather than having to couple electromagnetic energy through a portion of the processing chamber.
In accordance with one embodiment of the present invention, the inductive element is encased inside a dielectric material to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space. In one example, a dielectric material assembly includes an inner subassembly, an outer subassembly, and a middle subassembly. The inductive element is configured around the middle subassembly, wherein the outer subassembly isolates the inductive element from the grounded processing chamber, while the inner subassembly isolates the inductive element from the processing space and plasma. The present invention may be suitably used with a number of different inductive element shapes. One suitable shape comprises a coil with multiple coil turns wherein the turns include segments oriented along a chamber sidewall portion and segments oriented along a chamber end wall portion for coupling energy simultaneously into the processing space through both the sidewall and end wall portions of the chamber.
In another embodiment of the invention, a dielectric envelope is positioned within the processing space and surrounds a portion of the inductive element. The envelope encases the element against the processing chamber and isolates the element from the processing space. A dielectric epoxy or^other suitable insulating material fills the inside of the envelope for further encasing the inductive element.
In accordance with another embodiment of the present invention directed to an inductive element positioned internally in the chamber, a DC electrical energy source is electrically coupled to the inductive element at a point along the inductive element, and a ground reference is electrically coupled to the inductive element at another point along the inductive element. The DC source is operable for enhancing the magnetization of the inductive element to reduce the capacitative coupling of energy between the inductive element and the plasma, thereby protecting the inductive element exposed to the plasma and the processing space of the chamber. Inductors are electrically coupled between the inductive element and the DC source and ground to further enhance the magnetization of the inductive element. In accordance with another aspect of the present invention, an electrostatic shield is utilized with the plasma source to enhance inductive coupling of energy into the processing space. The electrostatic shield comprises a body having opposing face surfaces wherein at least one bore is formed in the shield along the body and between the face surfaces. A slot is formed in each of the face surfaces proximate the bore and communicating with the bore to form a passage through the shield. The shield enhances inductive coupling and the unique slots prevent a line-of-sight pathway therethrough to effectively trap particles that might otherwise penetrate the shield and generally prevent transmission of plasma particles through the shield. Other aspects of the present invention will become more readily apparent from the figures and detailed description below. Brief Description of the Figures:
The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with a general description of the invention given below, serve to explain the principles of the invention.
Figure 1 is a front cross-sectional view of a processing system in accordance with one aspect of the present invention. Figure 1A is an exploded perspective view of elements of the processing system illustrated in Figure 1.
Figure 2 is an exploded perspective view of an alternative embodiment
of the present invention. Figures 2A, 2B, and 2C are perspective views of targets which may be utilized with the embodiment of the processing system illustrated in Figure 2.
Figure 3 is a front cross-sectional view of another alternative embodiment of the present invention.
Figure 4 is a perspective view, partially cut away, of another embodiment of the present invention.
Figures 4A, 4B, and 4C are illustrative schematic diagrams of biased networks for an inductive element in accordance with the principles of the present invention.
Figure 5 is the perspective view of electrostatic shields and inductive elements in accordance with one embodiment of the present invention.
Figures 5A, 5B, and 5C illustrate bore and slot patterns for an electrostatic shield in accordance with the principles of the present invention.
Figure 6 is a perspective view of a section of an electrostatic shield with an ignition volume in accordance with one aspect of the present invention.
Figure 6A is a side view, of a cut away portion of an electrostatic shield, as illustrated in Figure 6. Detailed Description: Figure 1 illustrates in cross-section a processing system 12 in accordance with one embodiment of the present invention. System 12 includes a processing chamber 13 which defines a processing space 14 therein. Processing system 12 and the concept embodied therein would be suitable for use with a variety of inductive elements, such as the inductive elements shown and described in U.S. Patent Application Serial No. 09/277,526. One possible inductive element is shown in system 12 for illustrative purposes and is not meant to be limiting. A substrate support 17 in space 14 is configured for supporting a substrate 18 to be processed. The substrate support 17 could be any appropriate support and may be associated and operably coupled with other subsystems (not shown) which are known in the art, such as an electrostatic chuck device, an RF or DC biasing source, a heater, and back plane gas system. One or more inlets 20 are coupled to a process gas supply 22, such as an argon gas supply, for introducing a process gas into the processing space 14 to form a plasma.
Chamber 13 is a vacuum chamber which is sealed against atmospheric pressure. Accordingly, chamber 13 will be coupled to an appropriate vacuum or pumping system (not shown) as is known in the art.
In the present invention, the plasma source is immersed in the vacuum processing space 14. The source, comprising an inductive element, dielectric material encasing the inductive element, and one or more electrostatic shields, couples energy directly into the processing space from inside of the chamber. Therefore, the chamber may utilize a full metal design. Generally the chamber will be grounded. The internal dimensions and shape of the chamber will fit the shape of the elements of the plasma source to eliminate any stray plasma discharges away from the processing space 14 and the substrate 18 to be processed. Chamber 13 may have a circular shape, or any other appropriate shape suitable to process a substrate. Furthermore, the plasma may be a unitary structure or multiple structures which couple together. For example, the plasma source may be coupled in one structure or module which then mounts to a main chamber housing the substrate support 17. Furthermore, as discussed hereinbelow, for iPVD processes, targets will also be appropriately mounted with the chamber to be exposed to the plasma in processing space 14.
System 12 includes one embodiment of a suitable inductive element 24 which extends simultaneously around the top wall 26 and sidewall 28 inside chamber 13 and within the processing space 14. In many conventional systems, the inductive element is external of the chamber 13 and space 14. In accordance with one aspect of the present invention, the inductive element 24 is internally located in chamber 13 and is directly exposed to the processing space 14 and any plasma therein. In one embodiment of the invention, the element 24 is encased inside dielectric material to physically separate the element from space 14 and any plasma sustained therein. The encased element 24, however, is still operable for coupling energy directly into the plasma through the dielectric material, because the inductive element is internally located, with respect to processing space 14. The chamber 13 may be made completely of a suitable metal, such as stainless steel, without the need for a dielectric window or other dielectric portion as part of the chamber.
As noted, the inductive element may be any of several inductive elements disclosed herein or in U.S. Patent Application Serial No. 09/277,526. The inductive element 24 is coupled through an appropriate matching unit 29a to a source of electrical energy, such as RF power supply 29b, which biases the inductive element 24 to create varying RF magnetic fields in the processing space 14 for forming a plasma (not shown) therein, in accordance with known ICP principles. The matching unit is an electrical circuit well known to those of ordinary skill in the art to accommodate the impedances of the RF power supply 29b and the inductive element 24 to provide maximum delivered power to the element 24 and into a plasma under various conditions. The plasma is then utilized for processing substrate 18, such as by plasma etching or deposition, according to principles and techniques well known to a person of ordinary skill in the plasma processing art.
The inductive magnetic fields, created by RF currents within the inductive element 24, are coupled into space 14 through a dielectric structure 30 encasing element 24. The structure 30 is formed of a dielectric material and encases the element and protects it from the plasma in space
14. In one embodiment, as shown in Figures 1 , 1 A, the structure 30 includes multiple pieces which cooperate.
To enhance inductive coupling into processing space 14, one or more Faraday shields might be used. An upper Faraday shield 35 is shown positioned proximate an upper portion of the chamber and the processing space and a lower Faraday shield 36 is positioned in the chamber proximate a lower portion of the space 14. The Faraday shields are placed between any inductive elements, such as element 24, and the processing space 14 where the plasma is generated. Faraday shields are known in the art and effectively provide improved inductive coupling of energy from element 24, across the dielectric window, and into the processing space. Faraday shields also reduce undesired capacitive coupling between the inductive elements and the plasma. Generally, the Faraday shields will include a plurality of slots 37, 38 formed in the shields, as illustrated in shields 35, 36 of Figure 1. The slots 37, 38 are oriented generally perpendicular to coil turns of the inductive element 24.
Returning to Figure 1 , the inductive element 24, which might also be referred to as an antenna, is shown in the form of a coil having multiple coil turns. The terms "inductive element" and "antenna" are used interchangeably herein. The inductive element is formed of an electrical conductor according to principles known in the art. The conductor, such as elongated metal wire or metal tubing, is configured and shaped in accordance with the principles of the present invention to form an element which will inductively couple energy into a processing chamber when an electrical current is passed through the element. The conductor is wound or shaped as desired, depending upon the processing space, substrate and plasma parameters which are required, for example. Referring again to Figure 1 , processing system 12 also incorporates a cooling ring 40 having an internal cooling passage 42 through which water or another cooling liquid may be passed. Cooling ring 40 may be utilized to cool the processing chamber 13 during plasma processing. Other cooling apparatus might also be used. Processing chamber 13 will also be coupled to an appropriate vacuum system (not shown), as noted. Chamber 13 further includes appropriate feed-throughs for the opposing terminals 25a, 25b of the inductive element 24. Insulators 27 insulate the terminals from the grounded processing chamber 13. Furthermore, in accordance with known principles, the processing system will generally incorporate control and monitoring systems necessary for a plasma processing system such as a pressure control system, an electrostatic chuck temperature control system, and other systems (not shown) which would be known to a person of ordinary skill in the art. In accordance with one aspect of the present invention, the dielectric structure 30 encasing the inductive element includes multiple pieces which nest together to encase the element 24 and prevent stray plasma discharges outside of the main processing space proximate substrate 18. Referring to Figure 1A, an exploded view of components of system 12 are shown. Specifically, the embodiment of dielectric structure 30 illustrated includes an outer dielectric subassembly 50, a middle subassembly 52, and an inner subassembly 54. In the embodiment illustrated, the inductive element 24 is wound around the middle subassembly as shown. To that end, the various subassemblies 50, 52, and 54 which encase the inductive element are appropriately shaped depending upon the shape of the inductive element which is utilized. As noted, the inductive element may take various different appropriate shapes. Inductive element 24 illustrated in Figures 1 and 1A utilizes a series of coils which wind and extend proximate both the top wall 26 and side wall 28 of the processing chamber 13 inside the chamber.
Therefore, each subassembly generally includes a top or upper portion and a side portion. Those portions may be unitary or separate pieces, as shown. Specifically, outer subassembly 50 includes portions 50a and 50b which cooperate with each other to encase the various coil turns of element 24. Specifically, inductive element 24 includes coil turns which also have top coil portions 24a and side coil portions 24b. To that end, portion 50a of the subassembly 50 is used to encase the top coil portions and also part of the side coil portions, while portion 50b of subassembly 50 is used to encase side coil portions 24b. Accordingly, the various portions 50a, 50b of the subassembly 50 are dimensioned for cooperating to encase the inductive element 24. It will be understood that the sub-assemblies may take other shapes in accordance with the invention, depending upon the inductive element used. Subassembly 52 is shown as a unitary piece including a top portion 52a and a side portion 52b. Inductive element 24, in the form of a coil, is actually wound around subassembly 52. Subassembly 52 is then dimensioned to nest inside of subassembly 50, as illustrated in Figure 1.
Similarly, the inner subassembly 54 includes a top portion 54a and a side portion 54b. Portions 54a and 54b are configured and dimensioned to cooperate and to nest inside of subassembly 52, as illustrated in the Figures. With all of the subassemblies nested together, the element 24 is encased and is isolated from a plasma in processing space 14.
Inductive element 24 and the various subassemblies, 50, 52, and 54, which encase the inductive element within the plasma processing space, all are suitably dimensioned in accordance with known principles for providing a desirably dense plasma within the processing space. The plasma flux, utilizing an inductive element shaped like 24 shown in the figures, is affected by ratios between the diameter and height of the inductive element, as reflected by the dimensions of the coil turns. Therefore, a suitable dimension may be empirically determined for the encased inductive element 24.
Generally, a cooling fluid, such as water, will be circulated through the inductive element. To that end, inductive element 24 may utilize a hollow pipe-like conductor which is wound in the desired shape.
As illustrated in Figure 1A, the inner dielectric subassembly 54 may be relatively thin compared to subassembly 50, and may have a wall thickness in the range of approximately 3-6 mm. The element 24 is shown wound around subassembly 52. Subassembly 52 separates the inductive element from the plasma within processing space 14. Subassembly 52 may utilize grooves therein, either externally or internally, along which the conductorforming inductive element 24 is shaped, as illustrated in Figure 1A.
That is, the conductor is wound around grooves within subassembly 52 for forming the desired shape of the inductive element 24. Subassembly 52 provides mechanical support for the inductive element. The thickness of subassembly 52 may be suitably determined. For example, the dielectric assembly 52 with a wall thickness in the range of 5-10 mm may be suitable for mechanically supporting the inductive element.
The inductive element 24 must also be separated from the grounded processing chamber 13. To that end, subassembly 50 is generally thicker than the other subassemblies encasing the inductive element. For example, subassembly 50, and specifically portions 50a and 50b thereof, may have a wall thickness of greater than 20 mm. The various subassemblies, 50, 52, and 54, are shaped appropriately for adequately encasing and supporting the inductive element 24 while preventing a plasma from being struck and maintained within the dielectric structure 30 wherein it might affect the inductive element 24. Top portion 50a of subassembly 50 includes appropriately formed apertures 60 to align with aperture 61 in the processing chamber 30 for the insulative elements 27 and the pass-through of the inductive element terminals 25a and 25b through the subassembly 50 and processing chamber 13. To that end, the dielectric structure 30 encasing the inductive element 24 will also protect the insulative elements from the sputtering or coating associated with the plasma process being performed.
The dielectric subassemblies may be formed of a suitable ceramic material. The inner subassembly 54 may be quartz. Quartz provides optimum results for RF field coupling from element 24.
An electrostatic shield assembly 62 comprises a top electrostatic shield 62a and a side shield 62b. The shields are appropriately slotted with slots 37 and 38, as discussed above. The shields 62a, 62b are configured and dimensioned to further nest inside of the inner subassembly 54 between the inductive element 24 and the processing space 14. While the shields 62a and 62b are shown in separate pieces, they might also be fabricated as a single, or unitary piece.
Within an iPVD system, material is sputtered from a target and is then further ionized before being deposited on a substrate 18. To that end, in accordance with another embodiment of the present invention, the processing chamber 13 might be appropriately configured for housing one or more targets of material to be sputtered. Referring to Figure 2, the processing chamber 13 might be configured to have openings or apertures 66 therein for receiving one or more target assemblies. Each of the subassemblies, 50, 52, 54 and the shield assembly 62 are appropriately formed to expose the target assembly 68 to the plasma processing space 14 and the plasma therein for the purposes of sputtering. To that end, the top portions or sections of the assemblies are open to couple the targets with the processing space 14. For example, in subassembly 50, top portion 50a has cut-out sections 51 on either side through which the target assembly 68 may extend into the processing space. The other subassemblies and electrostatic shield assembly are similarly formed with appropriate cut-out sections for providing access between the processing space 14 and the target assembly 68, as illustrated in Figure 2.
Referring to Figure 2, and also Figures 2A, 2B, and 2C, each target assembly may include an appropriate target 68a of material to be sputter deposited upon substrate 118. The target 68a is appropriately attached to a cooling plate 68b through which cooling fluid is circulated by means of a circulation system 69. The cooling plate 68b is fixed to a back plate 68c. Generally, the target material 68a is DC biased. Therefore, an appropriate DC connection 70 is coupled to target 68a through plates 68b, 68c and to an appropriate DC power supply (not shown). Figures 2A, 2B, and 2C illustrate various different shapes of targets which may be utilized within the system of 12a, illustrated in Figure 2 for desired deposition parameters. For example, the target might be a flat target 72, as illustrated in Figure 2B. Alternatively, the target might have a concave shape, as illustrated in Figures 2A and 2C. Target 74 in Figure 2A has a concave surface 74a relative to the end surfaces 74b. Such a design might be utilized when the target within the system tends to wear greater on the ends than in the middle. Similarly, as shown in Figure 2C, target 76 has a concave surface formed by surface 76a and angled surfaces 76b. The concave shape of the targets will modify and improve the directivity of the sputtered flux from the target toward the central processing (ionization) zone. Therefore, the concave-shaped targets provide better geometrical confinement of the sputtered particles.
Figure 3 illustrates another embodiment of the present invention wherein the inductive element is encased inside dielectric material to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space. The inductive element is located inside of the processing chamber and is thereby exposed directly to the plasma environment. Specifically referring to Figure 3, the processing system 80 incorporates a processing chamber 82. System 80 and processing chamber 82 will incorporate various similar components as illustrated in system 12 of Figure 1 discussed hereinabove for accomplishing plasma processing, such as etching or deposition. To that end, like elements, which have previously been discussed with respect to Figures 1 , 1A, and 2, will be given similar reference numerals in Figure 3, even though the shape of one or more of those elements may be slightly different due to the alternative embodiment illustrated within Figure 3.
In Figure 3, the dielectric material encasing the inductive element comprises a dielectric envelope 84 which surrounds the inductive element 24 along the top wall and side wall of chamber 82. The envelope 84 may be made of quartz or some other suitable ceramic material. Envelope 84 is constructed in accordance with the internal shape of chamber 82, and also the shape of the inductive element. To that end, the envelope 84 may take various suitable forms in accordance with the principles of the present invention and depending upon the shape of the inductive element. Envelope 84 cooperates with chamber 82 to provide a volume in which the inductive element 24 is encased. In accordance with another aspect of the present invention, the volume is filled with an epoxy 86 which surrounds inductive element 24 and further encases the inductive element within a dielectric material. Preferably, the epoxy is filled into the envelope 84 in a liquid or semi-liquid form, and then is allowed to dry at a reduced pressure in the chamber. Rough vacuum conditions may be suitable for allowing the epoxy to out-gas while it solidifies. Other suitable insulating materials may be used to fill space inside envelope 84. The grounded chamber 82 acts as one side of the encasing for the inductive element. Alternatively, additional ground shields (not shown) might be utilized against the top and side walls of the chambers for further encasing
the inductive element in the epoxy. A grounded electrostatic shield 88 is positioned on the inside of the envelope 84 as discussed above. Figures 1 ,
1A, and 2 illustrate separate shields for the portions of the inductive element which extend along the side wall and top wall of the chamber. Alternatively, as illustrated in Figure 3, shield 88 might be a unitary piece with slots 89 for the coil turns 91 extending along the top wall and part of the side wall of chamber 82, and slots 90 for turns 93 extending along the side wall of the chamber. A seal 94 might be utilized between the envelope 84 and the other portions of the chamber, such as the cooling ring 40. A similar seal 31 is shown in system 12 of Figure 1.
Figure 4 illustrates another embodiment of the present invention which utilizes a self-magnetized inductive element 24 which is not encased within a dielectric material, yet is positioned inside the processing chamber and is exposed to the processing space. A DC electrical energy source is electrically coupled to the inductive element 24 at a point along the inductive element and a ground reference is electrically coupled to the inductive element at another point along the element. The DC source is operable for enhancing the magnetization of the inductive element to reduce the capacitive coupling of energy between the inductive element and the plasma. In that way, the effects of localized capacitive coupling are reduced and sputtering of the inductive element is also reduced. The inductive element may be exposed directly to the plasma inside of the chamber without being encased in dielectric material.
Referring to Figure 4 where like numerals indicate similar elements, which were earlier discussed, inductive element 24 is positioned inside chamber 13 and is exposed to the processing space 14 without being encased in dielectric material. Therefore, during plasma processing, the inductive element 24 is exposed to the plasma. The inductive element is protected electrically rather than being physically covered. Figures 4A, 4B, and 4C illustrate various embodiments of the invention wherein the inductive element is DC biased in different ways to operate in a push-pull configuration. Such a configuration creates conditions wherein the conductive element acts as a one-half wave resonator. To that end, inductors and capacitors are coupled between the DC power supply and the terminals of the inductive element and other points along the element at which the DC power supply or a ground reference are coupled.
Referring specifically to Figure 4A, a DC source 98 is coupled to one point along the inductive element, such as terminal 25a. Another point along the inductive element, such as terminal 25b is grounded to a ground reference 100. Inductive element 24 is RF biased by an RF power supply (not shown in Figure 4A) which is coupled to element 24 through a suitable matching network 102. The matching network 102, or MNU, will usually contain various capacitive elements 103 for desired frequency matching. The DC power supply 98 is coupled to terminal 25a, through inductor 104, and terminal 25b is also grounded through an inductor 105. A capacitor 106 extends between the DC source 98 and the ground reference 100. The chamber 13 is also grounded. Utilizing the circuit configuration as illustrated in Figure 4A, the capacitive currents within the inductively coupled plasma may be compensated inside of the inductive element 24. Preferably, the plasma will not have any significant capacitive coupling to the ground reference at the excitation frequency utilized to excite the inductive element. Therefore, the plasma potential is expected to be low. The configurations illustrated in Figures 4A, 4B and 4C enhance magnetization of the inductive element 24 and thereby provide a plasma electron confinement. That is, electron losses from the plasma are reduced. In that way, the effects of localized capacitive coupling between the inductive element 24 and a plasma are reduced, and sputtering of the inductive element 24 by the plasma is also reduced. It is also anticipated that the embodiments illustrated in Figures 4, 4A, 4B, and 4C will also enhance the density of the plasma within chamber 13 when the magnetic field in the inductive element 24 produced by the DC current bias reaches a suitable value to create what are referred to in the art as "whistler waves." The inventor anticipates that a DC created magnetic field of approximately 50 gauss with an inductive element 24 may induce such whistler wave enhancement. Figure 4B illustrates another embodiment of the invention wherein the
DC source is coupled to both of the terminals 25a, 25b through inductors 104, 108. That is, both of the opposing terminals of inductive element 24 are electrically coupled to the DC source 98. A point along the inductive element 24 between the opposing terminals 24a, 24b is then coupled to a ground reference through inductor 105, as illustrated in Figure 4B. For example, the grounded point 110 might be midway between the opposing terminals 25a, 25b of the inductive element 24. Figure 4C illustrates yet another embodiment wherein the DC source is coupled to point 110 between the terminals, whereas the terminals 25a, 25b are grounded through inductors
105a, 105b.
Figures 5, 5A, 5B, and 5C illustrate, in accordance with another aspect of the present invention, electrostatic shields for reducing capacitive coupling between the inductive element and a plasma within a processing chamber. Specifically, electrostatic shields of the invention utilize a unique construction which improves plasma ignition, allows a low power capacitive plasma to be sustained, is not particularly complex to manufacture and may be adequately cooled. It is often desirable to maintain a capacitive plasma at very low RF power levels (below 100 Watts) during loading and unloading wafers from a processing chamber. Sustaining such plasma may also reduce particle contamination, provide for an easy restart of the plasma, and may also provide for very fast transformation of capacitive discharge into an inductively coupled mode, thus eliminating an extra electrode which is usually necessary for igniting plasma, such as in iPVD. Figure 5 illustrates two electrostatic shield embodiments formed in accordance with the principles of the present invention. Shield 120 may be utilized with an inductive element 122 which utilizes a ring-shaped pattern of repeated element segments 124. Similar alternative of such inductive elements are described in U.S. Patent Application Serial No. 09/277,526. Shield 120 will generally be positioned proximate a top wall of a processing chamber, and will be suitably encased in a dielectric material in accordance with the invention.
Shield 126 may be utilized to extend around the side walls of a processing chamber to be utilized with a cylindrical or helical inductive element, such as a helical coil (not shown). In both cases, with shields 120 and 126, the various slots formed in the shield are generally perpendicular to the conductor segments of the inductive elements, such as inductive element 122. In forming the shields 120, 126, a metallic shield made of a nonmagnetic electrically conductive material, such as copper or silver plated copper, is drilled, forming holes therein. Other materials, such as aluminum or molybdenum may be used. Generally, the shield should inhibit contamination in the chamber due to flaking of sputtered material from the shield and thus it should have good adherence properties and should be compatible with any chemical processes. Various bores 130 are drilled in shield 120 and extend radially out from a center of the shield within the plane of the shield 120. Slits 132 are then formed in opposing face surfaces 120a, 120b of the shield. The slits are formed to cooperate with the bores 130. The slits in opposing face surfaces are positioned on opposite sides of the bores, so that no line-of-sight paths exist through the shield. (See Figures 5A, 5B, and 5C.) Various different orientations of the slots with respect to adjacent bores are shown in Figures 5A, 5B, and 5C. For example, in Figure 5A, the slots 132 are positioned in the face surface 120a on the side of a bore 130 opposite slots 134 formed in face surface 120b. Figure 5A illustrates an embodiment wherein each of the sets of slots are similarly oriented in adjacent bores. Figures 5B and 5C illustrate an alternative design of the shield wherein the slots of adjacent bores are next to each other in the various face surfaces of the shield. That is, every other bore is flipped 180° in orientation, such that the slots of adjacent bores are next to each other in one face surface and more separated in another face surface. Referring to Figures 5B and 5C in shield 136, the slots 138 in face surface 136b are directly adjacent to each other between the bores 137, 139, whereas the slots 140 in face surface 136a are more separated. Going to the next adjacent bore 140, as illustrated in Figure 5C, the slots 140 between the bores 139 and 140 are directly adjacent to each other in face surface 156a, whereas the slots 138 are now more separated in the face surface 136b. The pattern continues, as illustrated in Figures 5B and 5C, around the shield. Referring to Figure 5, shield 126 is formed similarly with the patterns of bores and slots as discussed above. However, the various bores 140 and slots 142 are oriented generally parallel to the main axis of the cylindrical shield 126.
The shields 120, 126 are mechanically robust and provide no line-of- sight pathway through the shield. In that way, the shields are effective in trapping particles which may otherwise penetrate the shield, and the shields have proven particularly efficient in generally preventing and reducing the transmission of plasma particles therethrough. In accordance with another aspect of the present invention, the shield utilizing the unique bore and slot arrangements, as illustrated in Figures 5, 5A, 5B, and 5C, also may be modified to provide an ignition volume for ignition of a plasma at low power levels. Referring to Figures 6 and 6A, one or more open spaces may be formed within a shield 160 to couple with one or more of the slots 152 formed therein. Shield 160 is similarly fabricated as discussed above, and includes bores 156 and a plurality of slots 152, 154, formed in the opposing face surfaces 160a, 160b of the shield. The open spaces 150, which may be generally rectangular, for example, as illustrated in Figure 6, create an ignition volume within the shield 160 to provide for capacitive plasma ignition. The open spaces 150 are formed in the shield 160 on the side of the shield facing the inductive element, such as element 24 discussed above. (See Figure 6A.) The open spaces provide a larger open area adjacent to one or more of the slots 152 for plasma ignition. At low power levels, such as under 50 Watts, a capacitive plasma will ignite in the ignition volumes or open spaces 150. The highly mobile charged particles of that capacitive plasma, which are assumed to be mostly electrons, will spread out from the ignition volume 150 through the adjacent slot 152 and into the main processing space of the chamber. The plasma from the ignition volumes 150 then strikes and ionizes gas within the processing space. A suitable shield can utilize one or more specific ignition volumes, as shown in Figure 6.
Furthermore, in accordance with an alternative embodiment of the invention, the conductor segments 170 of an inductive element, which will generally extend perpendicularly to the various slots 152, 154 may be dimensioned larger proximate the ignition volumes 150 for further assisting in the creation of a capacitive plasma. Figure 6A illustrates an inductive element 24 having an enlarged section 172 directly adjacent to ignition volume 150. In accordance with the principles of the present invention, the electrostatic shield will generally be grounded. However, an electrically floating shield might also be useful in some applications. Furthermore, utilizing the inductive elements without a shield is also possible.
While the present invention has been illustrated by the description of the embodiments thereof, and while the embodiments have been described in considerable detail, it is not the intention of the applicant to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details representative apparatus and method, and illustrative examples shown and described. Accordingly, departures may be made from such details without departure from the spirit or scope of applicant's general inventive concept.
What is claimed is:

Claims

1. A processing system for processing a substrate with an ionized plasma, the system comprising: a processing chamber defining a processing space and including a substrate support therein for supporting a substrate in the processing space; a gas inlet for introducing a process gas into said processing space; a plasma source operable for creating an ionized plasma in the processing space from process gas introduced therein, the plasma source comprising: an inductive element operable for coupling electrical energy into the processing space to create an ionized plasma therein; the inductive element winding around a portion of the processing space inside the processing chamber, the inductive element being encased inside a dielectric material to physically separate the element from the processing space while allowing the element to couple electrical energy into the processing space.
2. The processing system of claim 1 further comprising a dielectric material assembly configured to surround the inductive element, the assembly comprising an outer dielectric subassembly and an inner dielectric subassembly, the inner subassembly configured to engage the outer subassembly and capture the inductive element therebetween to encase the inductive element in dielectric material.
3. The processing system of claim 2 wherein said inner subassembly is configured to nest partially inside of the outer subassembly.
4. The processing system of claim 2 wherein the dielectric material assembly further comprises a middle subassembly, the inductive element configured around the middle subassembly piece and the middle subassembly is positioned between the inner and outer subassemblies to thereby encase the inductive element.
5. The processing system of claim 2 wherein said inductive element comprises a coil having multiple coil turns, each of the turns including a segment thereof oriented along a chamber sidewall portion and a segment thereof oriented along a chamber end wall portion for coupling energy simultaneously into the processing space through both the sidewall and end wall portions of the chamber, at least one of the inner and outer subassemblies comprising a first section coupling with the segment oriented along the sidewall portion and a second section coupling with the segment oriented along the end wall portion.
6. The processing system of claim 1 wherein said inductive element comprises a coil having multiple coil turns disposed successively along the length of the coil, at least one of said coil turns being oriented in a first plane, at least one of said coil turns being oriented in a second plane which is angled from the first plane.
7. The processing system of claim 1 wherein said inductive element comprises a plurality of repeated conductor segments arranged in a generally circular pattern around a center axis of the chamber.
8. The processing system of claim 1 further comprising a Faraday shield coupling with the dielectric material assembly to affect the operation of the inductive element.
9. The processing system of claim 1 further comprising an opening in said chamber below at least a portion of said inductive element, a target being positioned in the opening and exposed to said processing space to be sputtered by an ionized plasma.
10. The processing system of claim 1 further comprising a dielectric envelope positioned within the processing space and surrounding a portion of the inductive element, the envelope encasing the element against the processing chamber and isolating the element from the processing space.
11. The processing system of claim 10 further comprising a dielectric epoxy filling the inside of said envelope for further encasing the inductive element.
12. The processing system of claim 10 wherein the dielectric envelope is formed of quartz.
13. A processing system for processing a substrate with an ionized plasma, the system comprising: a processing chamber defining a processing space and including a substrate support therein for supporting a substrate in the processing space; a gas inlet for introducing a process gas into said processing space; a plasma source operable for creating an ionized plasma in the processing space from process gas introduced therein, the plasma source comprising: an inductive element operable for coupling electrical energy into the processing space to create an ionized plasma therein; a DC electrical energy source electrically coupled to the inductive element at a point along the inductive element and a ground reference electrically coupled to the inductive element at another point along the inductive element spaced from the DC source coupling point, the DC source operable for enhancing the magnetization of the inductive element to reduce the capacitive coupling of energy between the inductive element and the plasma.
14. The processing system of claim 13 further comprising an inductor electrically coupled between the inductive element and at least one of the DC source and the ground reference.
15. The processing system of claim 13 wherein said inductive element includes opposing terminals at opposing ends of the element, the DC source being electrically coupled to one of the terminals, the other terminal being electrically coupled to the ground reference.
16. The processing system of claim 13 wherein said inductive element includes opposing terminals proximate opposing ends of the element, the DC source being electrically coupled to the inductive element proximate both of the terminals, the ground reference being electrically coupled to the inductive element at a point between the terminals.
17. The processing system of claim 13 wherein said inductive element includes opposing terminals proximate opposing ends of the element, the ground reference being electrically coupled to the inductive element proximate both of the terminals, the DC source being electrically coupled to the inductive element at a point between the terminals.
18. The processing system of claim 13 further comprising a capacitor coupled between the DC source and the ground reference.
19. A processing system for processing a substrate with an ionized plasma, the system comprising: a processing chamber defining a processing space and including a substrate support therein for supporting a substrate in the processing space; a gas inlet for introducing a process gas into said processing space; a plasma source operable for creating an ionized plasma in the processing space from process gas introduced therein and including an inductive element operable for coupling electrical energy into the processing space to create an ionized plasma therein, the inductive element winding around a portion of the processing space inside the processing chamber; an electrostatic shield positioned proximate the inductive element to enhance the inductive coupling of energy into the processing space; the electrostatic shield comprising a body having opposing face surfaces, at least one bore formed in the shield along the body and between the face surfaces, a slot formed in each face surface proximate the bore and communicating with the bore to form a passage through the shield.
20. The processing system of claim 19 wherein said bore is generally cylindrical in shape.
21. The processing system of claim 19 wherein the slots are generally rectangular in shape.
22. The processing system of claim 19 wherein a first of said face surfaces of the shield generally faces toward the inductive element, the shield further comprising an open space formed within the first face, the open space operable for providing an ignition volume for the ignition of the ionized plasma therein, the open space coupled to said slot formed in the first face for the distribution of the plasma ignited therein through the bore and slots and into the processing space.
23. A method for processing a substrate with an ionized plasma, the method comprising: positioning a substrate in a processing space of a processing chamber; introducing a process gas into said processing space; positioning an inductive element inside the processing space of the chamber and coupling electrical energy to the inductive element for creating a plasma from the process gas; encasing the inductive element inside a dielectric material and physically separating the element from the processing space while allowing the element to couple electrical energy into the processing space.
24. The method of claim 23 further comprising encasing the inductive element in a dielectric material assembly comprising an outer dielectric subassembly and an inner dielectric subassembly, the inner subassembly configured to engage the outer subassembly and capture the inductive element therebetween to encase the inductive element.
25. The method of claim 24 wherein the dielectric material assembly further comprises a middle subassembly, the method further comprising configuring the inductive element around the middle subassembly piece and positioning the middle subassembly between the inner and outer subassemblies to thereby encase the inductive element.
26. The method of claim 23 further comprising surrounding a portion of the inductive element with a dielectric envelope positioned within the processing space and encasing the element against the processing chamber.
27. The method of claim 26 further comprising filling the inside of said envelope with a dielectric epoxy for further encasing the inductive element.
28. A method of processing a substrate with an ionized plasma, the method comprising: positioning a substrate in a processing space of a processing chamber; introducing a process gas into said processing space; positioning an inductive element inside the processing space of the chamber and coupling an electrical energy to the inductive element for creating a plasma from the process gas; electrically coupling a DC electrical energy source to the inductive element at a point along the inductive element and electrically coupling a ground reference to the inductive element at another point along the inductive element spaced from the DC source coupling point, the DC source operable for enhancing the magnetization of the inductive element to reduce the capacitive coupling of energy between the inductive element and the plasma.
29. The method of claim 28 further comprising electrically coupling an inductor between the inductive element and at least one of the DC source and the ground reference.
30. The method of claim 28 wherein said inductive element includes opposing terminals at opposing ends of the element, the method comprising coupling the DC source being to one of the terminals and coupling the other terminal to the ground reference.
31. The method of claim 28 wherein said inductive element includes opposing terminals proximate opposing ends of the element, the method comprising coupling the DC source to the inductive element proximate both of the terminals and coupling the ground reference to the inductive element at a point between the terminals.
32. The processing system of claim 28 wherein said inductive element includes opposing terminals proximate opposing ends of the element, the method comprising coupling the ground reference to the inductive element proximate both of the terminals and coupling the DC source to the inductive element at a point between the terminals.
PCT/US2001/027024 2000-08-30 2001-08-30 Inductively coupled plasma using an internal inductive element WO2002019364A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2001288540A AU2001288540A1 (en) 2000-08-30 2001-08-30 Inductively coupled plasma using an internal inductive element

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/650,532 US6494998B1 (en) 2000-08-30 2000-08-30 Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US09/650,532 2000-08-30

Publications (2)

Publication Number Publication Date
WO2002019364A2 true WO2002019364A2 (en) 2002-03-07
WO2002019364A3 WO2002019364A3 (en) 2002-09-12

Family

ID=24609313

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/027024 WO2002019364A2 (en) 2000-08-30 2001-08-30 Inductively coupled plasma using an internal inductive element

Country Status (4)

Country Link
US (1) US6494998B1 (en)
AU (1) AU2001288540A1 (en)
TW (1) TW518673B (en)
WO (1) WO2002019364A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004029466A1 (en) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
DE102006020290A1 (en) * 2006-04-27 2007-11-08 Ipt Ionen- Und Plasmatechnik Gmbh Plasma source, has metal block that is electrically connected with anode and by insulator opposite to housing, where block has coupling device for electrical connection with high frequency generator
CN110706993A (en) * 2018-07-10 2020-01-17 北京北方华创微电子装备有限公司 Inductive coupling device and semiconductor processing equipment

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
JP3727878B2 (en) * 2001-11-14 2005-12-21 三菱重工業株式会社 Metal film production equipment
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP2003323997A (en) * 2002-04-30 2003-11-14 Lam Research Kk Plasma stabilizing method and plasma device
CN1298198C (en) * 2002-07-26 2007-01-31 株式会社普来马特 Inductively coupled plasma generator having lower aspect ratio
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4621287B2 (en) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー Plasma processing equipment
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110100554A1 (en) * 2009-09-09 2011-05-05 Applied Materials, Inc. Parallel system for epitaxial chemical vapor deposition
US10984993B2 (en) * 2010-09-27 2021-04-20 Beijing Naura Microelectronics Equipment Co., Ltd. Plasma processing apparatus
CN102543636B (en) * 2010-12-27 2015-04-15 北京北方微电子基地设备工艺研究中心有限责任公司 Faraday shield and plasma processing equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
GB201304631D0 (en) * 2013-03-14 2013-05-01 Malvern Instr Ltd Monomer detection in protein separation
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6277055B2 (en) * 2014-04-25 2018-02-07 株式会社日立ハイテクノロジーズ Plasma processing equipment
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105491780B (en) * 2014-10-01 2018-03-30 日新电机株式会社 The antenna of plasma generation and the plasma processing apparatus for possessing the antenna
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
DE102016107400B4 (en) * 2015-12-23 2021-06-10 VON ARDENNE Asset GmbH & Co. KG Inductively coupled plasma source and vacuum processing system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102228219B1 (en) * 2016-06-01 2021-03-17 어플라이드 머티어리얼스, 인코포레이티드 High Pressure Ammonia Nitriding of Tunnel Oxide for 3D NAND Applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
CN106298415B (en) * 2016-10-12 2019-07-26 武汉新芯集成电路制造有限公司 A kind of governance structure of ion implantation apparatus vertical direction implant angle
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP2019009305A (en) * 2017-06-26 2019-01-17 東京エレクトロン株式会社 Plasma processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591493A (en) * 1994-06-30 1997-01-07 Texas Instruments Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
WO1997039607A1 (en) * 1996-04-12 1997-10-23 Hitachi, Ltd. Plasma treatment device
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431901A (en) 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
JPS61119007A (en) * 1984-11-15 1986-06-06 Hitachi Metals Ltd Manufacture of permanent magnet
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
KR920003789B1 (en) 1988-02-08 1992-05-14 니뽄 덴신 덴와 가부시끼가이샤 Thin film forming apparatus and ion source utilizing plasma sputtering
DE3811161A1 (en) 1988-03-31 1989-10-19 Erka Gmbh MULTI-PURPOSE LIGHTER
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5772832A (en) 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
DE4235064A1 (en) 1992-10-17 1994-04-21 Leybold Ag Device for generating a plasma by means of sputtering
US5587226A (en) 1993-01-28 1996-12-24 Regents, University Of California Porcelain-coated antenna for radio-frequency driven plasma source
US5309063A (en) 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5824158A (en) 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5430355A (en) 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
JP3290777B2 (en) 1993-09-10 2002-06-10 株式会社東芝 Inductively coupled high frequency discharge method and inductively coupled high frequency discharge device
TW273067B (en) 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
GB9321489D0 (en) 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5619103A (en) 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5783492A (en) 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
JP2641390B2 (en) 1994-05-12 1997-08-13 日本電気株式会社 Plasma processing equipment
DE69506619T2 (en) 1994-06-02 1999-07-15 Applied Materials Inc Inductively coupled plasma reactor with an electrode to facilitate plasma ignition
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
JP3105403B2 (en) 1994-09-14 2000-10-30 松下電器産業株式会社 Plasma processing equipment
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5589737A (en) 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5650032A (en) 1995-06-06 1997-07-22 International Business Machines Corporation Apparatus for producing an inductive plasma for plasma processes
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
KR100290813B1 (en) 1995-08-17 2001-06-01 히가시 데쓰로 Plasma processing equipment
JPH0982495A (en) 1995-09-18 1997-03-28 Toshiba Corp Plasma producing device and method
JPH09106899A (en) 1995-10-11 1997-04-22 Anelva Corp Plasma cvd device and method, and dry etching device and method
US5785878A (en) 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5759280A (en) 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
DE19623575A1 (en) 1996-06-13 1997-12-18 Volkswagen Ag Pre-wired lining part for the interior lining of a vehicle
US5897712A (en) 1996-07-16 1999-04-27 Applied Materials, Inc. Plasma uniformity control for an inductive plasma source
EP0840350A2 (en) 1996-11-04 1998-05-06 Applied Materials, Inc. Plasma apparatus and process with filtering of plasma sheath-generated harmonics
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
JPH10315411A (en) 1997-05-23 1998-12-02 Sumitomo Bakelite Co Ltd Polyimide adhesive film laminate and its manufacture
US6149760A (en) 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
JP4119547B2 (en) * 1997-10-20 2008-07-16 東京エレクトロンAt株式会社 Plasma processing equipment
US5976334A (en) 1997-11-25 1999-11-02 Applied Materials, Inc. Reliable sustained self-sputtering
GB2387023B (en) 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591493A (en) * 1994-06-30 1997-01-07 Texas Instruments Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
WO1997039607A1 (en) * 1996-04-12 1997-10-23 Hitachi, Ltd. Plasma treatment device
US5948215A (en) * 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 14, 22 December 1999 (1999-12-22) & JP 11 251303 A (TOKYO ELECTRON YAMANASHI LTD;JAPAN SCIENCE & TECHNOLOGY CORP), 17 September 1999 (1999-09-17) -& US 6 149 760 A (HAMA KIICHI) 21 November 2000 (2000-11-21) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004029466A1 (en) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
DE102006020290A1 (en) * 2006-04-27 2007-11-08 Ipt Ionen- Und Plasmatechnik Gmbh Plasma source, has metal block that is electrically connected with anode and by insulator opposite to housing, where block has coupling device for electrical connection with high frequency generator
DE102006020290B4 (en) * 2006-04-27 2010-04-15 Ipt Ionen- Und Plasmatechnik Gmbh plasma source
CN110706993A (en) * 2018-07-10 2020-01-17 北京北方华创微电子装备有限公司 Inductive coupling device and semiconductor processing equipment

Also Published As

Publication number Publication date
WO2002019364A3 (en) 2002-09-12
US6494998B1 (en) 2002-12-17
TW518673B (en) 2003-01-21
AU2001288540A1 (en) 2002-03-13

Similar Documents

Publication Publication Date Title
US6494998B1 (en) Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
EP1401008B1 (en) Element for coupling electrical energy into a processing chamber and processing system comprising such an element
US6474258B2 (en) Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US5767628A (en) Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6297595B1 (en) Method and apparatus for generating a plasma
JP3603024B2 (en) Ionization physical vapor deposition method and apparatus therefor
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US7673583B2 (en) Locally-efficient inductive plasma coupling for plasma processing system
JP2591579B2 (en) Plasma generator
US6653791B1 (en) Method and apparatus for producing uniform process rates
US7863582B2 (en) Ion-beam source
US5686796A (en) Ion implantation helicon plasma source with magnetic dipoles
KR20030022334A (en) Ring-shaped high-density plasma source and method
EP2103197A2 (en) Plasma reactor with inductive excitation of plasma and efficient removal of heat from the excitation coil
KR20080077670A (en) Technique for providing an inductively coupled radio frequency plasma flood gun
EP1412963A2 (en) Method and apparatus for producing uniform process rates
WO2000003055A1 (en) Shield for ionized physical vapor deposition apparatus
KR101424487B1 (en) Inductively coupled plasma reactor having multi rf antenna
JPH08316205A (en) Method and device for performing plasma treatment
KR101446554B1 (en) Palsma chamber having multi discharge tube assembly
KR101281191B1 (en) Inductively coupled plasma reactor capable
JP2000315598A (en) Plasma processing device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP