WO2001099173A2 - Method of treating a substrate - Google Patents

Method of treating a substrate Download PDF

Info

Publication number
WO2001099173A2
WO2001099173A2 PCT/US2001/018733 US0118733W WO0199173A2 WO 2001099173 A2 WO2001099173 A2 WO 2001099173A2 US 0118733 W US0118733 W US 0118733W WO 0199173 A2 WO0199173 A2 WO 0199173A2
Authority
WO
WIPO (PCT)
Prior art keywords
metal
substrate
containing layer
cleaning
layer
Prior art date
Application number
PCT/US2001/018733
Other languages
French (fr)
Other versions
WO2001099173A3 (en
Inventor
Robin Cheung
Yezdi Dordi
Jennifer Tseng
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2001099173A2 publication Critical patent/WO2001099173A2/en
Publication of WO2001099173A3 publication Critical patent/WO2001099173A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the invention relates to a method for substrate treatment .
  • Copper and its alloys are increasingly being used for metal interconnects in advanced integrated circuit- fabrication because they have lower resistivities compared to aluminum and do not suffer from poor electromigration. Copper can be deposited over high aspect ratio via and contact structures using metal electroplating.
  • a typical electroplating method generally comprises vapor depositing a barrier layer over the via or contact, vapor depositing a conductive metal seed layer, e . g. , copper, over the barrier layer, followed by electroplating a conductive metal over the seed layer to fill the via or contact structure.
  • CMP chemical mechanical polishing
  • polishing fluid which may include a chemical and an abrasive.
  • defects may be introduced into the planarized conductive layer after CMP. These defects may include, for example, particulate or chemical contamination, physical damage, discontinuity in the conductive layer, and so on. Therefore, the planarized metal layer is subjected to various post-CMP cleaning or treatment (e.g., thermal or plasma anneal) steps prior to additional processing such as subsequent deposition of a dielectric layer.
  • post-CMP cleaning or treatment e.g., thermal or plasma anneal
  • the surface of the planarized layer may present other problems in subsequent processing - e . g. , vulnerability to chemical corrosion, or failure to provide a good adhesive surface for a subsequently deposited layer such as a dielectric layer.
  • the present invention generally provides a method of treating a substrate, comprising forming a metal-containing layer on the substrate during substrate cleaning.
  • a substrate is subjected to chemical mechanical polishing prior to being treated according to embodiments of the invention.
  • the substrate is exposed to an electroless plating solution (or electrolyte) to form a metal-containing layer by electroless deposition over at least one portion of the substrate, e . g. , over one or more copper features on the substrate.
  • the electroless deposition of the metal-containing layer may be accomplished by either spraying the electroless plating solution on the substrate, or by immersing the substrate in a cleaning bath containing the electroless plating solution.
  • FIG. 1 depicts an apparatus suitable for practicing the present invention
  • FIG. 2 depicts a flow diagram illustrating chemical mechanical processing incorporating the present invention
  • FIG. 3 depicts cross-sectional views of a wafer substrate during various stages of metal processing according to the present invention.
  • the present invention generally provides a method of treating a substrate by integrating the formation of a metal-containing layer on a substrate during a substrate cleaning process.
  • an electroless deposition is incorporated into the cleaning process to form a metal-containing layer on the substrate.
  • the substrate comprises a copper layer that has been formed on a semiconductor wafer.
  • the treatment method of the invention is performed on the copper layer after the wafer has been subjected to chemical mechanical polishing (CMP) .
  • CMP chemical mechanical polishing
  • FIG. 1 illustrates a schematic diagram of an apparatus 100 suitable for practicing the treatment method of the present invention.
  • the apparatus 100 may be a Mirra MesaTM CMP system, available commercially from Applied Materials, Inc., of Santa Clara, California.
  • a description of the Mirra CMP system has been disclosed in commonly- assigned U.S. provisional patent application, entitled “Method and Apparatus For Transferring Semiconductor Wafers Using An Input Module," Ser. No. 60/139,222, filed on June 15, 1999, which is incorporated herein by reference.
  • the CMP system 100 comprises a polisher 102, a wet robot 104, a cleaner 106, a factory interface module 108, and a plurality of wafer cassettes 110.
  • Robots 158 on the factory interface module 108 are used to transport wafers between the cassettes 110 and the cleaner 106, while a wet robot 104 and a CMP robot transport wafers between the input module and the various polishing stations 112.
  • the CMP process begins by transporting a wafer to be polished from a cassette 110 to the input module 144.
  • the wafer is then transported by the wet robot 104 to a transfer station 118, and subsequently, by means of a robot interface 116 and a CMP robot 114, to one of the polishing stations 112 for polishing. Once polishing is complete, the polished wafer is moved to the transfer station 118 and delivered back to the input module 144.
  • a conventional post-CMP cleaning sequence begins with the polished wafer being transported on a "walking beam" 148 in a cleaning section 138 of the cleaner 106.
  • the walking beam 148 which comprises a series of wafer grippers (not shown) connected to a horizontal bar (not shown) , transports polished wafers through different cleaning baths in the cleaning section 138.
  • the wafer is washed and scrubbed with cleaning fluids as it moves through the cleaning section 138 on the walking beam 148 towards an end 154 to remove the slurry and other contaminants which have accumulated on the wafer during polishing.
  • the cleaned wafer is removed from the walking beam 148 by the factory interface robot 158 and placed in one of the cassettes 110.
  • the system 100 is also coupled to a controller 180, which controls the system 100 for implementing the treatment method of the present invention.
  • the controller 180 comprises a general purpose computer or a central processing unit (CPU) 182, support circuitry 184, and memories 186 containing associated control software.
  • the controller 180 is responsible for automated control of the numerous steps required for wafer processing - such as wafer transport, wafer polishing, temperature control, wafer cleaning, and so on. Bi-directional communications between the controller 180 and the various components of the system 100 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in FIG. 1.
  • the method of the invention can also be practiced in an apparatus different from that illustrated in FIG. 1, e . g. , a cleaning system having different cleaning modules such as a megasonic cleaner, scrubber and spin-rinse-dryer.
  • a cleaning system having different cleaning modules such as a megasonic cleaner, scrubber and spin-rinse-dryer.
  • an electroless deposition may be incorporated as part of the megasonic clean step.
  • the megasonic bath temperature is maintained at between about 25 and about 100°C. Details of this cleaning system are disclosed in commonly-assigned U.S. provisional patent application Se . No. 60/131,124, entitled “Semiconductor Substrate Cleaning System,” filed on April 27, 1999, which is incorporated herein by reference.
  • embodiments according to the invention may also be performed in different spin-rinse- dryers, for example, by dispensing an electroless plating solution through a fluid delivery system adapted for rinsing operations .
  • electroless plating solutions can be used.
  • a spin-rinse-dryer that is suitable for practicing the invention is disclosed in commonly-assigned U.S. patent application Ser. No. 09/350,212, entitled “Edge Bead Removal/Spin Rinse Dry (EBR/SRD) Module, " filed on July 9, 1999, which is incorporated herein by reference.
  • FIG. 2 illustrates a wafer process sequence incorporating a method of the invention.
  • a wafer is subjected to CMP to produce a planarized structure.
  • the planarized structure may comprise a copper layer that has been deposited in a contact or via structure.
  • the wafer is then subjected to a post-CMP treatment step 203.
  • the treatment step 203 comprises cleaning the wafer and forming a metal-containing layer on the planarized structure of the polished wafer using an electroless process.
  • the metal- containing layer may serve one or more functions - e. g. , as an interface layer to improve adhesion between the copper layer and a subsequently deposited material layer, or as a barrier layer to minimize inter-diffusion between different material layers .
  • the metal-containing layer may be a single component metal layer, or it may be an alloy (or polyalloy) containing both metal and non-metal components .
  • Examples include nickel, tin, cobalt, or cobalt tungsten phosphorus, among others.
  • Different electroless plating solutions may be used for depositing the desired metal- containing layers, using process conditions that are known in the art.
  • An electroless plating solution usually comprises a water-soluble salt containing a metal to be deposited, along with other components such as a reducing agent, complexing agent, or stabilizer, among others.
  • a reducing agent include hypophosphorous acid, water soluble hypophosphites such as sodium or potassium hypophosphite, among others.
  • a complexing agent may include, for example, carboxylic acids such as malic acid, citric acid, or sodium salts of carboxylic acids, and others that are known in the art.
  • a stabilizer such as water- soluble lead salts, e.g., lead acetate, may also be added to the electroless plating solution.
  • nickel and tin may be deposited from their respective sulphate or chloride salts, and many other water-soluble salts containing the desired metallic ions can also be used in the electroless plating solution.
  • Exemplary solutions and conditions for electroless plating nickel and tin may be found, for example, in Uchida et al . , U.S. patent 5,910,340, issued on June 8, 1999, and in Uchida et al . , U.S. patent 5,248,527, issued on September 28, 1993, both of which are incorporated herein by reference.
  • an alloy containing a co-deposit of different elements by using an electroless solution containing suitable sources of these elements.
  • ternary alloys such as cobalt tungsten phosphorus (CoWP)
  • CoWP cobalt tungsten phosphorus
  • An electroless solution for depositing CoWP may contain, e.g., ammonium tungstenate as a source of tunngsten ions, cobalt chloride as a source of cobalt ions, hypophosphite as a reducing agent and a source of phosphorus ions, citrate as a complexing agent, and other additives such as pH adjuster and surfactant, among others.
  • electroless CoWP alloys on copper damascene structures has been disclosed by Lopatin et al . , in "Thin Electroless barrier for Copper Films", Proceedings of SPIE, Vol. 3508, pp.65-77 (September 1998), and is incorporated herein by reference.
  • concentrations and compositions of the electroless plating solutions to be used in embodiments of the invention may be adjusted as appropriate, using suitable parameter ranges known in the art.
  • nickel may be plated from an acidic nickel sulphate solution using sodium hypophosphite as a reducing agent.
  • the solution may comprise about 87 g/1 NiS0 4 .4H 2 0, 24 g/1 Na 2 H 2 P0 2 .H 2 0, 30 g/1 CH 3 C00Na.3H 2 O, 4.1 g/1 C 3 H 4 (OH) (COOH) 3 .H 2 0, 2 g/1 Na0 2 C 3 H 4 COONa .6H 2 0, and 0.0015 g/1 Pb(CH 3 C00) 2 .3H 2 0.
  • tin (Sn) electroless deposition a solution of a tin salt, thiourea and an acid may be used.
  • Such a solution may comprise, for example, about 45 g/1 of thiourea and 5 g/1 of stannous chloride, SnCl 2 .2H 2 0, and sulphuric acid.
  • the sulphuric acid concentration may vary between about 1 and about 100 g/1.
  • Patent 2,369,620 issued on February 13, 1945, both of which are incorporated herein by reference.
  • electroless deposition is performed at room temperature or above.
  • the formation of the metal- containing layer is integrated with the cleaning process of the substrate or wafer.
  • the electroless plating solution is used during at least a part of the process to rinse the substrate.
  • substrate cleaning can be accomplished by spraying an electroless plating solution onto the copper surface as part of the cleaning sequence practiced in the apparatus 100. Particles or chemicals from the CMP slurry remaining on the substrate surface may be dislodged from the substrate by the spraying force.
  • the electroless plating solution can serve as a bath for both deposition and ultrasonic or megasonic cleaning.
  • the substrate can be submerged in the electroless plating solution to rinse the substrate.
  • the electroless plating solution serves a dual purpose - that of substrate cleaning as well as formation of a metal-containing layer.
  • the invention may be incorporated in different manners within a cleaning sequence, as shown in FIG. 2.
  • the post-CMP treatment step 203 i.e., integrated cleaning and deposition
  • An initial cleaning step 211 may include spraying, ultrasonic or megasonic cleaning using a conventional cleaning fluid.
  • the integrated cleaning and deposition step 203 of the invention provides a secondary cleaning function.
  • a relatively clean copper surface for electroless plating is provided, and a uniform deposition can be achieved.
  • the substrate is rinsed in step 213 with de-ionized water, in order to avoid possible undesirable reactions or contamination between the cleaning fluid and the plating solution.
  • the substrate may also be cleaned and/or rinsed (sprayed or in ultrasonic or megasonic baths) initially in steps 211 and/or 213 using de-ionized water prior to electroless plating.
  • additional processing may, for example, include thermal anneal or plasma treatment of the copper layer or planarized structure on the wafer.
  • the integrated plating and cleaning step 203 be performed without any initial cleaning or rinsing steps 211 and 213.
  • an appropriate electroless plating solution also serves as the primary cleaning fluid.
  • At least one rinsing step 205 is performed to rinse off any residual electroless plating solution from the substrate surface.
  • This rinsing step 205 may be performed, for example, in a conventional spin-rinse-dryer.
  • Additional processing is then performed on the substrate in step 207.
  • Such processing may include, for example, additional film treatment such as thermal anneal or plasma treatment of the substrate, deposition of a dielectric layer on the treated substrate surface, and so on, that are used in the fabrication of semiconductor devices.
  • the integrated cleaning and deposition step 203 improves the properties of the copper surface in one or more aspects. Adhesion between the copper and subsequently deposited material layer may be enhanced due to the substrate cleaning and/or the metal-containing layer serving as an interface, adhesion-promoting layer. Furthermore, the metal-containing layer deposited in step 203, e.g., Ni or CoWP, may serve as a barrier layer, thus reducing electromigration of copper or undesirable inter-diffusion between copper and the subsequently deposited layer.
  • FIGS. 3a-e illustrate cross-sectional views of a wafer 301 at different stages of processing, including the post- CMP treatment according to one embodiment the invention.
  • FIG. 3a shows the wafer 301 comprising, for example, an insulating layer 305 that has been patterned to form a contact or ' via 307.
  • the underlying layer 303 may comprise silicon, polysilicon, suicides, aluminum, tungsten, or other conducting or semiconducting materials.
  • a barrier layer 309 is also formed over the insulating layer 305 and inside the contact or via 307.
  • the barrier layer 309 may, for example, be a combination layer comprising a refractory metal and a metal nitride - e.g., titanium and titanium nitride, tantalum and tantalum nitride, or tungsten and its nitride.
  • the barrier layer 309 is used to prevent undesirable diffusion of subsequently deposited metal into the underlying layer 303.
  • a copper layer 311 is then formed on the barrier layer 309, as shown in FIG. 3b.
  • the copper layer 311 may be formed by either chemical vapor deposition (CVD) , physical vapor deposition (PVD) or electroplating methods. If electroplating is used, then a seed layer (not shown) is formed prior to the electroplated copper layer 311 to facilitate electroplating.
  • the copper layer 311 of FIG. 3b is then subjected to planarization using CMP, in which at least a portion of the copper layer 311 is removed, resulting in a planarized structure comprising a polished copper layer 313, as shown in FIG. 3c.
  • This polished copper layer 313 may also be referred to as a copper feature.
  • the wafer 301 may be subjected to cleaning, rinsing or other processing steps (not shown in FIG. 3) after CMP.
  • a post-CMP treatment is performed on the wafer 301, in which the wafer 301 is exposed to an electroless plating solution 350, for example, at room temperature.
  • an electroless plating solution 350 for example, at room temperature.
  • a metal-containing layer 315 is deposited on the wafer 301 during the wafer cleaning with the electroless plating solution 350.
  • electroless deposition can be performed by either spraying the electroless plating solution 350 onto the surface of the wafer 301, or by immersing the wafer 301 in a bath containing the electroless plating solution 350.
  • the metal-containing layer 315 may be nickel (Ni) , tin (Sn) , cobalt (Co) , or alloys comprising different elements, e.g., cobalt tungsten phosphorus (CoWP).
  • Ni and Sn may be deposited from solutions comprising the respective sulphate or chloride salts .
  • salts that are known in the art may also be used.
  • Ronamerse 407 - a plating solution available from LeaRonal of New York, is also suitable for depositing Sn on copper features.
  • Electroless deposition can be achieved in less than about 30 seconds at room temperature by immersing a wafer containing copper features in a bath containing the Ronamerse 407 solution at about 50% dilution with water.
  • the deposited Sn layer can promote adhesion with a subsequently deposited layer such as an oxide layer.
  • the metal-containing layer 315 is Ni or CoWP, then the metal-containing layer 315 can act as both an adhesion layer and a barrier layer.
  • the clean copper surface acts as a catalyst for electroless deposition.
  • the metal-containing layer 315 can be formed selectively on one or more copper features 313 of the wafer 301.
  • a hypophosphite is a suitable reducing agent for use in electroless Ni deposition.
  • the metal-containing layer 315 is not formed on the insulating layer 305 that has been exposed after the completion of CMP.
  • the deposition time may be adjusted to produce a metal-containing layer 315 having any desired thickness.
  • the metal-containing layer 315 is deposited to a thickness of less than about 1000 A, preferably between about 50 and about 100 A. In general, a relatively thin metal-containing layer 315 is preferred in order to avoid any excessive current passing through this metal-containing layer 315 during device operation. It is important, however, that the metal-containing layer 315 be formed as a continuous layer. Typically, a deposition time of less than about 30 seconds, or a few seconds, is sufficient to provide a continuous metal-containing layer 315. Depending on, the desired thickness or process throughput, the deposition time can be adjusted accordingly. For example, a deposition time of about 5 minutes may be used without significant impact on the process throughput.
  • the resulting structure may have improved properties such as reduced electromigration of the copper layer 313, or enhanced adhesion of the insulating layer 320.
  • the post-CMP treatment has been used as one illustrative embodiment of the invention, the integrated cleaning/deposition can generally be applied to other stages of a processing sequence involving a variety of substrates and metal-containing layers that can be formed by electroless processes.

Abstract

A method of treating a substrate. The method comprises forming a metal-containing layer on at least a selected portion of the substrate during a substrate cleaning process.

Description

METHOD OF TREATING A SUBSTRATE
BACKGROUND OF THE DISCLOSURE
1. Field of the Invention The invention relates to a method for substrate treatment .
2. Description of the Background Art
Copper and its alloys are increasingly being used for metal interconnects in advanced integrated circuit- fabrication because they have lower resistivities compared to aluminum and do not suffer from poor electromigration. Copper can be deposited over high aspect ratio via and contact structures using metal electroplating. A typical electroplating method generally comprises vapor depositing a barrier layer over the via or contact, vapor depositing a conductive metal seed layer, e . g. , copper, over the barrier layer, followed by electroplating a conductive metal over the seed layer to fill the via or contact structure. Finally, chemical mechanical polishing (CMP) can be used to define a planarized conductive interconnect feature by polishing the electroplated metal layer using a polishing fluid, which may include a chemical and an abrasive.
However, defects may be introduced into the planarized conductive layer after CMP. These defects may include, for example, particulate or chemical contamination, physical damage, discontinuity in the conductive layer, and so on. Therefore, the planarized metal layer is subjected to various post-CMP cleaning or treatment (e.g., thermal or plasma anneal) steps prior to additional processing such as subsequent deposition of a dielectric layer. However, even after post-CMP cleaning or treatment, the surface of the planarized layer may present other problems in subsequent processing - e . g. , vulnerability to chemical corrosion, or failure to provide a good adhesive surface for a subsequently deposited layer such as a dielectric layer.
Therefore, there is an ongoing need for a method of post-CMP treatment of a metal layer. SUMMARY OF THE INVENTION
The present invention generally provides a method of treating a substrate, comprising forming a metal-containing layer on the substrate during substrate cleaning. In one embodiment, a substrate is subjected to chemical mechanical polishing prior to being treated according to embodiments of the invention. During substrate cleaning following chemical mechanical polishing, the substrate is exposed to an electroless plating solution (or electrolyte) to form a metal-containing layer by electroless deposition over at least one portion of the substrate, e . g. , over one or more copper features on the substrate. The electroless deposition of the metal-containing layer may be accomplished by either spraying the electroless plating solution on the substrate, or by immersing the substrate in a cleaning bath containing the electroless plating solution.
BRIEF DESCRIPTION OF THE DRAWINGS The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
FIG. 1 depicts an apparatus suitable for practicing the present invention; FIG. 2 depicts a flow diagram illustrating chemical mechanical processing incorporating the present invention; and
FIG. 3 depicts cross-sectional views of a wafer substrate during various stages of metal processing according to the present invention.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures . DETAILED DESCRIPTION
The present invention generally provides a method of treating a substrate by integrating the formation of a metal-containing layer on a substrate during a substrate cleaning process. In one aspect of the invention, an electroless deposition is incorporated into the cleaning process to form a metal-containing layer on the substrate. In one embodiment, the substrate comprises a copper layer that has been formed on a semiconductor wafer. As an illustration, the treatment method of the invention is performed on the copper layer after the wafer has been subjected to chemical mechanical polishing (CMP) .
Apparatus FIG. 1 illustrates a schematic diagram of an apparatus 100 suitable for practicing the treatment method of the present invention. For example, the apparatus 100 may be a Mirra Mesa™ CMP system, available commercially from Applied Materials, Inc., of Santa Clara, California. A description of the Mirra CMP system has been disclosed in commonly- assigned U.S. provisional patent application, entitled "Method and Apparatus For Transferring Semiconductor Wafers Using An Input Module," Ser. No. 60/139,222, filed on June 15, 1999, which is incorporated herein by reference. The CMP system 100 comprises a polisher 102, a wet robot 104, a cleaner 106, a factory interface module 108, and a plurality of wafer cassettes 110. Robots 158 on the factory interface module 108 are used to transport wafers between the cassettes 110 and the cleaner 106, while a wet robot 104 and a CMP robot transport wafers between the input module and the various polishing stations 112. Typically, the CMP process begins by transporting a wafer to be polished from a cassette 110 to the input module 144. The wafer is then transported by the wet robot 104 to a transfer station 118, and subsequently, by means of a robot interface 116 and a CMP robot 114, to one of the polishing stations 112 for polishing. Once polishing is complete, the polished wafer is moved to the transfer station 118 and delivered back to the input module 144.
A conventional post-CMP cleaning sequence begins with the polished wafer being transported on a "walking beam" 148 in a cleaning section 138 of the cleaner 106. The walking beam 148, which comprises a series of wafer grippers (not shown) connected to a horizontal bar (not shown) , transports polished wafers through different cleaning baths in the cleaning section 138. The wafer is washed and scrubbed with cleaning fluids as it moves through the cleaning section 138 on the walking beam 148 towards an end 154 to remove the slurry and other contaminants which have accumulated on the wafer during polishing. At the end of the cleaning sequence, the cleaned wafer is removed from the walking beam 148 by the factory interface robot 158 and placed in one of the cassettes 110.
As illustrated in FIG. 1, the system 100 is also coupled to a controller 180, which controls the system 100 for implementing the treatment method of the present invention. Illustratively, the controller 180 comprises a general purpose computer or a central processing unit (CPU) 182, support circuitry 184, and memories 186 containing associated control software. The controller 180 is responsible for automated control of the numerous steps required for wafer processing - such as wafer transport, wafer polishing, temperature control, wafer cleaning, and so on. Bi-directional communications between the controller 180 and the various components of the system 100 are handled through numerous signal cables collectively referred to as signal buses 188, some of which are illustrated in FIG. 1.
The method of the invention can also be practiced in an apparatus different from that illustrated in FIG. 1, e . g. , a cleaning system having different cleaning modules such as a megasonic cleaner, scrubber and spin-rinse-dryer. For example, an electroless deposition may be incorporated as part of the megasonic clean step. Typically, the megasonic bath temperature is maintained at between about 25 and about 100°C. Details of this cleaning system are disclosed in commonly-assigned U.S. provisional patent application Se . No. 60/131,124, entitled "Semiconductor Substrate Cleaning System," filed on April 27, 1999, which is incorporated herein by reference. In addition, embodiments according to the invention may also be performed in different spin-rinse- dryers, for example, by dispensing an electroless plating solution through a fluid delivery system adapted for rinsing operations . Depending on the desired metal-containing layer, different electroless plating solutions can be used. One example of a spin-rinse-dryer that is suitable for practicing the invention is disclosed in commonly-assigned U.S. patent application Ser. No. 09/350,212, entitled "Edge Bead Removal/Spin Rinse Dry (EBR/SRD) Module, " filed on July 9, 1999, which is incorporated herein by reference.
Process
FIG. 2 illustrates a wafer process sequence incorporating a method of the invention. In step 201, a wafer is subjected to CMP to produce a planarized structure. For example, the planarized structure may comprise a copper layer that has been deposited in a contact or via structure. According to one embodiment of the invention, the wafer is then subjected to a post-CMP treatment step 203. The treatment step 203 comprises cleaning the wafer and forming a metal-containing layer on the planarized structure of the polished wafer using an electroless process. The metal- containing layer may serve one or more functions - e. g. , as an interface layer to improve adhesion between the copper layer and a subsequently deposited material layer, or as a barrier layer to minimize inter-diffusion between different material layers .
The metal-containing layer may be a single component metal layer, or it may be an alloy (or polyalloy) containing both metal and non-metal components . Examples include nickel, tin, cobalt, or cobalt tungsten phosphorus, among others. Different electroless plating solutions (or electrolytes) may be used for depositing the desired metal- containing layers, using process conditions that are known in the art. An electroless plating solution usually comprises a water-soluble salt containing a metal to be deposited, along with other components such as a reducing agent, complexing agent, or stabilizer, among others. Examples of a reducing agent include hypophosphorous acid, water soluble hypophosphites such as sodium or potassium hypophosphite, among others. A complexing agent may include, for example, carboxylic acids such as malic acid, citric acid, or sodium salts of carboxylic acids, and others that are known in the art. In some applications such as electroless nickel plating, a stabilizer such as water- soluble lead salts, e.g., lead acetate, may also be added to the electroless plating solution. For example, nickel and tin may be deposited from their respective sulphate or chloride salts, and many other water-soluble salts containing the desired metallic ions can also be used in the electroless plating solution. Exemplary solutions and conditions for electroless plating nickel and tin may be found, for example, in Uchida et al . , U.S. patent 5,910,340, issued on June 8, 1999, and in Uchida et al . , U.S. patent 5,248,527, issued on September 28, 1993, both of which are incorporated herein by reference.
Furthermore, it is also possible to deposit an alloy containing a co-deposit of different elements by using an electroless solution containing suitable sources of these elements. For example, ternary alloys such as cobalt tungsten phosphorus (CoWP) , may provide improved properties compared to other binary alloys. An electroless solution for depositing CoWP may contain, e.g., ammonium tungstenate as a source of tunngsten ions, cobalt chloride as a source of cobalt ions, hypophosphite as a reducing agent and a source of phosphorus ions, citrate as a complexing agent, and other additives such as pH adjuster and surfactant, among others. The deposition of electroless CoWP alloys on copper damascene structures has been disclosed by Lopatin et al . , in "Thin Electroless barrier for Copper Films", Proceedings of SPIE, Vol. 3508, pp.65-77 (September 1998), and is incorporated herein by reference. Depending on the specific metals or alloys to be deposited, the concentrations and compositions of the electroless plating solutions to be used in embodiments of the invention may be adjusted as appropriate, using suitable parameter ranges known in the art. As an illustrative embodiment, nickel may be plated from an acidic nickel sulphate solution using sodium hypophosphite as a reducing agent. The solution may comprise about 87 g/1 NiS04.4H20, 24 g/1 Na2H2P02.H20, 30 g/1 CH3C00Na.3H2O, 4.1 g/1 C3H4(OH) (COOH)3.H20, 2 g/1 Na02C3H4COONa .6H20, and 0.0015 g/1 Pb(CH3C00)2.3H20. In the case of tin (Sn) electroless deposition, a solution of a tin salt, thiourea and an acid may be used. Such a solution may comprise, for example, about 45 g/1 of thiourea and 5 g/1 of stannous chloride, SnCl2.2H20, and sulphuric acid. The sulphuric acid concentration may vary between about 1 and about 100 g/1. The use of these solutions for the electroless plating of Ni and Sn on copper surfaces have been disclosed by Lin et al . , in "Manufacturing of Cu/Electroless Nickel/Sn-Pb Flip Chip Solder Bumps", IEEE Trans. Adv. Packaging, vol. 22, pp.575- 579 (November 1999), and by Sullivan et al . , U.S. Patent 2,369,620, issued on February 13, 1945, both of which are incorporated herein by reference. Typically, electroless deposition is performed at room temperature or above. According to the invention, the formation of the metal- containing layer is integrated with the cleaning process of the substrate or wafer. The electroless plating solution is used during at least a part of the process to rinse the substrate. For example, substrate cleaning can be accomplished by spraying an electroless plating solution onto the copper surface as part of the cleaning sequence practiced in the apparatus 100. Particles or chemicals from the CMP slurry remaining on the substrate surface may be dislodged from the substrate by the spraying force. In another embodiment, the electroless plating solution can serve as a bath for both deposition and ultrasonic or megasonic cleaning. The substrate can be submerged in the electroless plating solution to rinse the substrate. In any case, the electroless plating solution serves a dual purpose - that of substrate cleaning as well as formation of a metal-containing layer.
The invention may be incorporated in different manners within a cleaning sequence, as shown in FIG. 2. For example, the post-CMP treatment step 203 (i.e., integrated cleaning and deposition) may be performed after an initial cleaning of the substrate. An initial cleaning step 211 may include spraying, ultrasonic or megasonic cleaning using a conventional cleaning fluid. As such, the integrated cleaning and deposition step 203 of the invention provides a secondary cleaning function. In this embodiment, a relatively clean copper surface for electroless plating is provided, and a uniform deposition can be achieved. If a cleaning fluid, other than de-ionized water, is used in the initial cleaning step 211, then the substrate is rinsed in step 213 with de-ionized water, in order to avoid possible undesirable reactions or contamination between the cleaning fluid and the plating solution. Alternatively, the substrate may also be cleaned and/or rinsed (sprayed or in ultrasonic or megasonic baths) initially in steps 211 and/or 213 using de-ionized water prior to electroless plating. Depending on the applications, it may be desirable to perform additional processing of the substrate, as shown in step 215, prior to the cleaning and deposition step 203. Such additional processing may, for example, include thermal anneal or plasma treatment of the copper layer or planarized structure on the wafer.
It is also possible that the integrated plating and cleaning step 203 be performed without any initial cleaning or rinsing steps 211 and 213. In this case, an appropriate electroless plating solution also serves as the primary cleaning fluid.
After the post-CMP treatment step 203 of the invention, at least one rinsing step 205 is performed to rinse off any residual electroless plating solution from the substrate surface. This rinsing step 205 may be performed, for example, in a conventional spin-rinse-dryer. Additional processing is then performed on the substrate in step 207. Such processing may include, for example, additional film treatment such as thermal anneal or plasma treatment of the substrate, deposition of a dielectric layer on the treated substrate surface, and so on, that are used in the fabrication of semiconductor devices.
The integrated cleaning and deposition step 203 improves the properties of the copper surface in one or more aspects. Adhesion between the copper and subsequently deposited material layer may be enhanced due to the substrate cleaning and/or the metal-containing layer serving as an interface, adhesion-promoting layer. Furthermore, the metal-containing layer deposited in step 203, e.g., Ni or CoWP, may serve as a barrier layer, thus reducing electromigration of copper or undesirable inter-diffusion between copper and the subsequently deposited layer.
FIGS. 3a-e illustrate cross-sectional views of a wafer 301 at different stages of processing, including the post- CMP treatment according to one embodiment the invention. FIG. 3a shows the wafer 301 comprising, for example, an insulating layer 305 that has been patterned to form a contact or ' via 307. In general, the underlying layer 303 may comprise silicon, polysilicon, suicides, aluminum, tungsten, or other conducting or semiconducting materials. Typically, a barrier layer 309 is also formed over the insulating layer 305 and inside the contact or via 307. Depending on the specific application, the barrier layer 309 may, for example, be a combination layer comprising a refractory metal and a metal nitride - e.g., titanium and titanium nitride, tantalum and tantalum nitride, or tungsten and its nitride. The barrier layer 309 is used to prevent undesirable diffusion of subsequently deposited metal into the underlying layer 303.
A copper layer 311 is then formed on the barrier layer 309, as shown in FIG. 3b. In general, the copper layer 311 may be formed by either chemical vapor deposition (CVD) , physical vapor deposition (PVD) or electroplating methods. If electroplating is used, then a seed layer (not shown) is formed prior to the electroplated copper layer 311 to facilitate electroplating. The copper layer 311 of FIG. 3b is then subjected to planarization using CMP, in which at least a portion of the copper layer 311 is removed, resulting in a planarized structure comprising a polished copper layer 313, as shown in FIG. 3c. This polished copper layer 313 may also be referred to as a copper feature. As previously discussed in connection with FIG. 2, the wafer 301 may be subjected to cleaning, rinsing or other processing steps (not shown in FIG. 3) after CMP.
Thereafter, as shown in FIG. 3d, a post-CMP treatment is performed on the wafer 301, in which the wafer 301 is exposed to an electroless plating solution 350, for example, at room temperature. According to embodiments of the invention, a metal-containing layer 315 is deposited on the wafer 301 during the wafer cleaning with the electroless plating solution 350. As previously explained, electroless deposition can be performed by either spraying the electroless plating solution 350 onto the surface of the wafer 301, or by immersing the wafer 301 in a bath containing the electroless plating solution 350. For example, the metal-containing layer 315 may be nickel (Ni) , tin (Sn) , cobalt (Co) , or alloys comprising different elements, e.g., cobalt tungsten phosphorus (CoWP). As previously discussed, Ni and Sn may be deposited from solutions comprising the respective sulphate or chloride salts . Many other salts that are known in the art may also be used. For example, Ronamerse 407 - a plating solution available from LeaRonal of New York, is also suitable for depositing Sn on copper features. Electroless deposition can be achieved in less than about 30 seconds at room temperature by immersing a wafer containing copper features in a bath containing the Ronamerse 407 solution at about 50% dilution with water. The deposited Sn layer can promote adhesion with a subsequently deposited layer such as an oxide layer. When the metal-containing layer 315 is Ni or CoWP, then the metal-containing layer 315 can act as both an adhesion layer and a barrier layer. According to one aspect of the invention, the clean copper surface acts as a catalyst for electroless deposition. By using an appropriate reducing agent in the electroless plating solution (e.g., one in which copper can catalyze a reduction reaction involving the reducing agent) , the metal-containing layer 315 can be formed selectively on one or more copper features 313 of the wafer 301. For example, a hypophosphite is a suitable reducing agent for use in electroless Ni deposition. Furthermore, depending on the material used for the barrier layer 309, it is also possible to have electroless deposition on the barrier layer 309. As such, the metal-containing layer 315 is not formed on the insulating layer 305 that has been exposed after the completion of CMP. Depending on the specific application and process needs, the deposition time may be adjusted to produce a metal-containing layer 315 having any desired thickness. Typically, the metal-containing layer 315 is deposited to a thickness of less than about 1000 A, preferably between about 50 and about 100 A. In general, a relatively thin metal-containing layer 315 is preferred in order to avoid any excessive current passing through this metal-containing layer 315 during device operation. It is important, however, that the metal-containing layer 315 be formed as a continuous layer. Typically, a deposition time of less than about 30 seconds, or a few seconds, is sufficient to provide a continuous metal-containing layer 315. Depending on, the desired thickness or process throughput, the deposition time can be adjusted accordingly. For example, a deposition time of about 5 minutes may be used without significant impact on the process throughput.
After the formation of the metal-containing layer 315 during the integrated cleaning/deposition treatment, processing continues for the wafer 301, e.g., with the deposition of an insulating layer 320, as shown in FIG. 3e. Depending on the specific properties of the metal-containing layer 305, the resulting structure may have improved properties such as reduced electromigration of the copper layer 313, or enhanced adhesion of the insulating layer 320. Although the post-CMP treatment has been used as one illustrative embodiment of the invention, the integrated cleaning/deposition can generally be applied to other stages of a processing sequence involving a variety of substrates and metal-containing layers that can be formed by electroless processes.
Although several preferred embodiments which incorporate the teachings of the present invention have been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims

What is claimed is:
1. A method for post-chemical mechanical polishing treatment of a substrate, comprising: forming a metal-containing layer on the substrate during substrate cleaning.
2. The method of claim 1, wherein the metal-containing layer is selectively formed on at least one portion of the substrate.
3. The method of claim 2 , wherein the at least one portion of the substrate comprises one or more copper features formed thereon.
4. The method of claim 3, wherein the metal-containing layer is formed by an electroless process .
5. The method of claim 3 , wherein the metal-containing layer is formed by immersing the substrate in an ultrasonic or megasonic cleaning bath containing an electroless plating solution.
6. The method of claim 3, wherein the metal-containing layer is formed by spraying the substrate with an electroless plating solution.
7. The method of claim 4, wherein the metal-containing layer is selected from a group consisting of nickel, tin, cobalt, and cobalt tungsten phosphorus.
8. The method of claim 5, wherein the electroless plating solution comprises a salt selected from a group consisting of nickel sulphate and tin chloride.
9. The method of claim 6, wherein the electroless plating solution comprises a salt selected from a group consisting of nickel sulphate and tin chloride.
10. A method of metal processing, comprising: forming a first metal-containing layer on a substrate; forming a planarized structure on the substrate by subjecting the first metal-containing layer to chemical mechanical polishing; and forming a second metal-containing layer on the substrate during substrate cleaning.
11. The method of claim 10, wherein the second metal- containing layer is selectively formed on at least one portion of the planarized structure.
12. The method of claim 11, wherein the second metal- containing layer is formed by an electroless process.
13. The method of claim 11, wherein the at least one portion of the planarized structure comprises one or more copper features .
14. The method of claim 11, wherein the second metal- containing layer is formed by immersing the substrate in an ultrasonic or megasonic bath containing an electroless plating solution.
15. The method of claim 11, wherein the second metal- containing layer is formed by spraying the substrate with an electroless plating solution.
16. The method of claim 10, wherein the second metal- containing layer is selected from a group consisting of nickel, tin, cobalt, and cobalt tungsten phosphorus.
17. The method of claim 10, wherein the second metal- containing layer is a barrier layer.
18. The method of claim 10, wherein the planarized structure formed by the chemical mechanical polishing is subjected to a cleaning process prior to forming the second metal-containing layer on the substrate.
19. The method of claim 18, wherein the cleaning process comprises exposing the planarized structure to de-ionized water.
20. A computer storage medium containing a software routine that, when executed, causes a general purpose computer to control a processing system using a post chemical mechanical polishing treatment method comprising: forming a metal-containing layer on at least one portion of a substrate during substrate cleaning.
21. The computer storage medium of claim 20, wherein the metal-containing layer is formed by an electroless process.
22. The computer storage medium of claim 20, wherein the at least one portion of the substrate comprises one or more copper features formed thereon.
23. The computer storage medium of claim 21, wherein the metal-containing layer is selected from a group consisting of nickel, tin, cobalt, and cobalt tungsten phosphorus.
PCT/US2001/018733 2000-06-22 2001-06-08 Method of treating a substrate WO2001099173A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/599,125 2000-06-22
US09/599,125 US6645550B1 (en) 2000-06-22 2000-06-22 Method of treating a substrate

Publications (2)

Publication Number Publication Date
WO2001099173A2 true WO2001099173A2 (en) 2001-12-27
WO2001099173A3 WO2001099173A3 (en) 2002-05-23

Family

ID=24398309

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/018733 WO2001099173A2 (en) 2000-06-22 2001-06-08 Method of treating a substrate

Country Status (2)

Country Link
US (2) US6645550B1 (en)
WO (1) WO2001099173A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2105960A3 (en) * 2008-03-24 2012-04-04 Air Products and Chemicals, Inc. Improved adhesion to copper and copper electromigration resistance

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7686935B2 (en) * 1998-10-26 2010-03-30 Novellus Systems, Inc. Pad-assisted electropolishing
JP4644924B2 (en) * 2000-10-12 2011-03-09 ソニー株式会社 Semiconductor device and manufacturing method thereof
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
JP3963661B2 (en) * 2001-05-10 2007-08-22 株式会社荏原製作所 Electroless plating method and apparatus
JP3902027B2 (en) * 2002-03-01 2007-04-04 大日本スクリーン製造株式会社 Substrate processing equipment
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6812156B2 (en) * 2002-07-02 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method to reduce residual particulate contamination in CVD and PVD semiconductor wafer manufacturing
KR100473475B1 (en) * 2002-08-09 2005-03-10 삼성전자주식회사 Apparatus for cleaning a substrate
JP2004193377A (en) * 2002-12-12 2004-07-08 Toshiba Corp Method for manufacturing semiconductor device
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7413983B2 (en) * 2003-06-13 2008-08-19 Ebara Corporation Plating method including pretreatment of a surface of a base metal
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7368017B2 (en) * 2003-12-12 2008-05-06 Lam Research Corporation Method and apparatus for semiconductor wafer planarization
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7183181B2 (en) * 2004-09-27 2007-02-27 Lsi Logic Corporation Dynamic edge bead removal
WO2006055363A2 (en) * 2004-11-12 2006-05-26 Semitool, Inc. Modular tool unit for processing microelectronic workpieces
US8211242B2 (en) * 2005-02-07 2012-07-03 Ebara Corporation Substrate processing method, substrate processing apparatus, and control program
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7709873B2 (en) * 2005-03-31 2010-05-04 Intel Corporation Polymer memory with adhesion layer containing an immobilized metal
JP4258663B2 (en) * 2005-04-15 2009-04-30 セイコーエプソン株式会社 Coating apparatus and film forming apparatus
US20060246727A1 (en) * 2005-04-27 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated dual damascene clean apparatus and process
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
KR101506352B1 (en) * 2006-08-30 2015-03-26 램 리써치 코포레이션 Processes and integrated systems for engineering a substrate surface for metal deposition
US20080156360A1 (en) * 2006-12-26 2008-07-03 Applied Materials, Inc. Horizontal megasonic module for cleaning substrates
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
CN102084466B (en) * 2008-07-01 2013-09-11 应用材料公司 Modular base-plate semiconductor polisher architecture
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10500691B2 (en) * 2016-08-29 2019-12-10 Ebara Corporation Substrate processing apparatus and substrate processing method
JP6971676B2 (en) * 2016-08-29 2021-11-24 株式会社荏原製作所 Board processing equipment and board processing method
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020150072A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. Wafer processing tools and methods thereof
US11682567B2 (en) * 2020-06-30 2023-06-20 Applied Materials, Inc. Cleaning system with in-line SPM processing
US20220282918A1 (en) 2021-03-03 2022-09-08 Applied Materials, Inc. Drying system with integrated substrate alignment stage

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10163208A (en) * 1996-11-28 1998-06-19 Ind Technol Res Inst Method and equipment for forming very small scale cu interconnection metal on semiconductor substrate
EP0903774A2 (en) * 1997-09-17 1999-03-24 Ebara Corporation Substrate plating apparatus
JP2000040679A (en) * 1998-07-24 2000-02-08 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP2001023985A (en) * 1999-07-08 2001-01-26 Ebara Corp Interconnection structure and preparation thereof

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
JP2839801B2 (en) * 1992-09-18 1998-12-16 三菱マテリアル株式会社 Wafer manufacturing method
JP2655975B2 (en) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 Wafer polishing equipment
US5300155A (en) * 1992-12-23 1994-04-05 Micron Semiconductor, Inc. IC chemical mechanical planarization process incorporating slurry temperature control
US5895270A (en) * 1995-06-26 1999-04-20 Texas Instruments Incorporated Chemical mechanical polishing method and apparatus
KR100487590B1 (en) * 1995-08-21 2005-08-04 가부시키가이샤 에바라 세이사꾸쇼 Polishing device
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
KR100209365B1 (en) * 1995-11-01 1999-07-15 김영환 Fabricating method of s.o.i. semiconductor wafer
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5885134A (en) * 1996-04-18 1999-03-23 Ebara Corporation Polishing apparatus
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5843538A (en) * 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5922136A (en) * 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
AU8675798A (en) * 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
JP3788855B2 (en) * 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 Substrate processing unit and substrate processing apparatus using the same
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6000997A (en) * 1998-07-10 1999-12-14 Aplex, Inc. Temperature regulation in a CMP process
US6150271A (en) * 1998-09-10 2000-11-21 Lucent Technologies Inc. Differential temperature control in chemical mechanical polishing processes
JP4212707B2 (en) * 1998-11-26 2009-01-21 スピードファム株式会社 Wafer planarization system and wafer planarization method
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10163208A (en) * 1996-11-28 1998-06-19 Ind Technol Res Inst Method and equipment for forming very small scale cu interconnection metal on semiconductor substrate
EP0903774A2 (en) * 1997-09-17 1999-03-24 Ebara Corporation Substrate plating apparatus
JP2000040679A (en) * 1998-07-24 2000-02-08 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP2001023985A (en) * 1999-07-08 2001-01-26 Ebara Corp Interconnection structure and preparation thereof

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
LOPATIN ET AL.: "Electroless Cu and barrier layers for sub-half micron multilevel interconnects" PROC. SPIE, vol. 3214, 1 - 2 October 1997, pages 21-32, XP001058179 austin, texas, USA *
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 11, 30 September 1998 (1998-09-30) & JP 10 163208 A (IND TECHNOL RES INST), 19 June 1998 (1998-06-19) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 05, 14 September 2000 (2000-09-14) & JP 2000 040679 A (HITACHI LTD), 8 February 2000 (2000-02-08) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 16, 8 May 2001 (2001-05-08) & JP 2001 023985 A (EBARA CORP), 26 January 2001 (2001-01-26) *
SHACHAM-DIAMAND Y ET AL: "Electrochemically deposited thin film alloys for ULSI and MEMS applications" MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 50, no. 1-4, January 2000 (2000-01), pages 525-531, XP004237710 ISSN: 0167-9317 *
SHACHAM-DIAMAND Y ET AL: "High aspect ratio quarter-micron electroless copper integrated technology" MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 37-38, 1 November 1997 (1997-11-01), pages 77-88, XP004103563 ISSN: 0167-9317 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2105960A3 (en) * 2008-03-24 2012-04-04 Air Products and Chemicals, Inc. Improved adhesion to copper and copper electromigration resistance
TWI479567B (en) * 2008-03-24 2015-04-01 Air Prod & Chem Improved adhesion to copper and copper electromigration resistance

Also Published As

Publication number Publication date
US20010055934A1 (en) 2001-12-27
US6645550B1 (en) 2003-11-11
US6818066B2 (en) 2004-11-16
WO2001099173A3 (en) 2002-05-23

Similar Documents

Publication Publication Date Title
US6645550B1 (en) Method of treating a substrate
US7135098B2 (en) Copper interconnect seed layer treatment methods and apparatuses for treating the same
EP1086191B1 (en) Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6824665B2 (en) Seed layer deposition
US6638410B2 (en) Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
US20050173252A1 (en) Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20040033917A1 (en) Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US20050161338A1 (en) Electroless cobalt alloy deposition process
KR20140028152A (en) Apparatus for applying a plating solution for electroless deposition
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US20050282378A1 (en) Interconnects forming method and interconnects forming apparatus
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
US6294027B1 (en) Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
KR102455123B1 (en) Dual damascene fill
EP1005078B1 (en) Process for forming a conductive structure
KR101789841B1 (en) Method and system for handling a substrate through processes including an integrated electroless deposition process
JP3886383B2 (en) Plating apparatus and plating method
US20060270234A1 (en) Method and composition for preparing a semiconductor surface for deposition of a barrier material
US20070084730A1 (en) Plating apparatuses and processes

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AK Designated states

Kind code of ref document: A3

Designated state(s): JP

NENP Non-entry into the national phase

Ref country code: JP